US20060246217A1 - Electroless deposition process on a silicide contact - Google Patents

Electroless deposition process on a silicide contact Download PDF

Info

Publication number
US20060246217A1
US20060246217A1 US11/385,047 US38504706A US2006246217A1 US 20060246217 A1 US20060246217 A1 US 20060246217A1 US 38504706 A US38504706 A US 38504706A US 2006246217 A1 US2006246217 A1 US 2006246217A1
Authority
US
United States
Prior art keywords
cobalt
nickel
substrate
range
concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/385,047
Inventor
Timothy Weidman
Michael Stewart
Zhize Zhu
Arulkumar Shanmugasundram
Srinivas Gandikota
Avgerinos Gelatos
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/385,047 priority Critical patent/US20060246217A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GELATOS, AVERINOS V., GANDIKOTA, SRINIVAS, SHANMUGASUNDRAM, ARULKUMAR, STEWART, MICHAEL P., WEIDMAN, TIMOTHY W., ZHU, ZHIZE
Publication of US20060246217A1 publication Critical patent/US20060246217A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1886Multistep pretreatment
    • C23C18/1893Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals

Definitions

  • Embodiments of the invention generally relate to methods for depositing materials on substrates, and more specifically to methods for filling apertures within a high aspect ratio contact.
  • Multilevel, 45 nm node metallization is one of the key technologies for the next generation of very large scale integration (VLSI).
  • VLSI very large scale integration
  • the multilevel interconnects that lie at the heart of this technology possess high aspect ratio features, including contacts, vias, lines, and other apertures. Reliable formation of these features is very important for the success of VLSI and the continued effort to increase quality and circuit density on individual substrates. Therefore, there is a great amount of ongoing effort being directed to the formation of void-free features having high aspect ratios of 10:1 (height:width) or greater.
  • Copper and tungsten are choice metals for filling VLSI features, such as a submicron high aspect ratio contact (HARC) on a substrate.
  • Contacts are formed by depositing a conductive interconnect material, such as copper or tungsten into an aperture (e.g., via) on the surface of an insulating material disposed between two spaced-apart conductive layers.
  • a high aspect ratio of such an opening may inhibit deposition of a conductive interconnect material to fill an aperture.
  • copper and tungsten are popular interconnect materials, deposition processes for depositing these materials may suffer by forming a void or a seam within the contact plug, as illustrated in FIG. 1C .
  • FIGS. 1A-1B depict a schematic cross-sectional view of an integrated circuit device on substrate 100 containing aperture 105 formed in dielectric layer 104 to expose contact layer 102 .
  • metal layer 106 is deposited on dielectric layer 104 and within aperture 105 including on contact layer 102 and the sidewalls of dielectric layer 104 to form plug 103 .
  • metal layer 106 may pinch off, depicted in FIG. 1C , so that plug 103 maintains a seam or void 108 therein.
  • FIG. 1E depicts conductive layer 112 (e.g., copper) deposited on substrate 100 forming void 114 by enclosing gap 110 .
  • Substrate 100 may contain additional layers of material depending on the overall architecture of the electronic device.
  • dielectric layer 104 may be covered by a barrier layer (not shown) thereon prior to the deposition of conductive layer 112 or conductive layer 112 may also contain a barrier layer (not shown) thereon prior to the deposition of layer 120 .
  • Defects such as a seam or void 114
  • the resistance to current flow through plug 103 is impaired due to the lack of conductive material in void 114 .
  • a more serious obstacle during fabrication is the displacement of voids from one layer to the next.
  • subsequent fabrication processes of substrate 100 may include the deposition of layer 120 (e.g., dielectric layer) on conductive layer 112 .
  • layer 120 e.g., dielectric layer
  • material 116 from conductive layer 112 may diffuse into void 114 and form void 118 within conductive layer 112 .
  • material 116 may not diffuse completely to the bottom of void 114 .
  • the defect formed in conductive layer 112 such as void 118 , will increase the resistance of the circuit containing the defect and thus affect device performance.
  • the defects in conductive layer 112 can affect the device yield of the fabricated substrate.
  • Embodiments as described herein provide methods for depositing a material on a substrate during electroless deposition processes.
  • the substrate contains a contact aperture having an exposed silicon contact surface.
  • the substrate contains a contact aperture having an exposed silicide contact surface.
  • the apertures are filled with a metal contact material by exposing the substrate to an electroless deposition process.
  • the metal contact material may contain a cobalt material, a nickel material, or alloys thereof.
  • the substrate Prior to filling the apertures, the substrate may be exposed to a variety of pretreatment processes, such as preclean processes and activations processes.
  • a preclean process may remove organic residues, native oxides, and other contaminants during a wet clean process or a plasma etch process.
  • Embodiments of the process also provide the deposition of additional layers, such as a capping layer.
  • a method for depositing a material on a substrate includes positioning a substrate within a process chamber, wherein the substrate has an aperture containing an exposed silicide contact surface, and exposing the exposed silicide contact surface to a deposition solution to form a metal contact material over the exposed silicide contact surface during an electroless deposition process.
  • the substrate has an aperture containing an exposed silicon contact surface, and the method provides forming a metal silicide layer on the exposed silicon contact surface, and exposing the substrate to an electroless deposition process to fill the aperture with a metal contact material.
  • a metal suicide layer is formed from the exposed silicon contact surface by exposing the substrate to a metal-containing activation solution.
  • the metal-containing activation solution contains a cobalt source, a fluoride source, and a hypophosphite source.
  • the contact aperture is filled with the metal contact material by continuing the electroless deposition process.
  • the exposed silicide contact surface may contain at least one metal, such as cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, or combinations thereof.
  • the metal contact material may contain nickel, nickel phosphide, nickel boride, cobalt, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, cobalt nickel, cobalt phosphide, cobalt boride, cobalt nickel phosphide, cobalt nickel boride, derivatives thereof, alloys thereof, or combinations thereof.
  • the exposed silicide contact surface is exposed to a preclean process prior to depositing the metal contact material thereon.
  • the substrate is exposed to a plasma to remove native oxides or contaminants from the exposed silicide contact surface during the preclean process.
  • a thin film may be formed on the substrate by the plasma and subsequently, the thin film is removed by a vacuum sublimation process.
  • the substrate is usually exposed to the plasma along with a process gas.
  • the process gas contains a gas mixture of ammonia and nitrogen trifluoride. The gas mixture may have a molar ratio of the ammonia to the nitrogen trifluoride within a range from about 1:1 to about 30:1.
  • the substrate is exposed to a wet clean process.
  • the substrate is exposed to a wet clean solution containing hydrogen fluoride and a basic compound, such as ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, or combinations thereof.
  • the wet clean solution contains an EA-HF complex, a DEA-HF complex, a TEA-HF complex, a DEA-EA-HF complex, a DEA-TEA-HF complex, a TEA-EA-HF complex, derivatives thereof, salts thereof, or combinations thereof.
  • the substrate is exposed to a wet clean solution containing hydrogen peroxide and a basic compound.
  • the wet clean solution contains hydrogen peroxide and hydrogen chloride.
  • a method for depositing a material on a substrate includes positioning a substrate within a process chamber, wherein the substrate has an aperture containing either an exposed silicon contact surface or an exposed silicide contact surface, and the aperture is filled with a cobalt-nickel stack material during an electroless deposition process.
  • the substrate may be optionally rinsed after each exposure of the first electroless solution and the second electroless solution.
  • a process cycle of the sequential exposures of the first and second electroless solutions is repeated to form the metal contact material as a cobalt-nickel stack material having a predetermined thickness.
  • the electroless deposition process includes sequentially exposing the substrate to a first electroless solution containing a cobalt source and to a second electroless solution containing a nickel source.
  • the cobalt-nickel stack material may have a first layer containing cobalt, cobalt phosphide, cobalt boride, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, derivatives thereof, alloys thereof, or combinations thereof, and a second layer containing nickel, nickel phosphide, nickel boride, derivatives thereof, alloys thereof, or combinations thereof.
  • a capping layer is deposited on the exposed silicide contact surface prior to filling the aperture with the metal contact material.
  • the capping layer may contain cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, derivatives thereof, alloys thereof, or combinations thereof.
  • the capping layer may be formed or deposited by a vapor deposition process, but preferably is deposited during an electroless deposition process.
  • a self assembled monolayer SAM-layer may be deposited within the aperture prior to depositing the metal contact material.
  • a composition of a cobalt deposition solution which contains a cobalt source at a concentration within a range from about 1 mM (millimolar, millimole/L) to about 150 mM, a reducing agent source at a concentration within a range from about 1 mM to about 100 mM, and a chelating agent source at a concentration within a range from about 10 mM to about 500 mM.
  • the cobalt deposition solution contains the cobalt source at a concentration within a range from about 10 mM to about 100 mM, the reducing agent source at a concentration within a range from about 5 mM to about 50 mM, and the chelating agent source at a concentration within a range from about 50 mM to about 300 mM.
  • the cobalt deposition solution contains the cobalt source at a concentration within a range from about 20 mM to about 80 mM, the reducing agent source at a concentration within a range from about 10 mM to about 40 mM, and the chelating agent source at a concentration within a range from about 75 mM to about 250 mM.
  • the cobalt deposition solution contains the cobalt source at a concentration of about 35 mM, the reducing agent source at a concentration of about 25 mM, and the chelating agent source at a concentration of about 150 mM.
  • the chelating agent source may include citric acid, lactic acid, glycine, ethanolamine, diethanolamine, triethanolamine, salts thereof, derivatives thereof, or combinations thereof.
  • the reducing agent source comprises dimethylamine-borane complex.
  • the composition contains boric acid, saccharin, ammonium fluoride, or tetramethylammonium fluoride.
  • a pH adjusting agent, such as TMAH may be added to provide the cobalt deposition solution having a pH value within a range from about 8 to about 10, such as about 9.2.
  • a composition of a nickel deposition solution which contains a nickel source at a concentration within a range from about 1 mM to about 150 mM, a reducing agent source at a concentration within a range from about 1 mM to about 150 mM, and a chelating agent source at a concentration within a range from about 10 mM to about 500 mM.
  • the nickel deposition solution contains the nickel source at a concentration within a range from about 5 mM to about 100 mM, the reducing agent source at a concentration within a range from about 5 mM to about 100 mM, and the chelating agent source at a concentration within a range from about 50 mM to about 300 mM.
  • the nickel deposition solution contains the nickel source at a concentration within a range from about 10 mM to about 80 mM, the reducing agent source at a concentration within a range from about 10 mM to about 80 mM, and the chelating agent source at a concentration within a range from about 75 mM to about 200 mM.
  • the nickel deposition solution contains the nickel source at a concentration of about 40 mM, the reducing agent source at a concentration of about 40 mM, and the chelating agent source at a concentration of about 150 mM.
  • the chelating agent source may include citric acid, lactic acid, glycine, ethanolamine, diethanolamine, triethanolamine, salts thereof, derivatives thereof, or combinations thereof.
  • the reducing agent source comprises dimethylamine-borane complex.
  • the composition contains boric acid.
  • a pH adjusting agent such as TMAH, may be added to provide the nickel deposition solution having a pH value within a range from about 8 to about 10, such as about 9.2.
  • FIGS. 1A-1F illustrate schematic cross-sectional views of an integrated circuit formed by a process described in the art
  • FIGS. 2A-2F illustrate schematic cross-sectional views of integrated circuits formed by deposition processes described within embodiments herein;
  • FIGS. 3A-3Q illustrate schematic cross-sectional views of integrated circuits formed by other deposition processes described within embodiments herein;
  • FIGS. 4A-4H illustrate schematic cross-sectional views of integrated circuits formed by another deposition process described within embodiments herein;
  • FIG. 5 illustrates a flow chart depicting a process sequence as described within embodiments herein;
  • FIG. 6 illustrates a flow chart depicting another process sequence as described within embodiments herein;
  • FIG. 7 illustrates a flow chart depicting another process sequence as described within embodiments herein;
  • FIG. 8 illustrates a flow chart depicting an electroless deposition process as described within embodiments herein;
  • FIGS. 9A-9D illustrate a schematic representation of timing sequences for electroless deposition processes as described within embodiments herein;
  • FIG. 10 illustrates a flow chart depicting an electroless deposition process as described within embodiments herein.
  • FIG. 11 illustrates a schematic representation of an alternative timing sequence for an electroless deposition process as described within an embodiment herein.
  • Embodiments as described herein provide methods for depositing a material on a substrate during electroless deposition processes.
  • the substrate contains a contact aperture having an exposed silicon contact surface.
  • the substrate contains a contact aperture having an exposed silicide contact surface.
  • the apertures are filled with a metal contact material by exposing the substrate to an electroless deposition process.
  • the metal contact material may contain a cobalt material, a nickel material, and alloys thereof.
  • the substrate Prior to filling the apertures, the substrate may be exposed to a variety of pretreatment processes, such as preclean processes and activations processes.
  • a preclean process may remove organic residues, native oxides, and other contaminants during a wet clean process or a plasma etch process.
  • Embodiments of the process also provide the deposition of additional layers, such as a capping layer.
  • FIG. 2A illustrates a cross-sectional view of substrate 200 having aperture 210 formed into dielectric layer 204 .
  • Aperture 210 may include features, such as contact holes, vias, or trenches.
  • Dielectric layer 204 contains an insulating material that includes silicon oxide, silicon nitride, silicon on insulator (SOI), silicon oxynitride, fluorine-doped silicate glass (FSG), or carbon-doped silicon oxides, such as SiO x C y , for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Aperture 210 may be formed in dielectric layer 204 using conventional lithography and etching techniques to expose interconnect layer 202 , such as a bit line layer.
  • Interconnect layer 202 contains a conductive metal such as copper, tungsten, aluminum or alloys thereof.
  • Oxide surface 212 is usually formed upon the oxidation of interconnect layer 202 subsequent to etching and ashing processes used to form aperture 210 .
  • Oxide surface 212 may be a continuous or a discontinuous layer across the surface of interconnect layer 202 and includes a surface terminate with oxygen, hydrogen, hydroxides, metals, or combinations thereof. In this configuration, interconnect layer 202 may contain oxide surface 212 .
  • FIGS. 2A-2C illustrate cross-sectional views of an electronic device at different stages of an interconnect fabrication sequence incorporating one embodiment of the invention for pre-treating and subsequently filling aperture 210 with a nickel-containing material or a cobalt-tungsten alloy.
  • FIG. 2B illustrates substrate 200 after exposing oxide surface to a pretreatment process.
  • Contact surface 214 of interconnect layer 202 is exposed and is free of or substantially free of oxide surface 212 .
  • oxide surface 212 may be chemically reduced to a tungsten metal.
  • oxide surface 212 is exposed to a hydrogen plasma to remove the oxygen and form an oxide free contact surface 214 .
  • oxide surface 212 may be exposed to a vapor deposition process containing diborane to remove oxygen and form contact surface 214 containing tungsten boride.
  • oxide surface 212 is exposed to a wet clean process to further oxidize and remove tungstate ion while leaving behind a clean contact surface 214 .
  • Additives, such as surface chelators, may be used within the wet clean solution that adhere to the freshly prepared contact surface 214 and prohibit excess oxidization.
  • a plasma pretreatment process may be conducted for a predetermined time to reduce oxide surface 212 , leaving a substantially oxide-free contact surface 214 .
  • a plasma pretreatment process may occur for about 5 minutes or less, preferably, within a range from about 1 second to about 60 seconds, more preferably, from about 5 seconds to about 30 seconds.
  • the substrate is maintained at a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100° C.
  • the process chamber may have a pressure within a range from about 0.1 Torr to about 450 Torr, preferably, from about 1 Torr to about 100 Torr, and more preferably, from about 10 Torr to about 30 Torr.
  • the plasma treatment process may be conducted in a process chamber capable of plasma vapor deposition techniques.
  • the substrate may be placed into a plasma enhanced ALD (PE-ALD), a plasma enhanced CVD (PE-CVD) or high density plasma CVD (HDP-CVD) chamber, such as the ULTIMA HDP-CVD®, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • PE-ALD plasma enhanced ALD
  • PE-CVD plasma enhanced CVD
  • HDP-CVD high density plasma CVD
  • An inductively coupled plasma generating device, capacitively coupled plasma generating device, or combination thereof may be used in a plasma chamber to carryout the plasma treatment process.
  • Oxide surface 212 may be exposed to a reducing plasma containing the reductant to form a substantially oxide-free contact surface 214 .
  • the reductant may be diluted in a carrier gas and includes hydrogen, diborane, silane, disilane, phosphine, derivatives thereof, or combinations thereof.
  • a carrier gas flow is established within the process chamber and exposed to the substrate.
  • Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber.
  • Carrier gases or purge gases include helium, argon, hydrogen, forming gas, or combinations thereof.
  • the carrier gas may be provided at a flow rate within a range from about 500 sccm to about 5,000 sccm, preferably, from about 500 sccm to about 2,500 sccm.
  • the reductant may be provided at a flow rate within a range from about 5 sccm to about 500 sccm, preferably, from about 10 sccm to about 100 sccm.
  • the plasma may be formed using an RF power delivered to the plasma generating devices (e.g., showerhead in a capacitively coupled chamber or a substrate support) utilized in the plasma chamber where the RF power ranges from about 100 W to about 10,000 W at an RF frequency between about 0.4 kHz and about 10 GHz.
  • the plasma is formed using a showerhead RF power setting and a substrate support RF power setting that is within a range between about 500 W and about 5,000 W at a frequency of about 13.56 MHz.
  • the substrate is heated to about 50° C. and the process chamber is maintained at a pressure of about 10 Torr, to reduce the oxides formed on contact surface 214 .
  • the substrate may be exposed to a reducing plasma containing hydrogen at a flow rate of about 1,000 sccm for a time period of about 30 seconds to remove oxide layer 212 .
  • a substrate is heated to about 50° C., the process chamber is maintained at a pressure of about 10 Torr using a flow rate of about 50 sccm of diborane and about 450 sccm of a helium carrier gas to reduce the oxides formed on contact surface 214 .
  • the substrate is exposed to the reducing plasma for about 30 seconds to remove the oxide layer 212 .
  • the pretreatment process may be a liquid reduction process, whereas oxide surface 212 is exposed to a reducing solution to form contact surface 214 .
  • the reducing solutions may contain at least one metal-reductant, such as a compound containing a variable-valence metal.
  • Variable-valence metals are utilized as metal-reductants due to the availability of electrons between redox states and include compounds of Ti 3+ /Ti 4+ , Fe 2+ /Fe 3+ , Cr 2+ /Cr 3+ and Sn 2+ /Sn 4+ .
  • Metal-reductants containing variable-valence metals may contain a variety of anionic ligands including complexing agents and halides, such as chlorides, fluorides, bromides or iodides.
  • Complexing agents that are useful may have functional groups that include carboxylic acids, dicarboxylic acids, polycarboxylic acids, amino acids, amines, diamines, polyamines, alkylamines, alkanolamines and alkoxyamines.
  • Complexing agents may include citric acid, glycine, ethylenediamine (EDA), monoethanolamine, diethanolamine (DEA), triethanolamine (TEA), derivatives thereof, salts thereof or combinations thereof.
  • citric acid or a citrate salt is a preferred complexing agent within a reducing solution.
  • a reducing solution may contain titanium salts with carboxylic acid ligands, such as a citrate.
  • the reducing solutions are formed in water by combining a metal halide (e.g., titanium chloride containing TiCl 3 and TiCl 4 ) with a salt containing a predetermined ligand (e.g., trisodium citrate) to form a metal complex or a metal salt that contains the predetermined ligand (e.g., titanium citrate) having a variable-valence state.
  • a metal halide e.g., titanium chloride containing TiCl 3 and TiCl 4
  • a salt containing a predetermined ligand e.g., trisodium citrate
  • the reducing solution may further contain additives such as various chelators, surfactants, pH adjusting agents, or combinations thereof.
  • Variable-valence metal compounds and reducing solutions are further described in V. V. Sviridov et al., “Use of Ti(III) Complexes to reduce Ni, Co, and Fe in Water Solution,” J.
  • substrate 200 is exposed to a reducing solution for a predetermined time to remove the oxides from contact surface 214 .
  • the liquid reduction process may occur for about 5 minutes or less, preferably within a range from about 1 second to about 120 seconds, more preferably, from about 5 seconds to about 90 seconds.
  • the substrate is heated to a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100 C.
  • the pretreatment process may be a vapor deposition process, whereas oxide surface 212 is exposed to a reducing vapor to form contact surface 214 .
  • the reductant may include borane, diborane, borane-alkylsulfides, such as borane-dimethylsulfide (BH 3 .(CH 3 ) 2 S), alkyboranes (e.g., ethylborane), phosphine, alkylposphines (e.g., dimethylphosphine), silane, disilane, trisilane, alkylsilanes (e.g., methylsilane), ammonia, hydrazine, hydrogen, derivatives thereof, or combinations thereof.
  • the reductant is diborane, phosphine, silane, hydrazine, hydrogen, or combinations thereof.
  • the substrate 200 is exposed to a reducing vapor process for a predetermined time to remove the oxides from the contact surface 214 .
  • the reducing vapor process may occur for about 5 minutes or less, preferably within a range from about 1 second to about 120 seconds, more preferably, from about 5 seconds to about 90 seconds.
  • the substrate is maintained at a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100° C.
  • the process chamber may have a pressure within a range from about 0.1 Torr to about 450 Torr, preferably, from about 1 Torr to about 100 Torr, and more preferably, from about 10 Torr to about 30 Torr.
  • the reductant used to remove oxide layer 212 may be diluted in a carrier gas.
  • a carrier gas flow is established within the process chamber and exposed to the substrate.
  • Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber.
  • Carrier gases or purge gases include helium, argon, nitrogen, hydrogen, forming gas, mixtures thereof.
  • the carrier gas may be provided at a flow rate within a range from about 100 sccm to about 5,000 sccm, preferably, from about 500 sccm to about 2,500 sccm.
  • the reductant may be provided at a flow rate within a range from about 5 sccm to about 500 sccm, preferably, from about 10 sccm to about 100 sccm.
  • the reducing vapor process may be conducted in a process chamber capable of vapor deposition, such as an ALD process chamber or a CVD process chamber.
  • a process chamber useful for ALD during the reducing vapor process is described in commonly assigned U.S. Pat. Nos. 6,916,398 and 6,878,206, which are both incorporated herein by reference.
  • oxide surface 212 is removed by further oxidizing by a wet clean process which includes dispensing a wet clean solution across or sprayed on the surface of substrate 200 .
  • the wet clean process may be an in situ process performed in the same processing cell as a subsequent electroless deposition process.
  • substrate 200 may be wet cleaned in a separate processing cell from the subsequent electroless deposition processing cell.
  • the wet clean process usually includes an acidic wet clean solution with a pH of about 4 or less, preferably, within a range from about 1.5 to about 3.
  • Oxide surface 212 having a tungsten oxide typically requires an aggressive cleaning at low pH values.
  • the pH value of the wet clean solution is usually adjusted by adding an acid or a base to the predetermined value.
  • the acid may include hydrochloric acid, sulfuric acid, nitric acid, phosphoric acid, derivatives thereof, or combinations thereof.
  • the base may include a hydroxide salt, ammonia or an amine, such as ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), derivatives thereof, salts thereof, or combinations thereof.
  • the wet clean solution also contains at least one chelator or complexing agent, such as a carboxylic acid or carboxylate, for example, a citrate, oxalic acid, glycine, salts thereof, or combinations thereof. In one example, the wet clean solution contains about 0.05 M to about 0.5 M of citric acid and optionally up to about 0.25 M of methanesulfonic acid.
  • fill material 220 may be deposited thereon.
  • FIG. 2C illustrates substrate 200 after fill material 220 has been deposited on contact surface 214 .
  • Fill material 220 is composed of a conductive material and preferably deposited by an electroless deposition process.
  • a conductive material deposited as fill material herein includes nickel, cobalt, tungsten, cobalt-tungsten alloys, alloys thereof, or combinations thereof.
  • fill material 220 is a nickel-containing layer deposited by an electroless deposition solution.
  • fill material 220 is a cobalt-containing layer (e.g., CoW-alloy) deposited by an electroless deposition solution.
  • FIGS. 2 A, 2 D- 2 F illustrate cross-sectional views of an electronic device at different stages of an interconnect fabrication sequence to pretreat and subsequently fill aperture 210 with a conductive material.
  • Nickel or cobalt-tungsten alloys are used as conductive materials to fill aperture 210 .
  • FIG. 2D illustrates substrate 200 after a pretreatment process has been performed on the oxide surface 212 .
  • a ruthenium oxide layer 216 is deposited by exposing substrate 200 and oxide surface 212 to a ruthenium tetroxide (RuO 4 ) vapor. Thereafter, ruthenium oxide layer 216 may be chemically reduced to form ruthenium-containing layer 218 on oxide surface 212 , as illustrated in FIG. 2E .
  • RuO 4 ruthenium tetroxide
  • a ruthenium containing layer may be deposited on the substrate by use of a vapor deposition process, such as an in situ generated process, or in a liquid deposition process, such as an aqueous solution or suspension.
  • a vapor deposition process such as an in situ generated process
  • a liquid deposition process such as an aqueous solution or suspension.
  • ruthenium tetroxide is generated in situ by exposing a ruthenium-containing source to an oxidizing gas prior and exposing the product to oxide surface 212 .
  • Ruthenium tetroxide is a strong oxidant and therefore readily reacts with any exposed metal oxide (e.g., tungsten oxide) layers to form a consistent and catalytic active layer of ruthenium oxide.
  • ozone gas is produced by supplying an oxygen source gas into an ozone generator.
  • the oxygen source may include oxygen (O 2 ), nitrous oxide (N 2 O), nitric oxide (NO), nitrogen dioxide (NO 2 ), or combinations thereof.
  • a 12 vol % ozone is generated by flowing oxygen through the ozone generator.
  • the oxidizing gas containing ozone may be purified when passed or bubbled through a silicon gel. Subsequently, the ozone is exposed to a metallic ruthenium source to form ruthenium tetroxide that is condensed in a cooled cold trap.
  • the ozone flow is stopped and the cold trap is purged with an inert gas (e.g., nitrogen) to rid the line of excess oxygen. Thereafter, the cold trap is warmed to a temperature to sublime the ruthenium tetroxide while a flow of inert gas is passed therethrough. The vaporized ruthenium tetroxide saturates the inert gas to form a deposition gas.
  • an inert gas e.g., nitrogen
  • a deposition gas containing ruthenium tetroxide is delivered to a substrate having a tungsten oxide layer formed thereon.
  • the substrate is maintained at a temperature of about 100° C.
  • ruthenium oxide layer 216 is formed on the tungsten oxide layer.
  • Ruthenium oxide layer 216 may be exposed to a reductant forming ruthenium-containing layer 218 .
  • the ruthenium oxide is chemically reduced to ruthenium metal.
  • ruthenium oxide layer 216 may be exposed to a hydrogen plasma to remove the oxygen and form metallic ruthenium-containing layer 218 .
  • ruthenium oxide layer 216 may be exposed to a vapor deposition process containing diborane to remove oxygen and form ruthenium-containing layer 218 containing ruthenium boride.
  • ruthenium oxide layer 216 is exposed to phosphine through a vapor deposition process to remove oxygen and form ruthenium-containing layer 218 containing ruthenium phosphide.
  • Fill material 220 may be deposited on ruthenium-containing layer 218 to fill aperture 210 as illustrated in FIG. 2F .
  • Fill material 220 contains nickel or a cobalt-tungsten alloy. The electroless deposition process and the composition of fill material 220 are previously described above for substrate 200 depicted in FIG. 2C .
  • FIGS. 3A-3Q and 4 A- 4 H illustrate cross-sectional views of electronic devices disposed on substrates 300 and 400 at different stages of interconnect fabrication sequences incorporating multiple embodiments herein.
  • FIGS. 5-7 provide flow charts of processes 500 , 600 , and 700 that may be used to form substrates 300 and 400 while correlating with FIGS. 3A-3Q and 4 A- 4 H. Processes 500 , 600 , and 700 may be used during interconnect fabrication where an exposed contact surface contains either a silicon surface or a silicide surface on substrates 300 and 400 .
  • FIG. 3A illustrates a cross-sectional view of substrate 300 having contact aperture 310 formed within dielectric layer 304 .
  • Dielectric layer 304 contains an insulating material that may include silicon dioxide and other silicon oxides, silicon on insulator (SOI), silicon oxynitride, fluorine-doped silicate glass (FSG), or carbon-doped silicon oxides, such as SiO x C y , for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Contact aperture 310 may be formed in dielectric layer 304 using conventional lithography and etching techniques to expose interconnect layer 302 , such as a bit line layer.
  • interconnect layer 302 may contain pure silicon or a silicon-containing material that contains germanium, carbon, boron, phosphorous, arsenic, metals, or combinations thereof, among other dopants.
  • interconnect layer 302 may contain silicon, silicon carbide, silicon germanium, silicon germanium carbide, metal silicide, doped variants thereof, or combinations thereof.
  • interconnect layer 302 is a MOS type source or a drain interface and is generally a doped (e.g., n+ or p+) silicon region of substrate 300 .
  • Oxide surface 312 is usually formed upon the oxidation of interconnect layer 302 during an exposure to air subsequent to etching and ashing processes used forming contact aperture 310 .
  • Oxide surface 312 may be a continuous layer or a discontinuous layer across the surface of interconnect layer 302 and include a surface terminations of oxygen, hydrogen, hydroxide, halide, metals, or combinations thereof.
  • Oxide surface 312 may also contain various contaminants, such as organic and inorganic residues and particulate.
  • Oxide surface 312 formed on interconnect layer 302 generally contains a metastable lower quality oxide (e.g., SiO x , where x is between 0 and 2) compared to the much more stable oxide materials that are typically used to form dielectric layer 304 (e.g., SiO 2 ), such as thermal oxides.
  • the metastable lower quality oxide e.g., the “native oxide” is much easier to remove from interconnect layer 302 than dielectric layer 304 , probably due to a lower activation energy than the material of dielectric layer 304 .
  • FIG. 3B illustrates substrate 300 containing exposed surface 314 on interconnect layer 302 subsequent to the removal of oxide surface 312 .
  • Exposed surface 314 may be formed by at least one pretreatment process during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 , as described by embodiments herein.
  • exposed surface 314 may contain an exposed silicon contact surface. In other embodiments, exposed surface 314 may contain an exposed silicide contact surface.
  • substrate 300 may be exposed to at least one pretreatment process, such as a preclean process, an activation process, or combinations thereof for forming exposed surface 314 .
  • a preclean process may be used to remove oxide surface 312 and reveal a silicon surface or a suicide surface of exposed surface 314 .
  • the preclean process may be a wet clean process, such as a buffered oxide etch (BOE) process, a SC1 process, a SC2 process, or a HF-last process.
  • the preclean process may be a dry clean process, such as a plasma etch process.
  • a plasma etch process that may be used during a preclean process is the SICONITM preclean process, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Pretreatment processes such as a preclean process and an activation process for forming exposed surface 314 , are further described below.
  • An activation process may also be used as a pretreatment process to form exposed surface 314 .
  • substrate 300 is exposed to an activation solution containing a cobalt source, a fluoride source, and a hypophosphite source to transform oxide surface 312 to a metal silicide surface of exposed surface 314 .
  • substrate 300 is exposed to an activation solution containing a variable-valence metal reducing agent that chemically reduces oxide surface 312 to a silicon surface of exposed surface 314 .
  • substrate 300 is exposed to reducing hydrogen plasma that chemically reduces oxide surface 312 to a silicon surface of exposed surface 314 .
  • FIGS. 3B-3C illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320 , during steps 560 , 660 , or 760 of processes 500 , 600 , or 700 ( FIGS. 5-7 ), as described by embodiments herein.
  • Metal contact material 320 may be deposited on exposed surface 314 of interconnect layer 302 within contact aperture 310 .
  • Metal contact material 320 is usually deposited on exposed surface 314 during an electroless deposition process to bottom-up fill contact aperture 310 . In one example, the electroless deposition process is continued until aperture 310 is filled with metal contact material 320 .
  • metal contact material 320 may be formed of different materials to vary the composition throughout, such as a graded material.
  • cobalt-nickel stack material 330 is formed of at least two types of materials sequentially deposited on each other, such as layers 322 and 324 , as illustrated in FIGS. 4A and 4B by substrate 400 that correlates to substrate 300 in FIGS. 3C and 3D .
  • layer 322 may contain a cobalt material and layer 324 may contain a nickel material.
  • layer 322 may contain a nickel material and layer 324 may contain a cobalt material.
  • Metal contact material 320 may be formed or deposited during electroless deposition process described herein.
  • the composition of metal contact material 320 may vary based on the particular electronic device requirements.
  • Metal contact material may contain metallic nickel, nickel phosphide, nickel boride, nickel phosphide boride, metallic cobalt, cobalt phosphide, cobalt boride, cobalt phosphide boride, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, cobalt nickel, cobalt nickel phosphide, cobalt nickel boride, cobalt nickel phosphide boride, silicides thereof, derivatives thereof, alloys thereof, or combinations thereof. Electroless deposition processes and solutions for forming or depositing metal contact material 320 and cobalt-nickel stack material 330 , are further described below.
  • FIG. 3D illustrates silicide layer 315 at the interface of exposed surface 314 and metal contact material 320 .
  • Silicide layer 315 may be formed by exposing substrate 300 to an optional thermal process during steps 580 , 680 , or 780 .
  • Silicide layer 315 may contain a cobalt silicide, nickel silicide, cobalt tungsten silicide, cobalt nickel silicide, borides thereof, alloys thereof, derivatives thereof, or combinations thereof.
  • Silicide layer 315 may be formed prior, during, or after filling of aperture 310 with metal contact material 320 .
  • substrate 300 is heated during a thermal process to form silicide layer from silicon atoms of exposed surface 314 and metal atoms of metal contact material 320 .
  • substrate 300 may be heated to a temperature within a range from about 150° C. to about 500° C. for a time period within a range from about 5 seconds to about 10 minutes.
  • FIGS. 3 B and 3 E- 3 G illustrate substrate 300 at different stages of process 500 .
  • SAM-layer 350 may be formed on substrate 300 during optional step 550 , as depicted in FIG. 3E .
  • SAM-layer 350 may be initially deposited over dielectric layer 304 and exposed surface 314 .
  • SAM-layer 350 is deposited on exposed surface 314 containing an exposed contact silicon surface.
  • SAM-layer 350 is deposited on exposed surface 314 containing an exposed contact silicide surface.
  • FIGS. 3E-3F illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320 , during step 560 of process 500 ( FIG. 5 ).
  • Metal contact material 320 is deposited on SAM-layer 350 within contact aperture 310 .
  • Metal contact material 320 is with interconnect layer 302 .
  • substrate 300 may be exposed to a thermal process to form silicide layer 315 ( FIG. 3G ).
  • Silicide layer 315 provides higher electrical conductivity, than without silicide layer 315 , at the interface between interconnect layer 302 and metal contact material 320 .
  • cobalt-nickel stack material 330 may be formed on SAM-layer 350 , as illustrated in FIG. 4C by substrate 400 that correlates to substrate 300 in FIG. 3G . Deposition processes for depositing SAM-layer 350 on substrate 300 are further described below.
  • FIG. 6 depicts a flow graph of process 600 containing steps 610 , 650 , 660 , and 680 that correlate to steps 510 , 550 , 560 , and 580 of process 500 .
  • process 600 further provides the formation or deposition of a suicide material during step 620 .
  • a silicide material may be formed during a pretreatment process at step 510 .
  • substrate 300 may be exposed to a clean process to remove oxides and contaminants and subsequently exposed to an activation process to form a silicide material.
  • FIG. 3H depicts substrate 300 containing metal-containing layer 316 disposed on interconnect layer 302 within contact aperture 310 .
  • Metal-containing layer 316 may contain a metal, a metal silicide, or an alloy thereof.
  • Metal-containing layer 316 may be formed or deposited during steps 510 , 610 , 620 , 710 , or 720 and include a metal layer or a metal silicide layer.
  • metal-containing layer 316 contains a metallic layer and is deposited on exposed surface 314 containing an exposed contact silicon surface. Thereafter, exposed surface 314 and metal-containing layer 316 form a metal silicide during a thermal process.
  • metal-containing layer 316 contains a metal suicide material deposited or formed on exposed surface 314 .
  • metal-containing layer 316 contains a metal silicide material formed either prior to or during steps 620 or 720 .
  • metal-containing layer 316 contains a cobalt material, such as metallic cobalt, cobalt silicide, cobalt phosphide, cobalt boride, cobalt phosphide boride, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, a cobalt alloy, suicides thereof, or combinations thereof.
  • metal-containing layer 316 contains a cobalt nickel material, such as cobalt nickel, cobalt nickel phosphide, cobalt nickel boride, derivatives thereof, alloys thereof, or combinations thereof.
  • metal-containing layer 316 contains a nickel material, such as metallic nickel, nickel silicide, nickel phosphide, nickel boride, nickel phosphide boride, a nickel alloy, or combinations thereof.
  • the exposed silicide contact surface may be metal-containing layer 316 that contains at least one metal, such as cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, or combinations thereof.
  • metal-containing layer 316 may be formed by exposing substrate 300 to an activation solution during an electroless deposition process. In one example, metal-containing layer 316 may be formed by exposing substrate 300 to an activation process. In another example, metal-containing layer 316 may be formed by exposing substrate 300 to a thermal process. In another example, metal-containing layer 316 may be formed by exposing substrate 300 to a silicidation process.
  • FIGS. 3H-3I illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320 , during step 660 of process 600 ( FIG. 6 ).
  • Metal contact material 320 is deposited on metal-containing layer 316 within contact aperture 310 .
  • cobalt-nickel stack material 330 may be formed on metal-containing layer 316 , as illustrated in FIG. 4D by substrate 400 that correlates to substrate 300 in FIG. 3I . Deposition processes for depositing metal-containing layer 316 on substrate 300 are further described below.
  • FIGS. 3H and 3J illustrate substrate 300 at different stages of process 600 .
  • SAM-layer 350 may be formed on substrate 300 during optional step 650 , as depicted in FIG. 3J .
  • SAM-layer 350 may be initially deposited over dielectric layer 304 and metal-containing layer 316 .
  • SAM-layer 350 is deposited on metal-containing layer 316 containing a metallic surface.
  • SAM-layer 350 is deposited on metal-containing layer 316 containing a metal silicide surface.
  • FIGS. 3J-3K illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320 , during step 660 of process 600 .
  • Metal contact material 320 may be deposited on SAM-layer 350 within contact aperture 310 .
  • cobalt-nickel stack material 330 may be formed on SAM-layer 350 , as illustrated in FIG. 4E by substrate 400 that correlates to substrate 300 in FIG. 3K .
  • FIG. 7 depicts a flow graph of process 700 containing steps 710 , 720 , 750 , 760 , and 780 that correlate to steps 610 , 620 , 650 , 660 , and 680 of process 600 .
  • process 700 further provides the deposition of capping layer 318 during step 740 .
  • capping layer 318 may be deposited on substrate 300 as a barrier layer over metal-containing layer 316 , during step 740 of process 700 .
  • capping layer 318 may be deposited on SAM-layer 350 covering metal-containing layer 316 within contact aperture 310 ( FIG. 3L ). Thereafter, metal contact material 320 may be deposited on capping layer 318 filling contact aperture 310 ( FIG. 3M ).
  • cobalt-nickel stack material 330 may be formed on capping layer 318 , as illustrated in FIG. 4F by substrate 400 that correlates to substrate 300 in FIG. 3M .
  • capping layer 318 may be deposited directly on metal-containing layer 316 within contact aperture 310 ( FIG. 3N ). Thereafter, in one aspect, metal contact material 320 may be deposited on capping layer 318 filling contact aperture 310 ( FIG. 3O ). In an alternative embodiment, cobalt-nickel stack material 330 may be formed on capping layer 318 , as illustrated in FIG. 4G by substrate 400 that correlates to substrate 300 in FIG. 3O . In another aspect, SAM-layer 350 may be deposited on capping layer 318 ( FIG. 3P ). Subsequently, metal contact material 320 may be deposited on SAM-layer 350 covering capping layer 318 and filling contact aperture 310 ( FIG. 3Q ).
  • cobalt-nickel stack material 330 may be formed on SAM-layer 350 covering capping layer 318 , as illustrated in FIG. 4H by substrate 400 that correlates to substrate 300 in FIG. 3O .
  • Deposition processes including electroless deposition processes and solutions for depositing capping layer 318 on substrate 300 , are further described below.
  • substrate 300 may be exposed to a wet clean process to remove oxide surface 312 and to form exposed surface 314 during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • Substrate 300 may be treated by wet clean processes, such as an acidic cleaning process (e.g., a solution containing hydrochloric acid and hydrogen peroxide held at elevated temperature, such as SC2 clean), a basic cleaning process (e.g., a solution containing ammonium hydroxide and hydrogen peroxide held at elevated temperature, such as SC1 clean), or a series of wet cleans containing both acidic and basic cleaning processes.
  • an acidic cleaning process e.g., a solution containing hydrochloric acid and hydrogen peroxide held at elevated temperature, such as SC2 clean
  • a basic cleaning process e.g., a solution containing ammonium hydroxide and hydrogen peroxide held at elevated temperature, such as SC1 clean
  • SC1 clean a series of wet cleans containing
  • substrate 300 is exposed to a SC1 solution (e.g., TMAH and H 2 O 2 ) to remove organic residues and other contaminants and subsequently, exposed to a BOE solution (e.g., 0.5 M of TEA-HF solution) to remove native oxides.
  • SC1 solution e.g., TMAH and H 2 O 2
  • BOE solution e.g., 0.5 M of TEA-HF solution
  • a wet clean process may include dispensing a wet clean solution across or sprayed on the surface of substrate 300 .
  • the wet clean process may be an in situ process performed in the same processing cell as a subsequent electroless deposition process.
  • substrate 300 may be wet cleaned in a separate processing cell from the subsequent electroless deposition processing cell.
  • a wet-clean pretreatment process may occur for about 10 minutes or less, such as within a range from about 5 seconds to about 5 minutes, preferably, from about 5 seconds to about 3 minutes, more preferably, from about 10 seconds to about 2 minutes, and more preferably, from about 15 seconds to about 1 minute.
  • the substrate is maintained at a temperature within a range from about 15° C.
  • the wet-clean process may be performed in a TEMPESTTM wet-clean system, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • TEMPESTTM wet-clean system available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Other examples of various wet-clean processes that may be used to remove oxide surface 312 are further described in commonly assigned U.S. Ser. No. 60/709,564 (APPM 9916.L05), filed Aug. 19, 2005, U.S. Ser. No. 60/703,538 (APPM 9916.L03), filed Jul. 29, 2005, and U.S. Ser. No. 60/663,493 (APPM 9916L), filed Mar. 18, 2005, which are all incorporated by reference herein in their entirety.
  • oxide surface 312 is removed by a HF-last solution to form exposed surface 314 as a substantially oxide-free, silicon hydride surface.
  • the wet-clean process utilizes an HF-last solution containing water, HF and optional additives including chelators, surfactants, reductants, other acids or combinations thereof.
  • the hydrogen fluoride concentration of a wet-clean solution may be within a range from about 10 ppm to about 5 wt %, preferably, from about 50 ppm to about 2 wt %, and more preferably, from about 100 to about 1 wt %, for example, about 0.5 wt %.
  • oxide surface 312 is removed during a liquid reduction process to form exposed surface 314 as a substantially oxide-free, silicon-containing surface.
  • substrate 300 containing oxide surface 312 may be exposed to a SC1 clean solution to remove contaminants, such as organic and inorganic residues and particulates during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • the SC1 clean solution contains hydrogen peroxide and at least one basic compound, such as ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, or combinations thereof.
  • the substrate may be heated to a temperature within a range from about 50° C. to about 100° C., preferably, from about 70° C. to about 90° C.
  • substrate 300 containing oxide surface 312 may be exposed to a SC2 clean solution during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • the SC2 clean solution contains hydrogen peroxide and hydrogen chloride.
  • the substrate may be heated to a temperature within a range from about 50° C. to about 100° C., preferably, from about 70° C. to about 90° C.
  • buffered oxide etch (BOE) solutions and processes may be used to selectively remove native oxides and other contaminants from substrate 300 during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • the BOE solutions generally contain alkanolamine compounds and an etchant, such as hydrogen fluoride.
  • the viscosity of the BOE solution may be adjusted by varying a concentration ratio of at least two alkanolamine compounds.
  • a BOE solution having a viscosity within a range from about 10 cP to about 30 cP has superior wetting properties on a substrate surface during a process to selectively remove native oxide layers therefrom.
  • a method for selectively removing oxide surface 312 to form exposed surface 314 on substrate 300 may be exposed to a BOE solution containing about 0.5 M of TEA-HF solution for about 25 seconds at about 20° C. In another example, substrate 300 may be exposed to a BOE solution containing about 0.5 M of EA-HF solution for about 20 seconds at about 20° C. In another example, substrate 300 may be exposed to a BOE solution containing about 0.5 M of DEA-HF solution for about 30 seconds at about 20° C. Thereafter, the excess BOE solution is removed by spinning the substrate for about 10 seconds.
  • a composition of a BOE solution which includes, by weight, a first alkanolamine compound at a concentration within a range from about 0.5% to about 10%, a second alkanolamine compound at a concentration within a range from about 0.5% to about 10%, hydrogen fluoride at a concentration within a range from about 0.5% to about 10%, water at a concentration within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP.
  • the first alkanolamine compound is at a concentration within a range from about 1% to about 5%
  • the second alkanolamine compound is at a concentration within a range from about 1% to about 5%
  • the hydrogen fluoride is at a concentration within a range from about 1% to about 5%
  • the water is at a concentration within a range from about 85% to about 95%
  • the pH value is within a range from about 3.8 to about 4.8
  • the viscosity is within a range from about 12 cP to about 28 cP.
  • the first alkanolamine compound is at a concentration within a range from about 2% to about 3%
  • the second alkanolamine compound is at a concentration within a range from about 2% to about 3%
  • the hydrogen fluoride is at a concentration within a range from about 1% to about 3%
  • the water is at a concentration within a range from about 88% to about 94%
  • the pH value is within a range from about 3.5 to about 5, preferably, from about 4 to about 4.5
  • the viscosity is less than about 50 cP, such as within a range from about 15 cP to about 25 cP.
  • the first alkanolamine compound is at a concentration of about 3%
  • the second alkanolamine compound is at a concentration of about 2%
  • the hydrogen fluoride is at a concentration of about 2%
  • the water is at a concentration of about 92%
  • the pH value is within a range from about 4 to about 4.5
  • the viscosity is less than about 50 cP, such as within a range from about 15 cP to about 25 cP.
  • a weight ratio of the first alkanolamine compound to the second alkanolamine compound is within a range from about 1 to about 5, for example, about 1.5, or about 1.1.
  • the first and second alkanolamine compounds may be different alkanolamine compounds selected from ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), or derivatives thereof.
  • EA ethanolamine
  • DEA diethanolamine
  • TEA triethanolamine
  • the first alkanolamine compound may be DEA and the second alkanolamine compound may be TEA.
  • the first alkanolamine compound is DEA the second alkanolamine compound is EA.
  • the first alkanolamine compound is TEA the second alkanolamine compound is EA.
  • the first alkanolamine compound is DEA at a concentration to have the viscosity within a range from about 15 cP to about 25 cP or at a concentration by weight within a range from about 1% to about 15%.
  • a BOE solution may contain a first alkanolamine and a second alkanolamine compound at a weight ratio concentration to form a viscosity within a range from about 10 cP to about 30 cP, hydrogen fluoride at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP.
  • the first and second alkanolamine compounds may include EA, DEA, TEA, or other alkanolamine derivatives.
  • the weight ratio concentration of the first alkanolamine compound to the second alkanolamine compound is within a range from about 1 to about 5, such as about 1.5 or about 1.1.
  • the viscosity of the BOE solution is within a range from about 12 cP to about 28 cP, preferably, from about 15 cP to about 25 cP.
  • the BOE solution may contain a pH adjusting agent, such as hydrofluoric acid, additional alkanolamine compounds, sulfuric acid, ammonium hydroxide, tetramethylammonium hydroxide, derivatives thereof, or combinations thereof.
  • a pH adjusting agent such as hydrofluoric acid, additional alkanolamine compounds, sulfuric acid, ammonium hydroxide, tetramethylammonium hydroxide, derivatives thereof, or combinations thereof.
  • the BOE solution contains the pH adjusting agent at a concentration to have a pH value within a range from about 3.5 to about 5, preferably, from about 3.8 to about 4.8, and more preferably, from about 4 to about 4.5.
  • the buffered oxide etch solution contains a first alkanolamine compound at a concentration by weight within a range from about 0.5% to about 10%, a second alkanolamine compound at a concentration by weight within a range from about 0.5% to about 10%, hydrogen fluoride at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP.
  • a composition of a BOE solution which includes DEA at a concentration by weight within a range from about 0.5% to about 10%, TEA at a concentration by weight within a range from about 0.5% to about 10%, HF at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5 and a viscosity within a range from about 10 cP to about 30 cP.
  • the composition of the buffered oxide etch solution contains the DEA at a concentration within a range from about 1% to about 5%, the TEA at a concentration within a range from about 1% to about 5%, the HF at a concentration within a range from about 1% to about 5%, the water at a concentration within a range from about 85% to about 95%, the pH value within a range from about 3.8 to about 4.8, and the viscosity within a range from about 12 cP to about 28 cP.
  • the composition of the buffered oxide etch solution contains the DEA at a concentration within a range from about 2% to about 3%, the TEA is at a concentration within a range from about 2% to about 3%, the HF is at a concentration within a range from about 1% to about 3%, the water is at a concentration within a range from about 88% to about 94%, the pH value is within a range from about 4 to about 4.5, and the viscosity is within a range from about 15 cP to about 25 cP.
  • the composition of the buffered oxide etch solution contains the DEA is at a concentration of about 3%, the TEA is at a concentration of about 2%, the HF is at a concentration of about 2%, the water is at a concentration of about 92%, the pH value is within a range from about 4 to about 4.5, and the viscosity is within a range from about 15 cP to about 25 cP.
  • the weight ratio of the DEA to the TEA is within a range from about 1 to about 5, preferably, the weight ratio is about 1.5 or less and the viscosity is about 23 cP.
  • a method for selectively removing an oxide layer from a substrate surface includes providing a substrate having a native oxide surface and a feature surface and exposing the substrate to a buffered oxide etch solution to remove the native oxide surface while forming a native surface and preserving the feature surface on the substrate.
  • the BOE solution may contain DEA at a concentration by weight within a range from about 0.5% to about 10%, TEA at a concentration by weight within a range from about 0.5% to about 10%, HF at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP.
  • the pH value of the BOE solution may be adjusted to a point of zero charge of silicon, such as within a range from about 4 to about 4.5.
  • the BOE solution may have a weight ratio of the DEA to the TEA within a range from about 1 to about 5. In one example of the BOE solution, the weight ratio is about 1.5 and the viscosity is about 23 cP.
  • the method further provides that substrate 300 is exposed to the BOE solution for a time period within a range from about 10 seconds to about 120 seconds, preferably, from about 15 seconds to about 60 seconds, for example, about 30 seconds.
  • Substrate 300 may be exposed to a rinse solution subsequent to the BOE solution.
  • a metal-containing material such as a barrier layer or a metal silicide layer, may be deposited or formed on the native surface.
  • a wet clean solution may contain hydrogen fluoride and at least one basic compound, such as ammonium hydroxide, tetramethylammonium hydroxide, EA, DEA, TEA, derivatives thereof, salts thereof, or combinations thereof.
  • the wet clean solution include an EA-HF complex, a DEA-HF complex, a TEA-HF complex, a DEA-EA-HF complex, a DEA-TEA-HF complex, a TEA-EA-HF complex, derivatives thereof, salts thereof, or combinations thereof.
  • substrate 300 is exposed to a BOE solution containing about 0.5 M of TEA-HF solution for about 25 seconds at about 20° C. Thereafter, the excess BOE solution is removed by spinning the substrate for about 10 seconds.
  • substrate 300 is exposed to a plasma etch process or a plasma clean process remove oxide surface 312 and to form exposed surface 314 during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • the plasma etch process may be used to remove native oxides and other contaminants formed on exposed contact surfaces prior to several processes described herein, such as an electroless deposition process. Surfaces exposed to the plasma etch process usually have an improve adhesion of subsequently deposited metal layers.
  • the plasma etch process is performed in a chamber adapted to perform a chemical etch clean and in-situ anneal on substrates.
  • the plasma etch process begins by placing a substrate into a plasma etch processing chamber.
  • the substrate may be cooled below 65° C., such as between 15° C. and 50° C.
  • the substrate is maintained at a temperature of between 22° C. and 40° C.
  • the substrate support is maintained below about 22° C. to reach the desired substrate temperatures.
  • the ammonia gas and nitrogen trifluoride gas are introduced into the dry etching chamber to form a cleaning gas mixture.
  • the amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma and the volume capacity of the chamber body.
  • the gases are added to provide a gas mixture having at least a 1:1 molar ratio of ammonia to nitrogen trifluoride.
  • the molar ratio of the gas mixture is at least about 3 to about 1 (ammonia to nitrogen trifluoride).
  • the gases are introduced in the dry etching chamber at a molar ratio of from about 1:1 (ammonia to nitrogen trifluoride) to about 30:1, more preferably, from about 5:1 (ammonia to nitrogen trifluoride) to about 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to about 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1. Alternatively, a pre-mixed gas mixture of the preferred molar ratio may be used during the plasma etch process.
  • a purge gas or carrier gas may also be added to the gas mixture.
  • Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, forming gas, or mixtures thereof.
  • the overall gas mixture by volume of ammonia and nitrogen trifluoride is within a range from about 0.05% to about 20%.
  • the remainder of the process gas may be the carrier gas.
  • the purge or carrier gas is first introduced into the chamber body before the reactive gases to stabilize the pressure within the chamber body.
  • the operating pressure within the chamber body can be variable.
  • the pressure may be maintained within a range from about 500 mTorr to about 30 Torr, preferably, from about 1 Torr to about 10 Torr, and more preferably, from about 3 Torr to about 6 Torr.
  • An RF power within a range from about 5 watts to about 600 watts may be applied to ignite a plasma of the gas mixture within the plasma cavity.
  • the RF power is less than about 100 watts. More preferable is that the frequency at which the power is applied is very low, such as less than about 100 kHz, and more preferably, within a range from about 50 kHz to about 90 kHz.
  • the plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH 4 F) compound and/or ammonium hydrogen fluoride (NH 4 F—HF) which reacts with the substrate surface.
  • the carrier gas is first introduced into the dry etch chamber, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 ), ammonia, and water.
  • the ammonia and water are vapors at processing conditions and removed from the chamber by a vacuum pump attached to the chamber. A thin film of ammonium hexafluorosilicate is left behind on the substrate surface.
  • the thin film of ammonium hexafluorosilicate on the substrate surface may be removed during a vacuum sublimation process.
  • the process chamber radiates heat to dissociate or sublimate the thin film of ammonium hexafluorosilicate into volatile SiF 4 , NH 3 , and HF products. These volatile products are then removed from the chamber by the vacuum pump attached to the system.
  • a temperature of about 75° C. or higher is used to effectively sublimate and remove the thin film from the substrate.
  • a temperature of about 100° C. or more is used, such as between about 115° C. and about 200° C.
  • a plasma cleaning processes may be performed using a vacuum preclean chamber, such as a SICONITM Preclean chamber and process, both available from Applied Materials, Inc., located in Santa Clara, Calif.
  • a vacuum preclean chamber such as a SICONITM Preclean chamber and process, both available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Further description of a plasma-assisted dry etch chamber and plasma etch process that may be used by embodiment herein is disclosed in commonly assigned U.S. Ser. No. 11/063,645, (8802), entitled “In-Situ Dry Clean Chamber For Front End Of Line Fabrication,” filed on Feb. 22, 2005, and U.S. Ser. No. 11/192,993, (8707.P1), entitled “Integrated Electroless Deposition System,” filed on Jul. 29, 2005, which are hereby incorporated by reference in their entirety to the extent not inconsistent with the claimed invention.
  • substrate 300 may be exposed to an activation process to remove oxide surface 312 and to form exposed surface 314 , suicide layer 315 , or metal-containing layer 316 , or combinations thereof during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • interconnect layer 302 contains exposed surface 314 has an exposed silicon contact surface within contact aperture 310 .
  • Exposed surface 314 may contain a pure silicon surface, a silicon hydride-terminus surface, or a mixture thereof.
  • interconnect layer 302 contains exposed surface 314 has an exposed silicide contact surface within contact aperture 310 .
  • the exposed silicide contact surface contains a silicide surface, such as a cobalt silicide, a nickel silicide, a cobalt nickel silicide, a cobalt tungsten silicide, alloys thereof, or combinations thereof.
  • a silicide surface such as a cobalt silicide, a nickel silicide, a cobalt nickel silicide, a cobalt tungsten silicide, alloys thereof, or combinations thereof.
  • exposed surface 314 containing an exposed silicide contact surface, silicide layer 315 , or metal-containing layer 316 may contain at least one metal silicide material that contains cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, or combinations thereof.
  • the activation process may be a liquid reduction process, whereas oxide surface 312 is exposed to a reducing solution to form exposed surface 314 during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • the reducing solutions may contain at least one metal-reductant, such as a compound containing a variable-valence metal.
  • Variable-valence metals are utilized as metal-reductants due to the availability of electrons between redox states and include compounds of Ti 3+ /Ti 4+ , Fe 2+ /Fe 3+ , Cr 2+ /Cr 3+ and Sn 2+ /Sn 4+ .
  • Metal-reductants containing variable-valence metals may contain a variety of anionic ligands including complexing agents and halides, such as chlorides, fluorides, bromides or iodides.
  • Complexing agents that are useful may have functional groups that include carboxylic acids, dicarboxylic acids, polycarboxylic acids, amino acids, amines, diamines, polyamines, alkylamines, alkanolamines and alkoxyamines.
  • Complexing agents may include citric acid, glycine, ethylenediamine (EDA), monoethanolamine, diethanolamine (DEA), triethanolamine (TEA), derivatives thereof, salts thereof or combinations thereof.
  • citric acid or a citrate salt is a preferred complexing agent within a reducing solution.
  • a reducing solution may contain titanium salts with carboxylic acid ligands, such as a citrate.
  • the reducing solutions are formed in water by combining a metal halide (e.g., titanium chloride containing TiCl 3 and TiCl 4 ) with a salt containing a predetermined ligand (e.g., trisodium citrate) to form a metal complex or a metal salt that contains the predetermined ligand (e.g., titanium citrate) having a variable-valence state.
  • a metal halide e.g., titanium chloride containing TiCl 3 and TiCl 4
  • a salt containing a predetermined ligand e.g., trisodium citrate
  • the reducing solution may further contain additives such as various chelators, surfactants, pH adjusting agents, or combinations thereof.
  • Variable-valence metal compounds and reducing solutions are further described in V. V. Sviridov et al., “Use of Ti(III) Complexes to reduce Ni, Co, and Fe in Water Solution,” J.
  • substrate 300 is exposed to a reducing solution for a predetermined time to remove the oxides from exposed surface 314 .
  • the liquid reduction process may occur for about 5 minutes or less, preferably within a range from about 1 second to about 120 seconds, more preferably, from about 5 seconds to about 90 seconds.
  • the substrate is heated to a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100° C.
  • the metal-reductant may be a Ti 3+ compound, such as titanium citrate.
  • substrate 300 is exposed to a plasma process for a predetermined time to reduce oxide surface 312 and form exposed surface 314 .
  • the pretreatment process may be a vapor deposition process, whereas oxide surface 312 is exposed to a reducing vapor to form exposed surface 314 during steps 510 , 610 , or 710 of processes 500 , 600 , or 700 .
  • the reductant may include borane, diborane, borane-alkylsulfides, such as borane-dimethylsulfide (BH 3 .(CH 3 ) 2 S), alkyboranes (e.g., ethylborane), phosphine, alkylposphines (e.g., dimethylphosphine), silane, disilane, trisilane, alkylsilanes (e.g., methylsilane), ammonia, hydrazine, hydrogen, derivatives thereof or combinations thereof.
  • the reductant is diborane, phosphine, silane, hydrazine, hydrogen, or combinations thereof.
  • the activation process may be a silicidation process, whereas exposed surface 314 is exposed to an action solution to form metal-containing layer 316 during steps 510 , 610 , 620 , 710 , or 720 of processes 500 , 600 , or 700 .
  • an activation solution to form metal-containing layer 316 containing a cobalt silicide material on substrate 300 contains a cobalt source, a fluoride source, and a hypophosphite source.
  • Useful cobalt sources include cobalt sulfate, cobalt chloride, cobalt fluoride, cobalt acetate, cobalt phosphate, other Co 2+ compounds, derivatives thereof, hydrates thereof or combinations thereof.
  • cobalt sulfate heptahydrate (COSO 4 .7H 2 O) is used within the activation solution to form cobalt-containing materials.
  • the activation solution may have a cobalt source at a concentration within a range from about 1 mM to about 500 mM, preferably, from about 1 mM to about 100 mM, more preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 30 mM, for example, about 22 mM.
  • the activation solution further contains a fluoride source.
  • fluoride sources include hydrogen fluoride, ammonium fluoride compounds, including alkylammonium fluoride compounds and metal fluoride compounds, including alkaline fluoride compounds, rare-earth fluoride compounds, transition metal fluoride compounds, or combinations thereof.
  • Ammonium fluoride compounds, alkylammonium fluoride compounds, and alkanolamine fluoride compounds may have a chemical formula of [R 4 N][F], wherein each R is independently a hydrogen (H), an alkyl group, such as methyl (—CH 3 or Me), ethyl(—C 2 H 5 or Et), propyl(—C 3 H 7 or Pr), butyl(—C 4 H 9 or Bu), amyl(—C 5 H 11 ), an alcohol group, such as methanol (—CH 2 OH), ethanol (—C 2 H 2 OH), propanol (—C 3 H 6 OH), butanol (—C 4 H 8 OH), pentanol (—C 5 H 10 OH), derivatives thereof, or combinations thereof.
  • R is independently a hydrogen (H), an alkyl group, such as methyl (—CH 3 or Me), ethyl(—C 2 H 5 or Et), propyl(—C 3 H 7 or Pr), butyl
  • the activation solution may have a fluoride concentration within a range from about 1 mM to about 1 M, preferably, from about 10 mM to about 400 mM, more preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 120 mM, for example, about 83 mM.
  • EA-HF ethanolammonium fluoride
  • DEA-HF diethanolammonium fluoride
  • the fluoride sources include ammonium fluoride ([H 4 N][F]) and tetramethylammonium fluoride ([Me 4 N][F]).
  • the fluoride compounds may be added as a fluoride salt or may be formed to the activation solution.
  • a fluoride source may be formed in situ by combining a basic compound to an acidic compound, such as combining diethanolamine (DEA) and hydrogen fluoride (HF) to form diethanolammonium fluoride (DEA-HF). Therefore, the activation solution may contain a fluoride compound and also a complexing base to form the respective fluoride salt.
  • DEA diethanolamine
  • HF hydrogen fluoride
  • the activation solution may contain a fluoride compound and also a complexing base to form the respective fluoride salt.
  • the base such as ammonia, alkylamine compounds, alkanolamine compounds, or mixtures thereof, may be contained in the activation solution at a concentration within a range from about 1 mM to about 1 M, preferably, from about 10 mM to about 400 mM, more preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 120 mM, for example, about 80 mM.
  • the activation solution may contain two, three or more different alkanolamine fluoride compounds, alkanolamine compounds, other fluoride sources, hydrogen fluoride, salts thereof, or mixtures thereof.
  • the viscosity of the activation solution may be adjusted by varying a concentration ratio of at least two alkanolamine compounds.
  • An activation solution having a viscosity within a range from about 10 cP to about 30 cP has superior wetting properties while activating a substrate surface.
  • a molar ratio of the first alkanolamine compound to the second alkanolamine compound is within a range from about 1 to about 5, preferably, from about 1 to about 1.5, for example, about 1.2.
  • the first and second alkanolamine compounds may be different alkanolamine compounds selected from ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), or derivatives thereof.
  • EA ethanolamine
  • DEA diethanolamine
  • TEA triethanolamine
  • the first alkanolamine compound may be DEA and the second alkanolamine compound may be TEA.
  • the first alkanolamine compound is DEA the second alkanolamine compound is EA.
  • the first alkanolamine compound is TEA the second alkanolamine compound is EA.
  • An activation solution further contains a reductant, such as a hypophosphite source.
  • a reductant such as a hypophosphite source.
  • Useful hypophosphite sources include sodium hypophosphite, potassium hypophosphite, lithium hypophosphite, calcium hypophosphite, ammonium hypophosphite, tetramethylammonium (TMAH) hypophosphite and other alkylammonium hypophosphites, derivatives thereof, or combinations thereof.
  • TMAH alkylammonium
  • a preferred hypophosphite source is TMAH hypophosphite or sodium hypophosphite.
  • the activation solution may have a hypophosphite concentration within a range from about 1 mM to about 1 M, preferably, from about 10 mM to about 400 mM, more preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 120 mM, for example, about 83 mM.
  • a cobalt activation solution may be used to form metal-containing layer 316 .
  • the activation solution may contain a cobalt concentration within a range from about 1 mM to about 100 mM, a fluoride concentration within a range from about 10 mM to about 400 mM, and a hypophosphite concentration within a range from about 5 mM to about 150 mM.
  • an activation solution may contain a cobalt concentration within a range from about 5 mM to about 50 mM, a fluoride concentration within a range from about 20 mM to about 200 mM, and a hypophosphite concentration within a range from about 10 mM to about 80 mM.
  • an activation solution may contain a cobalt concentration within a range from about 10 mM to about 30 mM, a fluoride concentration within a range from about 50 mM to about 120 mM, and a hypophosphite concentration within a range from about 20 mM to about 60 mM.
  • an activation solution contains a cobalt concentration of about 22 mM, a fluoride concentration of about 83 mM, and a hypophosphite concentration of about 43 mM.
  • an activation solution has a pH value of about 7 and contains about 22 mM of a cobalt sulfate hexahydrate, about 70 mM of DEA-HF, about 18 mM of HF, and about 43 mM of a TMAH-hypophosphite.
  • an activation solution has a pH value of about 7 and contains about 22 mM of a cobalt sulfate hexahydrate, about 70 mM of TEA-HF, about 18 mM of HF, and about 43 mM of a TMAH-hypophosphite.
  • an activation solution has a pH value of about 7 and contains about 22 mM of a cobalt sulfate hexahydrate, about 70 mM of DEA-TEA-HF (DEA:TEA molar ratio of 1.22), about 18 mM of HF, and about 43 mM of a TMAH-hypophosphite.
  • the substrate may be exposed to an activation solution to form a cobalt silicide layer for a time period within a range from about 1 second to about 90 seconds, preferably, from about 5 seconds to about 60 seconds, and more preferably, from about 10 seconds to about 30 seconds, for example, about 20 seconds.
  • the substrate may be heated to a temperature within a range from about 70° C. to about 95° C., preferably, from about 80° C. to about 85° C. during the activation process.
  • SAM-layer 350 may be deposited on substrate 300 to provide further barrier protection between neighboring layers during steps 550 , 650 , or 750 of processes 500 , 600 , or 700 .
  • An example of a prototypical SAM material includes, but is not limited to, aminopropyltriethoxy silane (APTES).
  • APTES aminopropyltriethoxy silane
  • a vapor deposition process may be used to form SAM-layer 350 from APTES. Further description of a process for depositing a SAM material is disclosed in commonly assigned U.S. Ser. No. 60/754,230, filed Dec. 27, 2005, (9916L.06), which is herein incorporated by reference in its entirety.
  • Substrate 300 may be exposed to a plasma treatment process prior to depositing SAM-layer 350 , as described in embodiments herein, such as during optional step 750 of process 700 ( FIG. 7 ).
  • a plasma treatment process containing water, hydrogen, or combinations thereof forms a silanol (e.g., Si—OH) terminated surface for promoting strong adhesion of SAM-layer 350 to an underlying layer, such as dielectric layer 304 , exposed surface 314 , silicide layer 315 , metal-containing layer 316 , capping layer 318 , and other surfaces and layers on substrate 300 .
  • silanol e.g., Si—OH
  • SAM-layer 350 may be deposited on substrate 300 covering exposed surface 314 and dielectric layer 304 ( FIG. 3E ).
  • Metal contact material 320 is formed over SAM-layer 350 ( FIG. 3F ).
  • substrate 300 is exposed to a thermal process and silicide layer 315 is formed at the interface between metal contact material 320 and interconnect layer 302 ( FIG. 3G ). The portion of SAM-layer 350 therebetween is decomposed and may incorporate carbon into silicide layer 315 .
  • SAM-layer 350 may be deposited on substrate 300 covering metal-containing layer 316 and dielectric layer 304 ( FIG. 3J ).
  • metal contact material 320 is directly formed over SAM-layer 350 ( FIG. 3K ).
  • capping layer 318 is formed over SAM-layer 350 prior to the deposition of metal contact material 320 ( FIG. 3L-3M ).
  • the portion of SAM-layer 350 formed at the interface between metal contact material 320 and metal-containing layer 316 may be preserved ( FIG. 3K ), but usually is decomposed and incorporates carbon into nearby layers, such as metal-containing layer 316 ( FIG. 3M ).
  • the composition of SAM-layer 350 , the composition of neighboring layers, and the subsequent process temperatures experienced by substrate 300 determine the extent the durability or decomposition of SAM-layer 350 .
  • SAM-layer 350 may be deposited on substrate 300 covering capping layer 318 and dielectric layer 304 ( FIG. 3P ) and metal contact material 320 is directly formed over SAM-layer 350 ( FIG. 3Q ).
  • Capping layer 318 may be formed or deposited on substrate 300 , as described in embodiments herein, such as during step 740 of process 700 ( FIG. 7 ).
  • a selective deposition process may be used to form or deposit capping layer 318 over the exposed areas of metal-containing layer 316 ( FIGS. 3L-3Q ).
  • the selective deposition process may include an electroless deposition process, a CVD process, a PE-CVD process, an ALD process, a PE-ALD process, a PVD process, or combinations thereof.
  • the selective process covers metal-containing layer 316 while maintaining sidewalls of contact aperture 310 free of deposited material.
  • Capping layer 318 as a barrier layer, eliminates or reduces atomic diffusion (e.g., silicon) into metal contact materials 320 from metals or metal suicides contained within metal-containing layer 316 .
  • capping layer 318 may be selectively deposited by use of an electroless deposition process.
  • the electroless deposition process may be used to form a layer that contains a binary alloy, a ternary alloy, or a quaternary alloy.
  • Capping layer 318 may contain cobalt boride (CoB), cobalt phosphide (CoP), nickel boride (NiB), nickel phosphide (NiP), cobalt tungsten phosphide (CoWP), cobalt tungsten boride (CoWB), nickel tungsten phosphide (NiWP), nickel tungsten boride (NiWB), cobalt molybdenum phosphide (CoMoP), cobalt molybdenum boride (CoMoB), nickel molybdenum phosphide (NiMoB), nickel molybdenum phosphide (NiMoP), nickel rhenium phosphide (Ni
  • Capping layer 318 containing a cobalt-tungsten alloy or a nickel-containing material may be deposited during an electroless deposition process that utilizes either a pre-mixed solution or solution prepared by an in-line mixing process that combines componential solutions.
  • an electroless solution to deposit a cobalt-tungsten alloy may contain a cobalt source, a tungsten source, a citrate source, a hypophosphite source, a borane reductant, and other additives.
  • an electroless solution to deposit a nickel-containing material may contain a nickel source, a citrate source, a borane reductant and other complexing agents and additives.
  • FIGS. 4A-4H depict substrate 400 having contact aperture 310 filled with cobalt-nickel stack material 330 during steps 560 , 660 , or 760 of processes 500 , 600 , or 700 .
  • Cobalt-nickel stack material 330 may be formed during a bottom-up electroless deposition process.
  • Cobalt-nickel stack material 330 may be formed by a sequential electroless deposition process containing at least two electroless solutions, such as a cobalt solution containing a cobalt source and a nickel solution containing a nickel source.
  • Cobalt-nickel stack material 330 is formed of sequential layers of at least two types of materials, layers 322 and 324 , wherein layers 322 may contain a cobalt material and layers 324 may contain a nickel material or vice versa.
  • Cobalt materials and nickel materials contained within cobalt-nickel stack material 330 may contain cobalt, nickel, tungsten, boron or phosphorus and include materials such as metallic cobalt, cobalt boride, cobalt phosphide, cobalt borophosphide, metallic nickel, nickel boride, cobalt tungsten boride, nickel phosphide, nickel borophosphide, nickel tungsten boride, derivatives thereof, alloys thereof, or combinations thereof.
  • cobalt-nickel stack material 330 on substrate 400 may be formed during a sequential electroless deposition process, instead of forming metal contact material 320 on substrate 300 ( FIG. 3C ).
  • a non-sequential electroless deposition process for the sequential electroless deposition process, a variety of alternative features may be formed on substrate 400 .
  • cobalt-nickel stack material 330 on substrate 400 may be formed during a sequential electroless deposition process, instead of forming metal contact material 320 on substrate 300 ( FIG. 3D ).
  • Other examples include substituting metal contact material 320 on substrate 300 for cobalt-nickel stack material 330 on substrate 400 , where FIG.
  • cobalt-nickel stack material 330 may be a graded contact plug by forming layers 322 and 324 closer to interconnect layer 302 with a different composition than layers 322 and 324 that are further away from interconnect layer 302 .
  • Sequential electroless deposition processes contain a variety of sequences for exposing a substrate to deposition solutions and rinse solutions.
  • FIG. 8 illustrates a flow chart of process 800 describing one embodiment of a sequential electroless deposition process.
  • a substrate may be exposed to a pretreatment process as described herein to remove oxide surfaces, form hydride surfaces, form metallic surfaces, form silicide surfaces, form a SAM material, form a capping layer, by pre-clean processes, activation processes, reduction processes, or combinations thereof.
  • FIG. 9A depicts a graph to illustrate periods of time for exposing a substrate to solutions during an electroless process, such as process 800 .
  • a cobalt material is formed by exposing the substrate to a cobalt solution containing a cobalt source for a time period between to and t 1 .
  • the cobalt material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 ⁇ , preferably, from about an atomic layer to about 50 ⁇ , and more preferably, from about 5 ⁇ to about 30 ⁇ .
  • the time period between t 0 and t 1 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • the substrate is exposed to a rinse solution during a rinse process for a time period between t 1 and t 2 during step 830 .
  • the rinse solution is preferably water, such as deionized water.
  • the rinse solution may contain an additive, such as a surfactant, a chelator or a mild reductant.
  • the rinse process removes the remaining cobalt solution and any byproducts.
  • the time period between t 1 and t 2 is within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • a nickel material is formed by exposing the substrate to a nickel solution containing a nickel source for a time period between t 2 and t 3 .
  • the nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 ⁇ , preferably, from about an atomic layer to about 50 ⁇ , and more preferably, from about 5 ⁇ to about 30 ⁇ .
  • the time period between t 2 and t 3 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • the substrate is again exposed to the rinse solution during a rinse process for a time period between t 3 and t 4 during step 850 .
  • the rinse solution may be the same solution as used during step 830 or the rinse solution may be different, dependant on the specific chemistries of the cobalt and nickel solutions.
  • the rinse process removes the remaining nickel solution and any byproducts.
  • the time period between t 3 and t 4 is within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • the sequential electroless process is complete at step 860 .
  • multiple cycles of steps 820 , 830 , 840 , and 850 may be repeated until the cobalt-nickel material is formed with the predetermined thickness.
  • a second cycle of steps 820 , 830 , 840 , and 850 may be completed during t 4 -t 8 and a third cycle of steps 820 , 830 , 840 , and 850 may be completed during t 8 -t 12 ( FIG. 9A ).
  • the cobalt-nickel material is deposited onto the substrate to fill any vias or apertures.
  • process 800 may be modified by rearranging or omitting process steps.
  • step 820 may include a nickel solution and not a cobalt solution while step 840 includes a cobalt solution and not a nickel solution.
  • steps 820 or 840 may by skipped during a cycle.
  • multiple layers of cobalt material may be deposited on each other or multiple layers of nickel material may be deposited on each other.
  • a graded cobalt-nickel material may be formed by selectively manipulating the order or the amount of time of process steps 820 , 830 , 840 , and 850 .
  • the composition of layers 322 and 324 may vary throughout cobalt-nickel stack material 330 , such as that layers 322 and 324 that are closer to interconnect layer 302 have a different composition than layers 322 and 324 that are further away from interconnect layer 302 ( FIGS. 4A-4H ).
  • Electroless deposition processes that may be utilized to control composition of cobalt-nickel materials formed during processes described herein (e.g., processes 800 and 1000 ) are further disclosed in commonly assigned U.S. Ser. No. 11/040,962, entitled “Method and Apparatus for Selectively Changing Thin Film Composition During Electroless Deposition in a Single Chamber,” filed on Jan. 22, 2005, and published as US 2005-0181226, which is incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • FIGS. 9B-9D depict graphs to illustrate periods of time for exposing a substrate to solutions during various permutations of the electroless deposition processes.
  • Process 800 may be modified by omitting step 830 ( FIG. 9B ), by omitting step 850 ( FIG. 9C ), or conducting steps 820 and 840 during the same time and omitting either step 830 or step 850 ( FIG. 9D ).
  • FIG. 10 illustrates a flow chart of process 1000 describing another embodiment of a sequential electroless deposition process
  • FIG. 11 depicts a graph to illustrate periods of time for exposing a substrate to solutions during an electroless deposition process, such as process 1000 .
  • a substrate may be exposed to a pretreatment process and/or activation process as described for step 810 .
  • a cobalt-nickel material may be formed by exposing a substrate to an increasing amount of a cobalt solution while exposing the substrate to a decreasing amount of a nickel solution for a time period between t 0 and t 1 during a transition step.
  • the cobalt-nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 ⁇ , preferably, from about an atomic layer to about 50 ⁇ , and more preferably, from about 5 ⁇ to about 30 ⁇ .
  • the time period between t 0 and t 1 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • a cobalt material is formed by exposing the substrate to a cobalt solution for a time period between t 1 and t 2 .
  • the cobalt material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 ⁇ , preferably, from about an atomic layer to about 50 ⁇ , and more preferably, from about 5 ⁇ to about 30 ⁇ .
  • the time period between t 1 and t 2 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • a cobalt-nickel material may be formed by exposing the substrate to a decreasing amount of a cobalt solution while exposing the substrate to an increasing amount of a nickel solution for a time period between t 2 and t 3 during another transition step.
  • the cobalt-nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 ⁇ , preferably, from about an atomic layer to about 50 ⁇ , and more preferably, from about 5 ⁇ to about 30 ⁇ .
  • the time period between t 2 and t 3 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • a nickel material may be formed by exposing the substrate to a nickel solution for a time period between t 3 and t 4 during step 1030 .
  • the nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 ⁇ , preferably, from about an atomic layer to about 50 ⁇ , and more preferably, from about 5 ⁇ to about 30 ⁇ .
  • the time period between t 3 and t 4 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • the sequential electroless process may be complete at step 1040 .
  • multiple cycles of steps 1020 and 1030 and transition steps are repeated until the cobalt-nickel material is formed with a predetermined thickness.
  • a second cycle of steps 1020 and 1030 and transition steps may be completed during t 4 -t 8 and a third cycle of steps 1020 and 1030 and transition steps may be completed during t 8 -t 12 ( FIG. 10 ).
  • the cobalt-nickel material is deposited onto the substrate to fill any vias or apertures.
  • the substrate may be exposed to a chemical mechanical polishing (CMP) process prior to the pretreatment and deposition processes described herein.
  • CMP chemical mechanical polishing
  • the CMP process is conducted in a first process chamber
  • the nickel-containing layer or cobalt-tungsten alloy layer may be deposited in a second process chamber and the first and second process chambers are on the same CMP tool.
  • the first and second process chambers may be in fluid communication to an in-line mixing system that combines stock solutions used in the pretreatment process and/or the electroless deposition processes.
  • a nickel-containing material may deposited as metal-containing layer 316 or metal contact material 320 on substrate 300 during steps 560 , 660 , or 760 of processes 500 , 600 , or 700 .
  • Nickel-containing materials may be deposited as capping layers or filling material by an electroless deposition process throughout embodiments described herein.
  • Nickel-containing materials include metallic nickel, nickel boride, nickel phosphide, nickel boride phosphide, or other nickel alloys.
  • the electroless deposition solution to form nickel-containing materials is an aqueous solution that generally contains a nickel source, a reductant, at least one complexing agent, a pH adjusting agent, and optional additives and surfactants.
  • a nickel-containing material may be deposited by an electroless process utilizing either a pre-mixed electroless deposition solution or an in-line mixing process that combines solution components to generate the electroless solution.
  • the nickel source within the electroless deposition solution may have a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM.
  • Nickel sources provide nickel ions (e.g., Ni 2+ ) dissolved within the electroless solution and later reduced out as the deposited nickel-containing material.
  • Useful nickel sources include nickel sulfate, nickel chloride, nickel acetate, nickel phosphate, derivatives thereof, hydrates thereof, or combinations thereof.
  • nickel sulfate hexahydrate NiSO 4 .6H 2 O is used in the electroless solution to deposit nickel-containing materials.
  • the reductant within the electroless deposition solution may have a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM.
  • Reductants provide electrons to induce chemical reduction of the nickel ions that form and deposit the nickel-containing material.
  • Reductants may include organic reductants (e.g., formaldehyde or glyoxylic acid), hydrazine, organic hydrazines (e.g., methyl hydrazine), hypophosphite sources (e.g., hypophosphorous acid (H 3 PO 2 ), ammonium hypophosphite ((NH 4 ) 4-x H x PO 2 ) and salts thereof), borane sources (e.g., dimethylamine borane complex ((CH 3 ) 2 NH.BH 3 ), DMAB), trimethylamine borane complex ((CH 3 ) 3 N.BH 3 ), TMAB), tert-butylamine borane complex ( t BuNH 2 .BH 3 ), tetrahydrofuran borane complex (THF.BH 3 ), pyridine borane complex (C 5 H 5 N.BH 3 ), ammonia borane complex (NH 3 .BH 3 ), borane (BH 3 ), dibor
  • Chelators or complexing agents are in the electroless solution to complex nickel ions thereby stabilizing the solubility and reduction of nickel ions.
  • the complexing agents may have a concentration of about 2 M or less, such as within a range from about 10 mM to about 500 mM, preferably, from about 50 mM to about 300 mM, and more preferably, from about 75 mM to about 200 mM, such as about 150 mM.
  • Complexing agents generally may have functional groups, such as carboxylic acids, dicarboxylic acids, polycarboxylic acids, amino acids, amines, diamines, polyamines, alkylamines, alkanolamines, or alkoxyamines.
  • Complexing agents may include citric acid, citrates, glycolic acid, glycine, malonic acid, maleic acid, lactic acid, ethylenediaminetetraacetic acid (EDTA), ethylenediamine (EDA), triethylene tetramine (TETA), diaminoethane, ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), hydroxylamine hydrochloride, ammonia, ammonium chloride, derivatives thereof, salts thereof, or combinations thereof.
  • the electroless solution contains more than one complexing agent.
  • the electroless solution contains at least citric acid or citrate salts, more preferably, the electroless solution also contains DEA, glycine, and/or lactic acid.
  • the electroless solution contains about 45 mM of citric acid, about 16 mM of DEA, about 5 mM of glycine, and about 85 mM of lactic acid.
  • a pH adjusting agent may be added to adjust the electroless solution to a pH value within a range from about 8 to about 10, preferably, from about 8.5 to about 10, and more preferably, from about 9.0 to about 9.5, such as about 9.2.
  • the pH adjusting agent may be an acidic compound to decrease the pH value of the electroless solution and include hydrochloric acid, sulfuric acid, phosphoric acid, derivatives thereof, or combinations thereof.
  • the pH adjusting agent may be a basic compound to increase the pH value of the electroless solution and include metal hydroxides, tetraalkylammonium hydroxides (e.g., tetramethylammonium hydroxide ((CH 3 ) 4 NOH, TMAH) or tetraethylammonium hydroxide ((CH 3 CH 2 ) 4 NOH, TEAH)), ammonium hydroxide, DEA, TEA, derivatives thereof, or combinations thereof.
  • the pH adjusting agent may be dissolved in water prior to adjusting the pH value of the electroless solution.
  • a 25 wt % aqueous solution of TMAH is used as a pH adjusting agent.
  • both TMAH and DEA are used to adjust the pH value of an electroless solution.
  • the optional additives may include levelers, accelerators and suppressors.
  • Levelers within the electroless solution are used to achieve different deposition thickness as a function of leveler concentration and feature geometry while depositing nickel-containing materials.
  • the leveler within the electroless deposition solution may have a concentration within a range from about 20 ppb to about 600 ppm, preferably, from about 100 ppb to about 100 ppm.
  • Examples of levelers that may be employed in an electroless solution include, but are not limited to alkylpolyimines and organic sulfonates, such as 1-(2-hydroxyethyl)-2-imidazolidinethione (HIT), 4-mercaptopyridine, 2-mercaptothiazoline, ethylene thiourea, thiourea, or derivatives thereof.
  • the electroless deposition solution may contain brighteners or accelerators and suppressors as alternative additives to provide further control of the deposition process.
  • the role of accelerators is to achieve a smoothly deposited surface of the nickel-containing material.
  • the accelerator within the electroless deposition solution has a concentration within a range from about 20 ppb to about 600 ppm, preferably, from about 100 ppb to about 100 ppm.
  • Accelerators that are useful in an electroless solution for depositing nickel-containing materials may include sulfur-based compounds such as bis(3-sulfopropyl)disulfide (SPS), 3-mercapto-1-propane sulfonic acid (MPSA), aminoethane sulfonic acids, thiourea, derivatives thereof, combinations thereof.
  • Suppressors are used to suppress nickel deposition by initially adsorbing onto underlying catalytic surfaces and therefore blocking access to the catalyst of the reaction.
  • Suppressors generally may include polyethylene glycol (PEG), polypropylene glycol (PPG), polyoxyethylene-polyoxypropylene copolymer (POCP), benzotriazole (BTA), dipyridyl, dimethyl dipyridyl, derivatives thereof, or combinations thereof.
  • the suppressor within the electroless deposition solution has a concentration within a range from about 20 ppb to about 600 ppm, preferably, from about 100 ppb to about 100 ppm.
  • the electroless solution may contain boric acid as an additional additive.
  • Boric acid is added to provide additional buffering and to stabilize the composition of the solution.
  • Boric acid is an oxidation by-product from the chemical reactions of borane reductants (e.g., DMAB). Therefore, an electroless solution containing boric acid is more normalized at the start of the deposition process since a less steep dissipation gradient exist as additional boric acid is formed from the borane reductant.
  • Boric acid is preferably within the electroless deposition solution at concentration within a range from about 0.1 mM to about 50 mM, preferably, from about 0.5 mM to about 5 mM, and more preferably, from about 1 mM to about 3 mM, such as about 2 mM.
  • an optional surfactant may be added to the electroless solution.
  • the surfactant is a wetting agent to reduce the surface tension between the electroless solution and the substrate surface.
  • Surfactants are generally added to the electroless solution at a concentration of about 1,000 ppm or less, preferably about 800 ppm or less, such as from about 20 ppb to about 600 ppm.
  • the surfactant may have ionic or non-ionic characteristics.
  • a preferred surfactant includes dodecyl sulfates, such as sodium dodecyl sulfate (SDS).
  • Other surfactants that may be used in the electroless deposition solution include glycol ether based surfactants (e.g., polyethylene glycol).
  • a glycol ether based surfactants may contain polyoxyethylene units, such as TRITON® 100, available from Dow Chemical Company.
  • a nonylphenol ethoxylate surfactant is useful in the electroless deposition solution, such as TERGITOL®, available from Dow Chemical Company or IGEPAL-630, available from GAF Corporation.
  • Other useful surfactants may contain phosphate units, for example, sodium poly(oxyethylene)phenyl ether phosphate, such as RHODAFAC® RE-610, available from Rhodia, Inc.
  • the surfactants may be single compounds or a mixture of compounds of molecules containing varying length of hydrocarbon chains.
  • An electroless process to deposit nickel-containing materials may utilize an in-line mixing process to form the electroless solution.
  • the process may contain the addition of two, three, four or more componential solutions to form the electroless solution.
  • the electroless solution is formed by combining a buffered complexing solution, a nickel-containing solution, a reducing solution and water, where each solution is a concentrate and water is added to reach a predetermined concentration of the final solution.
  • the electroless solution is formed by combining a buffered complexing solution, a nickel-containing solution and a reducing solution, where each of the solutions are pre-diluted and therefore do not require additional water.
  • the electroless solution is formed by combining a buffered nickel-containing solution, a reducing solution and water, where a buffered complexing solution and a nickel-containing solution are combined to form the buffered nickel-containing solution.
  • a buffered complexing solution and a nickel-containing solution are combined to form the buffered nickel-containing solution.
  • a buffered complexing solution usually contains water, at least one complexing agent, additives and a pH adjusting agent.
  • the complexing agent within the buffered complexing solution is at a concentration from about 0.1 M to about 3 M, preferably, from about 0.2 M to about 2 M.
  • the additive within the buffered complexing solution is at a concentration from about 10 mM to about 1 M, preferably, from about 50 mM to about 500 mM.
  • the pH adjusting agent is at a concentration to provide the buffered complexing solution with a pH value within a range from about 8 to about 11, preferably, from about 9 to about 10, and more preferably, from about 9.2 to about 9.6, such as about 9.4.
  • a buffered complexing solution contains water, about 450 mM of DEA, about 300 mM of citric acid, about 150 mM of glycine, about 50 mM of boric acid and a concentration of TMAH to adjust the pH value to about 9.4.
  • a buffered complexing solution contains water, about 1.15 M of DEA, about 375 mM of citric acid, about 300 mM of glycine, about 100 mM of boric acid and a concentration of TMAH to adjust the pH value to about 9.4.
  • a buffered complexing solution contains water, about 330 mM of DEA, about 300 mM of citric acid, about 150 mM of glycine, about 50 mM of boric acid and a concentration of TMAH to adjust the pH value to about 9.4.
  • a nickel-containing solution usually contains water, a nickel source, at least one complexing agent, and a pH adjusting agent.
  • the nickel source within the nickel-containing solution is at a concentration from about 50 mM to about 1 M, preferably, from about 100 mM to about 500 mM, such as about 300 mM.
  • the complexing agent within the nickel-containing solution is at a concentration from about 0.2 M to about 2 M, preferably, from about 0.5 M to about 1 M.
  • the pH adjusting agent is at a concentration to provide the nickel-containing solution with a pH value within a range from about 8 to about 11, preferably, from about 8.5 to about 10, and more preferably, from about 9 to about 9.5, such as about 9.2.
  • a nickel-containing solution contains water, about 100 mM of citric acid, about 275 mM of nickel sulfate, about 567 mM of 85% lactic acid and a concentration of TMAH to adjust the pH value to about 9.2.
  • a reducing solution usually contains water, at least one reductant, at least one complexing agent and a pH adjusting agent.
  • the reductant within the reducing solution is at a concentration from about 10 mM to about 500 mM, preferably, from about 50 mM to about 300 mM, such as about 200 mM.
  • the complexing agent within the reducing solution is at a concentration from about 10 mM to about 200 mM, preferably, from about 50 mM to about 150 mM, such as about 100 mM.
  • the pH adjusting agent is at a concentration to provide the reducing solution with a pH value within a range from about 8 to about 11, preferably, from about 9 to about 10, and more preferably, from about 9.0 to about 9.4, such as about 9.2.
  • a reducing solution contains water, about 100 mM of citric acid, about 204 mM of DMAB, and a concentration of TMAH to adjust the pH value to about 9.2.
  • the electroless solution is preferably formed by in-line mixing process that combines various volumetric ratios of the buffered complexing solution, the nickel-containing solution, the reducing solution and water.
  • one volumetric equivalent of a buffered complexing solution, two volumetric equivalents of a nickel-containing solution, two volumetric equivalents of a reducing solution, and five volumetric equivalents of deionized water are in-line mixed to form an electroless solution. That is, the volumetric ratio of the buffered complexing solution, the nickel-containing solution, the reducing solution, and the deionized water is 1:2:2:5.
  • a volumetric ratio of the buffered complexing solution, the nickel-containing solution, the reducing solution, and the water is 1:1:1:3.
  • the volumetric percentages of the buffered complexing solution, the nickel-containing solution, the reducing solution and the deionized water is about 3.5%, about 15%, about 20%, and about 61.5%, therefore, the volumetric ratio is about 1.0 to about 4.3 to about 5.7 to about 17.6.
  • an electroless solution contains: nickel sulfate with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; DMAB with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; citric acid with a concentration within a range from about 5 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 60 mM, such as about 45 mM; DEA with a concentration within a range from about 5 mM to about 100 mM, preferably, from about 10 mM to about 30 mM, such as about 16
  • citrate is a preferred complexing agent and is present in each componential solution, such as the buffered complexing solution, the nickel-containing solution and the reducing solution.
  • Citrate may be added as citric acid and/or as a citrate salt.
  • Citrate plays an important role for buffering each of the individual componential solutions while being combined to form the plating solution.
  • Citrates generally have poor solubility in water at high concentrations, while the componential solutions may have relatively concentrated solutions. If a substantial citrate concentration of the final electroless solution is desired, a single componential solution may not be capable of completely containing all the dissolved citrate. Therefore, the citrate may be dissolved in each componential solution to assure no formation of citrate precipitate, and subsequently combined with water forming the electroless solution at a final concentration.
  • the electroless deposition process may be conducted at a temperature within a range from about 35° C. to about 120° C., preferably, from about 60° C. to about 100° C., and more preferably, from about 75° C. to about 80° C.
  • the water may be degassed, preheated and/or deionized water. Degassing the water reduces the oxygen concentration of the subsequently formed electroless solution.
  • An electroless solution with a low oxygen concentration (e.g., less than about 100 ppm) may be used during the deposition process.
  • Preheated water allows forming the electroless solution at a predetermined temperature just below the temperature used to initiate the deposition process, thereby shortening the process time.
  • a suitable apparatus includes the SLIMCELLTM processing platform that is available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the SLIMCELLTM platform for example, is an integrated system capable of etching a native oxide within a wet-clean cell during a BOE process and depositing cobalt-containing materials, cobalt-tungsten materials, cobalt-nickel materials, nickel-containing materials within an EDP cell.
  • the SLIMCELLTM platform generally includes a wet-clean cell or etch cell and one or more EDP cells as well as one or more pre-deposition or post-deposition cell, such as spin-rinse-dry (SRD) cells or annealing chambers.
  • SRD spin-rinse-dry
  • Process systems, platforms, chambers, and cells useful for wet clean processes and electroless deposition processes, as described herein, are further disclosed in commonly assigned U.S. Ser. No. 10/059,572, entitled “Electroless Deposition Apparatus,” filed Jan. 28, 2002, and published as US 2003-0141018, U.S. Ser. No. 10/965,220, entitled, “Apparatus for Electroless Deposition,” filed on Oct. 14, 2004, and published as US 2005-0081785, U.S.
  • an electroless solution for depositing metallic cobalt contains: cobalt ions (Co 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; hydrazine hydrate with a concentration within a range from about 100 mM to about 2 M, preferably, from about 200 mM to about 1 M, and more preferably, from about 300 mM to about 400 mM, such as about 500 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 200 mM, preferably, from about 10 m
  • a pH value is about 11.5 or higher, preferably, about 12.0 or higher, and more preferably, about 12.5 or higher.
  • the electroless deposition process to deposit metallic cobalt may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 90° C., and more preferably, from about 70° C. to about 80° C., such as about 75° C.
  • an electroless solution for depositing cobalt boride contains: cobalt ions (Co 2+ ) with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 20 mM to about 80 mM, such as about 35 mM; DMAB with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 40 mM, such as about 25 mM; chelating agent within a range from about 10 mM to about 500 mM, preferably, from about 50 mM to about 300 mM, and more preferably, from about 75 mM to about 250 mM, such as about 150 mM; ammonium fluoride within a range from about 1 mM to about 100 mM, preferably, from about 2 mM to
  • the electroless deposition process to deposit cobalt boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 70° C. to about 90° C., and more preferably, from about 75° C. to about 85° C., such as about 80° C.
  • an electroless solution for depositing cobalt boride contains: cobalt sulfate with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 20 mM to about 80 mM, such as about 35 mM; DMAB with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 40 mM, such as about 25 mM; DEA within a range from about 1 mM to about 300 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 60 mM, such as about 45 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 500 mM, preferably, from about 30 mM
  • the electroless deposition process to deposit cobalt boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 70° C. to about 90° C., and more preferably, from about 75° C. to about 85° C., such as about 80° C.
  • an electroless solution for depositing cobalt tungsten boride contains: cobalt ions (Co 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; tungstic acid or tungstate salt with a tungstate concentration within a range from about 0.1 mM to about 10 mM, preferably, from about 0.5 mM to about 5 mM, and more preferably, from about 1 mM to about 3 mM, such as about 2 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a
  • the electroless deposition process to deposit cobalt tungsten boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • an electroless solution contains: nickel sulfate with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; DMAB with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; citric acid with a concentration within a range from about 5 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 60 mM, such as about 45 mM; DEA with a concentration within a range from about 5 mM to about 100 mM, preferably, from about 10 mM to about 30 mM, such as about 16
  • the electroless deposition process to deposit nickel boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 65° C. to about 90° C., more preferably, from about 70° C. to about 85° C., and more preferably, from about 75° C. to about 80° C.
  • an electroless solution for depositing nickel boride contains: nickel ions (Ni 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 300 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 40 mM to about 60 mM, such as about 50 mM; lactic acid or lactate salt with a lactate concentration within a range from about 5 mM to about 300
  • the electroless deposition process to deposit nickel boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • an electroless solution for depositing nickel tungsten boride contains: nickel ions (Ni 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; tungstic acid or tungstate salt with a tungstate concentration within a range from about 0.1 mM to about 10 mM, preferably, from about 0.5 mM to about 5 mM, and more preferably, from about 1 mM to about 3 mM, such as about 2 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a range from about
  • the electroless deposition process to deposit nickel tungsten boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • an electroless solution for depositing cobalt nickel boride contains: cobalt ions (Co 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; nickel ions (Ni 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM,
  • the electroless deposition process to deposit cobalt nickel boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • an electroless solution for depositing cobalt nickel contains: cobalt ions (Co 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; nickel ions (Ni 2+ ) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; hydrazine hydrate with a concentration within a range from about 100 mM to about 2 M, preferably, from about 200 mM to about 1 M, and more preferably, from about 300 mM to about 400 mM, such as about 500 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about
  • the electroless deposition process to deposit cobalt nickel may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 90° C., and more preferably, from about 70° C. to about 80° C., such as about 75° C.
  • a cobalt activation solution may be used to activate silicon-containing surfaces, such as a silicon surface or a metal silicide surface.
  • the cobalt activation solution may contain a cobalt concentration within a range from about 1 mM to about 100 mM, a fluoride concentration within a range from about 10 mM to about 400 mM, and a hypophosphite concentration within a range from about 5 mM to about 150 mM.
  • an activation solution may contain a cobalt concentration within a range from about 5 mM to about 50 mM, a fluoride concentration within a range from about 20 mM to about 200 mM, and a hypophosphite concentration within a range from about 10 mM to about 80 mM. More preferably, an activation solution may contain a cobalt concentration within a range from about 10 mM to about 30 mM, a fluoride concentration within a range from about 50 mM to about 120 mM, and a hypophosphite concentration within a range from about 20 mM to about 60 mM. In one example, an activation solution contains a cobalt concentration of about 22 mM, a fluoride concentration of about 83 mM, and a hypophosphite concentration of about 43 mM.
  • citrate is a preferred complexing agent and may be present in cleaning solutions, reducing solutions (e.g., Ti 3+ /T 4+ complexes), cobalt-containing solutions, nickel-containing solutions or cobalt-nickel-containing solutions.
  • reducing solutions e.g., Ti 3+ /T 4+ complexes
  • cobalt-containing solutions nickel-containing solutions or cobalt-nickel-containing solutions.
  • Citrate may be added as citric acid and/or as a citrate salt. Citrate plays an important role of buffering the aforementioned solutions.
  • a “substrate surface,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed.
  • a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline, or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, fluorine-doped silicate glass (FSG), silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, or carbon doped silicon oxides, such as SiO x C y , for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Embodiments as described herein provide processes to deposit metal-containing layers on many types of substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers. Substrates made of glass or plastic, which, for example, are commonly used to fabricate flat panel displays and other similar devices, may also be used during embodiments described herein.
  • semiconductor wafers such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafer

Abstract

Embodiments as described herein provide methods for depositing a material on a substrate during electroless deposition processes, as well as compositions of the electroless deposition solutions. In one embodiment, the substrate contains a contact aperture having an exposed silicon contact surface. In another embodiment, the substrate contains a contact aperture having an exposed silicide contact surface. The apertures are filled with a metal contact material by exposing the substrate to an electroless deposition process. The metal contact material may contain a cobalt material, a nickel material, or alloys thereof. Prior to filling the apertures, the substrate may be exposed to a variety of pretreatment processes, such as preclean processes and activations processes. A preclean process may remove organic residues, native oxides, and other contaminants during a wet clean process or a plasma etch process. Embodiments of the process also provide the deposition of additional layers, such as a capping layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Ser. No. 60/663,493, filed Mar. 18, 2005 (9916L), U.S. Ser. No. 60/683,599, filed May 23, 2005 (9916L.02), U.S. Ser. No. 60/703,538, filed Jul. 29, 2005 (9916L.03), U.S. Ser. No. 60/703,633, filed Jul. 29, 2005 (9916L.04), U.S. Ser. No. 60/709,564, filed Aug. 19, 2005 (9916L.05), U.S. Ser. No. 60/754,230, filed Dec. 27, 2005 (9916L.06), and U.S. Ser. No. 60/731,624, filed Oct. 28, 2005 (10659L), which are all herein incorporated by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to methods for depositing materials on substrates, and more specifically to methods for filling apertures within a high aspect ratio contact.
  • 2. Description of the Related Art
  • Multilevel, 45 nm node metallization is one of the key technologies for the next generation of very large scale integration (VLSI). The multilevel interconnects that lie at the heart of this technology possess high aspect ratio features, including contacts, vias, lines, and other apertures. Reliable formation of these features is very important for the success of VLSI and the continued effort to increase quality and circuit density on individual substrates. Therefore, there is a great amount of ongoing effort being directed to the formation of void-free features having high aspect ratios of 10:1 (height:width) or greater.
  • Copper and tungsten are choice metals for filling VLSI features, such as a submicron high aspect ratio contact (HARC) on a substrate. Contacts are formed by depositing a conductive interconnect material, such as copper or tungsten into an aperture (e.g., via) on the surface of an insulating material disposed between two spaced-apart conductive layers. A high aspect ratio of such an opening may inhibit deposition of a conductive interconnect material to fill an aperture. Although copper and tungsten are popular interconnect materials, deposition processes for depositing these materials may suffer by forming a void or a seam within the contact plug, as illustrated in FIG. 1C.
  • FIGS. 1A-1B depict a schematic cross-sectional view of an integrated circuit device on substrate 100 containing aperture 105 formed in dielectric layer 104 to expose contact layer 102. During a deposition process that may include chemical vapor deposition (CVD) or atomic layer deposition (ALD), metal layer 106 is deposited on dielectric layer 104 and within aperture 105 including on contact layer 102 and the sidewalls of dielectric layer 104 to form plug 103. Near opening 107 of plug 103, metal layer 106 may pinch off, depicted in FIG. 1C, so that plug 103 maintains a seam or void 108 therein. During a subsequent chemical mechanical polishing (CMP) process that removes a portion of metal layer 106 and dielectric layer 104 from the surface of substrate 100, void 108 may be breached or exposed to form gap 110 within plug 103, as illustrated in FIG. 1D. FIG. 1E depicts conductive layer 112 (e.g., copper) deposited on substrate 100 forming void 114 by enclosing gap 110. Substrate 100 may contain additional layers of material depending on the overall architecture of the electronic device. For example, dielectric layer 104 may be covered by a barrier layer (not shown) thereon prior to the deposition of conductive layer 112 or conductive layer 112 may also contain a barrier layer (not shown) thereon prior to the deposition of layer 120.
  • Defects, such as a seam or void 114, may cause a series of problems during the fabrication of electronic devices depicted herein. The resistance to current flow through plug 103 is impaired due to the lack of conductive material in void 114. However, a more serious obstacle during fabrication is the displacement of voids from one layer to the next. For example, subsequent fabrication processes of substrate 100 may include the deposition of layer 120 (e.g., dielectric layer) on conductive layer 112. During subsequent thermal processing, such as an annealing process, material 116 from conductive layer 112 may diffuse into void 114 and form void 118 within conductive layer 112. As illustrated in FIG. 1F, material 116 may not diffuse completely to the bottom of void 114. The defect formed in conductive layer 112, such as void 118, will increase the resistance of the circuit containing the defect and thus affect device performance. Ultimately, the defects in conductive layer 112 can affect the device yield of the fabricated substrate.
  • Therefore, a need exists for a method to fill a contact level aperture with a conductive contact material, such that the contact material is deposited free of voids, seams and other defects.
  • SUMMARY OF THE INVENTION
  • Embodiments as described herein provide methods for depositing a material on a substrate during electroless deposition processes. In one embodiment, the substrate contains a contact aperture having an exposed silicon contact surface. In another embodiment, the substrate contains a contact aperture having an exposed silicide contact surface. The apertures are filled with a metal contact material by exposing the substrate to an electroless deposition process. The metal contact material may contain a cobalt material, a nickel material, or alloys thereof. Prior to filling the apertures, the substrate may be exposed to a variety of pretreatment processes, such as preclean processes and activations processes. A preclean process may remove organic residues, native oxides, and other contaminants during a wet clean process or a plasma etch process. Embodiments of the process also provide the deposition of additional layers, such as a capping layer.
  • In one embodiment, a method for depositing a material on a substrate is provided which includes positioning a substrate within a process chamber, wherein the substrate has an aperture containing an exposed silicide contact surface, and exposing the exposed silicide contact surface to a deposition solution to form a metal contact material over the exposed silicide contact surface during an electroless deposition process. In another embodiment, the substrate has an aperture containing an exposed silicon contact surface, and the method provides forming a metal silicide layer on the exposed silicon contact surface, and exposing the substrate to an electroless deposition process to fill the aperture with a metal contact material. In another embodiment, a metal suicide layer is formed from the exposed silicon contact surface by exposing the substrate to a metal-containing activation solution. In one example, the metal-containing activation solution contains a cobalt source, a fluoride source, and a hypophosphite source.
  • In one example, the contact aperture is filled with the metal contact material by continuing the electroless deposition process. The exposed silicide contact surface may contain at least one metal, such as cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, or combinations thereof. The metal contact material may contain nickel, nickel phosphide, nickel boride, cobalt, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, cobalt nickel, cobalt phosphide, cobalt boride, cobalt nickel phosphide, cobalt nickel boride, derivatives thereof, alloys thereof, or combinations thereof.
  • In another embodiment, the exposed silicide contact surface is exposed to a preclean process prior to depositing the metal contact material thereon. In one example, the substrate is exposed to a plasma to remove native oxides or contaminants from the exposed silicide contact surface during the preclean process. During the plasma etch process, a thin film may be formed on the substrate by the plasma and subsequently, the thin film is removed by a vacuum sublimation process. The substrate is usually exposed to the plasma along with a process gas. In one example, the process gas contains a gas mixture of ammonia and nitrogen trifluoride. The gas mixture may have a molar ratio of the ammonia to the nitrogen trifluoride within a range from about 1:1 to about 30:1.
  • In other embodiments, the substrate is exposed to a wet clean process. In one example, the substrate is exposed to a wet clean solution containing hydrogen fluoride and a basic compound, such as ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, or combinations thereof. In another example, the wet clean solution contains an EA-HF complex, a DEA-HF complex, a TEA-HF complex, a DEA-EA-HF complex, a DEA-TEA-HF complex, a TEA-EA-HF complex, derivatives thereof, salts thereof, or combinations thereof. In another embodiment, the substrate is exposed to a wet clean solution containing hydrogen peroxide and a basic compound. In another example, the wet clean solution contains hydrogen peroxide and hydrogen chloride.
  • In another embodiment, a method for depositing a material on a substrate is provided which includes positioning a substrate within a process chamber, wherein the substrate has an aperture containing either an exposed silicon contact surface or an exposed silicide contact surface, and the aperture is filled with a cobalt-nickel stack material during an electroless deposition process. The substrate may be optionally rinsed after each exposure of the first electroless solution and the second electroless solution. Generally, a process cycle of the sequential exposures of the first and second electroless solutions is repeated to form the metal contact material as a cobalt-nickel stack material having a predetermined thickness. The electroless deposition process includes sequentially exposing the substrate to a first electroless solution containing a cobalt source and to a second electroless solution containing a nickel source. The cobalt-nickel stack material may have a first layer containing cobalt, cobalt phosphide, cobalt boride, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, derivatives thereof, alloys thereof, or combinations thereof, and a second layer containing nickel, nickel phosphide, nickel boride, derivatives thereof, alloys thereof, or combinations thereof.
  • In one embodiment, a capping layer is deposited on the exposed silicide contact surface prior to filling the aperture with the metal contact material. The capping layer may contain cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, derivatives thereof, alloys thereof, or combinations thereof. The capping layer may be formed or deposited by a vapor deposition process, but preferably is deposited during an electroless deposition process. In another embodiment, a self assembled monolayer (SAM-layer) may be deposited within the aperture prior to depositing the metal contact material.
  • In one embodiment, a composition of a cobalt deposition solution is provided which contains a cobalt source at a concentration within a range from about 1 mM (millimolar, millimole/L) to about 150 mM, a reducing agent source at a concentration within a range from about 1 mM to about 100 mM, and a chelating agent source at a concentration within a range from about 10 mM to about 500 mM. In another example, the cobalt deposition solution contains the cobalt source at a concentration within a range from about 10 mM to about 100 mM, the reducing agent source at a concentration within a range from about 5 mM to about 50 mM, and the chelating agent source at a concentration within a range from about 50 mM to about 300 mM. In another example, the cobalt deposition solution contains the cobalt source at a concentration within a range from about 20 mM to about 80 mM, the reducing agent source at a concentration within a range from about 10 mM to about 40 mM, and the chelating agent source at a concentration within a range from about 75 mM to about 250 mM. In another example, the cobalt deposition solution contains the cobalt source at a concentration of about 35 mM, the reducing agent source at a concentration of about 25 mM, and the chelating agent source at a concentration of about 150 mM. The chelating agent source may include citric acid, lactic acid, glycine, ethanolamine, diethanolamine, triethanolamine, salts thereof, derivatives thereof, or combinations thereof. In one example the reducing agent source comprises dimethylamine-borane complex. Other examples provide that the composition contains boric acid, saccharin, ammonium fluoride, or tetramethylammonium fluoride. A pH adjusting agent, such as TMAH, may be added to provide the cobalt deposition solution having a pH value within a range from about 8 to about 10, such as about 9.2.
  • In another embodiment, a composition of a nickel deposition solution is provided which contains a nickel source at a concentration within a range from about 1 mM to about 150 mM, a reducing agent source at a concentration within a range from about 1 mM to about 150 mM, and a chelating agent source at a concentration within a range from about 10 mM to about 500 mM. In another example, the nickel deposition solution contains the nickel source at a concentration within a range from about 5 mM to about 100 mM, the reducing agent source at a concentration within a range from about 5 mM to about 100 mM, and the chelating agent source at a concentration within a range from about 50 mM to about 300 mM. In another example, the nickel deposition solution contains the nickel source at a concentration within a range from about 10 mM to about 80 mM, the reducing agent source at a concentration within a range from about 10 mM to about 80 mM, and the chelating agent source at a concentration within a range from about 75 mM to about 200 mM. In another example, the nickel deposition solution contains the nickel source at a concentration of about 40 mM, the reducing agent source at a concentration of about 40 mM, and the chelating agent source at a concentration of about 150 mM. The chelating agent source may include citric acid, lactic acid, glycine, ethanolamine, diethanolamine, triethanolamine, salts thereof, derivatives thereof, or combinations thereof. In one example the reducing agent source comprises dimethylamine-borane complex. Other examples provide that the composition contains boric acid. A pH adjusting agent, such as TMAH, may be added to provide the nickel deposition solution having a pH value within a range from about 8 to about 10, such as about 9.2.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIGS. 1A-1F illustrate schematic cross-sectional views of an integrated circuit formed by a process described in the art;
  • FIGS. 2A-2F illustrate schematic cross-sectional views of integrated circuits formed by deposition processes described within embodiments herein;
  • FIGS. 3A-3Q illustrate schematic cross-sectional views of integrated circuits formed by other deposition processes described within embodiments herein;
  • FIGS. 4A-4H illustrate schematic cross-sectional views of integrated circuits formed by another deposition process described within embodiments herein;
  • FIG. 5 illustrates a flow chart depicting a process sequence as described within embodiments herein;
  • FIG. 6 illustrates a flow chart depicting another process sequence as described within embodiments herein;
  • FIG. 7 illustrates a flow chart depicting another process sequence as described within embodiments herein;
  • FIG. 8 illustrates a flow chart depicting an electroless deposition process as described within embodiments herein;
  • FIGS. 9A-9D illustrate a schematic representation of timing sequences for electroless deposition processes as described within embodiments herein;
  • FIG. 10 illustrates a flow chart depicting an electroless deposition process as described within embodiments herein; and
  • FIG. 11 illustrates a schematic representation of an alternative timing sequence for an electroless deposition process as described within an embodiment herein.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Embodiments as described herein provide methods for depositing a material on a substrate during electroless deposition processes. In one embodiment, the substrate contains a contact aperture having an exposed silicon contact surface. In another embodiment, the substrate contains a contact aperture having an exposed silicide contact surface. The apertures are filled with a metal contact material by exposing the substrate to an electroless deposition process. The metal contact material may contain a cobalt material, a nickel material, and alloys thereof. Prior to filling the apertures, the substrate may be exposed to a variety of pretreatment processes, such as preclean processes and activations processes. A preclean process may remove organic residues, native oxides, and other contaminants during a wet clean process or a plasma etch process. Embodiments of the process also provide the deposition of additional layers, such as a capping layer.
  • Metal-Containing Interconnect Processes
  • FIG. 2A illustrates a cross-sectional view of substrate 200 having aperture 210 formed into dielectric layer 204. Aperture 210 may include features, such as contact holes, vias, or trenches. Dielectric layer 204 contains an insulating material that includes silicon oxide, silicon nitride, silicon on insulator (SOI), silicon oxynitride, fluorine-doped silicate glass (FSG), or carbon-doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Aperture 210 may be formed in dielectric layer 204 using conventional lithography and etching techniques to expose interconnect layer 202, such as a bit line layer. Interconnect layer 202 contains a conductive metal such as copper, tungsten, aluminum or alloys thereof. Oxide surface 212 is usually formed upon the oxidation of interconnect layer 202 subsequent to etching and ashing processes used to form aperture 210. Oxide surface 212 may be a continuous or a discontinuous layer across the surface of interconnect layer 202 and includes a surface terminate with oxygen, hydrogen, hydroxides, metals, or combinations thereof. In this configuration, interconnect layer 202 may contain oxide surface 212.
  • FIGS. 2A-2C illustrate cross-sectional views of an electronic device at different stages of an interconnect fabrication sequence incorporating one embodiment of the invention for pre-treating and subsequently filling aperture 210 with a nickel-containing material or a cobalt-tungsten alloy. FIG. 2B illustrates substrate 200 after exposing oxide surface to a pretreatment process. Contact surface 214 of interconnect layer 202 is exposed and is free of or substantially free of oxide surface 212. In one aspect, oxide surface 212 may be chemically reduced to a tungsten metal. For example, oxide surface 212 is exposed to a hydrogen plasma to remove the oxygen and form an oxide free contact surface 214. In another example, oxide surface 212 may be exposed to a vapor deposition process containing diborane to remove oxygen and form contact surface 214 containing tungsten boride. In another aspect, oxide surface 212 is exposed to a wet clean process to further oxidize and remove tungstate ion while leaving behind a clean contact surface 214. Additives, such as surface chelators, may be used within the wet clean solution that adhere to the freshly prepared contact surface 214 and prohibit excess oxidization.
  • A plasma pretreatment process may be conducted for a predetermined time to reduce oxide surface 212, leaving a substantially oxide-free contact surface 214. A plasma pretreatment process may occur for about 5 minutes or less, preferably, within a range from about 1 second to about 60 seconds, more preferably, from about 5 seconds to about 30 seconds. During the pretreatment process, the substrate is maintained at a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100° C. The process chamber may have a pressure within a range from about 0.1 Torr to about 450 Torr, preferably, from about 1 Torr to about 100 Torr, and more preferably, from about 10 Torr to about 30 Torr.
  • The plasma treatment process may be conducted in a process chamber capable of plasma vapor deposition techniques. For example, the substrate may be placed into a plasma enhanced ALD (PE-ALD), a plasma enhanced CVD (PE-CVD) or high density plasma CVD (HDP-CVD) chamber, such as the ULTIMA HDP-CVD®, available from Applied Materials, Inc., located in Santa Clara, Calif. An inductively coupled plasma generating device, capacitively coupled plasma generating device, or combination thereof may be used in a plasma chamber to carryout the plasma treatment process.
  • Oxide surface 212 may be exposed to a reducing plasma containing the reductant to form a substantially oxide-free contact surface 214. The reductant may be diluted in a carrier gas and includes hydrogen, diborane, silane, disilane, phosphine, derivatives thereof, or combinations thereof. During the plasma pretreatment process, a carrier gas flow is established within the process chamber and exposed to the substrate. Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber. Carrier gases or purge gases include helium, argon, hydrogen, forming gas, or combinations thereof. The carrier gas may be provided at a flow rate within a range from about 500 sccm to about 5,000 sccm, preferably, from about 500 sccm to about 2,500 sccm. The reductant may be provided at a flow rate within a range from about 5 sccm to about 500 sccm, preferably, from about 10 sccm to about 100 sccm. The plasma may be formed using an RF power delivered to the plasma generating devices (e.g., showerhead in a capacitively coupled chamber or a substrate support) utilized in the plasma chamber where the RF power ranges from about 100 W to about 10,000 W at an RF frequency between about 0.4 kHz and about 10 GHz. In one aspect, the plasma is formed using a showerhead RF power setting and a substrate support RF power setting that is within a range between about 500 W and about 5,000 W at a frequency of about 13.56 MHz.
  • In an exemplary plasma pretreatment process, the substrate is heated to about 50° C. and the process chamber is maintained at a pressure of about 10 Torr, to reduce the oxides formed on contact surface 214. The substrate may be exposed to a reducing plasma containing hydrogen at a flow rate of about 1,000 sccm for a time period of about 30 seconds to remove oxide layer 212.
  • In another exemplary plasma pretreatment process, a substrate is heated to about 50° C., the process chamber is maintained at a pressure of about 10 Torr using a flow rate of about 50 sccm of diborane and about 450 sccm of a helium carrier gas to reduce the oxides formed on contact surface 214. The substrate is exposed to the reducing plasma for about 30 seconds to remove the oxide layer 212.
  • In another embodiment, the pretreatment process may be a liquid reduction process, whereas oxide surface 212 is exposed to a reducing solution to form contact surface 214. The reducing solutions may contain at least one metal-reductant, such as a compound containing a variable-valence metal. Variable-valence metals are utilized as metal-reductants due to the availability of electrons between redox states and include compounds of Ti3+/Ti4+, Fe2+/Fe3+, Cr2+/Cr3+ and Sn2+/Sn4+. Metal-reductants containing variable-valence metals may contain a variety of anionic ligands including complexing agents and halides, such as chlorides, fluorides, bromides or iodides. Complexing agents that are useful may have functional groups that include carboxylic acids, dicarboxylic acids, polycarboxylic acids, amino acids, amines, diamines, polyamines, alkylamines, alkanolamines and alkoxyamines. Complexing agents may include citric acid, glycine, ethylenediamine (EDA), monoethanolamine, diethanolamine (DEA), triethanolamine (TEA), derivatives thereof, salts thereof or combinations thereof. In one embodiment, citric acid or a citrate salt is a preferred complexing agent within a reducing solution. For example, a reducing solution may contain titanium salts with carboxylic acid ligands, such as a citrate.
  • Generally, the reducing solutions are formed in water by combining a metal halide (e.g., titanium chloride containing TiCl3 and TiCl4) with a salt containing a predetermined ligand (e.g., trisodium citrate) to form a metal complex or a metal salt that contains the predetermined ligand (e.g., titanium citrate) having a variable-valence state. The reducing solution may further contain additives such as various chelators, surfactants, pH adjusting agents, or combinations thereof. Variable-valence metal compounds and reducing solutions are further described in V. V. Sviridov et al., “Use of Ti(III) Complexes to reduce Ni, Co, and Fe in Water Solution,” J. Phys. Chem., vol. 100, pp. 19632-19635, (1996), M. Majima et al., “Development of Titanium Redox Electroless Plating Method,” SEI Technical Review, vol. 54, pp. 67-70, (June 2002), S. Nakao et al., “Electroless Pure Nickel Plating Process with Continuous Electrolytic Regeneration System,” Surface and Coatings Technology, vols. 169-170(1), pp. 132-134., (Jun. 2, 2003), which are each incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • In an effort to remove oxide surface 212, substrate 200 is exposed to a reducing solution for a predetermined time to remove the oxides from contact surface 214. The liquid reduction process may occur for about 5 minutes or less, preferably within a range from about 1 second to about 120 seconds, more preferably, from about 5 seconds to about 90 seconds. During the liquid reduction process, the substrate is heated to a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100C.
  • In another embodiment, the pretreatment process may be a vapor deposition process, whereas oxide surface 212 is exposed to a reducing vapor to form contact surface 214. The reductant may include borane, diborane, borane-alkylsulfides, such as borane-dimethylsulfide (BH3.(CH3)2S), alkyboranes (e.g., ethylborane), phosphine, alkylposphines (e.g., dimethylphosphine), silane, disilane, trisilane, alkylsilanes (e.g., methylsilane), ammonia, hydrazine, hydrogen, derivatives thereof, or combinations thereof. Preferably, the reductant is diborane, phosphine, silane, hydrazine, hydrogen, or combinations thereof.
  • In an effort to remove the oxide surface 212 the substrate 200 is exposed to a reducing vapor process for a predetermined time to remove the oxides from the contact surface 214. The reducing vapor process may occur for about 5 minutes or less, preferably within a range from about 1 second to about 120 seconds, more preferably, from about 5 seconds to about 90 seconds. During the reducing vapor process, the substrate is maintained at a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100° C. The process chamber may have a pressure within a range from about 0.1 Torr to about 450 Torr, preferably, from about 1 Torr to about 100 Torr, and more preferably, from about 10 Torr to about 30 Torr.
  • In one aspect, the reductant used to remove oxide layer 212 may be diluted in a carrier gas. During the reducing vapor process, a carrier gas flow is established within the process chamber and exposed to the substrate. Carrier gases may be selected so as to also act as a purge gas for the removal of volatile reactants and/or by-products from the process chamber. Carrier gases or purge gases include helium, argon, nitrogen, hydrogen, forming gas, mixtures thereof. The carrier gas may be provided at a flow rate within a range from about 100 sccm to about 5,000 sccm, preferably, from about 500 sccm to about 2,500 sccm. The reductant may be provided at a flow rate within a range from about 5 sccm to about 500 sccm, preferably, from about 10 sccm to about 100 sccm.
  • The reducing vapor process may be conducted in a process chamber capable of vapor deposition, such as an ALD process chamber or a CVD process chamber. A process chamber useful for ALD during the reducing vapor process is described in commonly assigned U.S. Pat. Nos. 6,916,398 and 6,878,206, which are both incorporated herein by reference.
  • In another embodiment, oxide surface 212 is removed by further oxidizing by a wet clean process which includes dispensing a wet clean solution across or sprayed on the surface of substrate 200. The wet clean process may be an in situ process performed in the same processing cell as a subsequent electroless deposition process. Alternatively, substrate 200 may be wet cleaned in a separate processing cell from the subsequent electroless deposition processing cell. The wet clean process usually includes an acidic wet clean solution with a pH of about 4 or less, preferably, within a range from about 1.5 to about 3. Oxide surface 212 having a tungsten oxide typically requires an aggressive cleaning at low pH values. The pH value of the wet clean solution is usually adjusted by adding an acid or a base to the predetermined value. The acid may include hydrochloric acid, sulfuric acid, nitric acid, phosphoric acid, derivatives thereof, or combinations thereof. The base may include a hydroxide salt, ammonia or an amine, such as ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), derivatives thereof, salts thereof, or combinations thereof. The wet clean solution also contains at least one chelator or complexing agent, such as a carboxylic acid or carboxylate, for example, a citrate, oxalic acid, glycine, salts thereof, or combinations thereof. In one example, the wet clean solution contains about 0.05 M to about 0.5 M of citric acid and optionally up to about 0.25 M of methanesulfonic acid.
  • Once oxide surface 212 is removed or reduced to reveal contact surface 214 by the processes described herein, fill material 220 may be deposited thereon. FIG. 2C illustrates substrate 200 after fill material 220 has been deposited on contact surface 214. Fill material 220 is composed of a conductive material and preferably deposited by an electroless deposition process. A conductive material deposited as fill material herein includes nickel, cobalt, tungsten, cobalt-tungsten alloys, alloys thereof, or combinations thereof. In one example, fill material 220 is a nickel-containing layer deposited by an electroless deposition solution. In another example, fill material 220 is a cobalt-containing layer (e.g., CoW-alloy) deposited by an electroless deposition solution.
  • In an alternative embodiment, FIGS. 2A, 2D-2F illustrate cross-sectional views of an electronic device at different stages of an interconnect fabrication sequence to pretreat and subsequently fill aperture 210 with a conductive material. Nickel or cobalt-tungsten alloys are used as conductive materials to fill aperture 210. FIG. 2D illustrates substrate 200 after a pretreatment process has been performed on the oxide surface 212. In one aspect of the pretreatment process a ruthenium oxide layer 216 is deposited by exposing substrate 200 and oxide surface 212 to a ruthenium tetroxide (RuO4) vapor. Thereafter, ruthenium oxide layer 216 may be chemically reduced to form ruthenium-containing layer 218 on oxide surface 212, as illustrated in FIG. 2E.
  • A ruthenium containing layer may be deposited on the substrate by use of a vapor deposition process, such as an in situ generated process, or in a liquid deposition process, such as an aqueous solution or suspension. Preferably, ruthenium tetroxide is generated in situ by exposing a ruthenium-containing source to an oxidizing gas prior and exposing the product to oxide surface 212. Ruthenium tetroxide is a strong oxidant and therefore readily reacts with any exposed metal oxide (e.g., tungsten oxide) layers to form a consistent and catalytic active layer of ruthenium oxide.
  • In one example of forming ruthenium tetroxide, ozone gas is produced by supplying an oxygen source gas into an ozone generator. The oxygen source may include oxygen (O2), nitrous oxide (N2O), nitric oxide (NO), nitrogen dioxide (NO2), or combinations thereof. Preferably, a 12 vol % ozone is generated by flowing oxygen through the ozone generator. The oxidizing gas containing ozone may be purified when passed or bubbled through a silicon gel. Subsequently, the ozone is exposed to a metallic ruthenium source to form ruthenium tetroxide that is condensed in a cooled cold trap. The ozone flow is stopped and the cold trap is purged with an inert gas (e.g., nitrogen) to rid the line of excess oxygen. Thereafter, the cold trap is warmed to a temperature to sublime the ruthenium tetroxide while a flow of inert gas is passed therethrough. The vaporized ruthenium tetroxide saturates the inert gas to form a deposition gas.
  • In an exemplary vapor deposition process, a deposition gas containing ruthenium tetroxide is delivered to a substrate having a tungsten oxide layer formed thereon. During the process, the substrate is maintained at a temperature of about 100° C. After exposing oxide surface 212 to the ruthenium tetroxide containing gas for about 30 seconds, ruthenium oxide layer 216 is formed on the tungsten oxide layer.
  • Ruthenium oxide layer 216 may be exposed to a reductant forming ruthenium-containing layer 218. The ruthenium oxide is chemically reduced to ruthenium metal. For example, ruthenium oxide layer 216 may be exposed to a hydrogen plasma to remove the oxygen and form metallic ruthenium-containing layer 218. In another example, ruthenium oxide layer 216 may be exposed to a vapor deposition process containing diborane to remove oxygen and form ruthenium-containing layer 218 containing ruthenium boride. In another example, ruthenium oxide layer 216 is exposed to phosphine through a vapor deposition process to remove oxygen and form ruthenium-containing layer 218 containing ruthenium phosphide.
  • Fill material 220 may be deposited on ruthenium-containing layer 218 to fill aperture 210 as illustrated in FIG. 2F. Fill material 220 contains nickel or a cobalt-tungsten alloy. The electroless deposition process and the composition of fill material 220 are previously described above for substrate 200 depicted in FIG. 2C.
  • Silicon-Containing Interconnect Processes
  • FIGS. 3A-3Q and 4A-4H illustrate cross-sectional views of electronic devices disposed on substrates 300 and 400 at different stages of interconnect fabrication sequences incorporating multiple embodiments herein. FIGS. 5-7 provide flow charts of processes 500, 600, and 700 that may be used to form substrates 300 and 400 while correlating with FIGS. 3A-3Q and 4A-4H. Processes 500, 600, and 700 may be used during interconnect fabrication where an exposed contact surface contains either a silicon surface or a silicide surface on substrates 300 and 400.
  • FIG. 3A illustrates a cross-sectional view of substrate 300 having contact aperture 310 formed within dielectric layer 304. Dielectric layer 304 contains an insulating material that may include silicon dioxide and other silicon oxides, silicon on insulator (SOI), silicon oxynitride, fluorine-doped silicate glass (FSG), or carbon-doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif. Contact aperture 310 may be formed in dielectric layer 304 using conventional lithography and etching techniques to expose interconnect layer 302, such as a bit line layer. Alternatively, dielectric layer 304 may be deposited on interconnect layer 302 forming contact aperture 310 therein. Interconnect layer 302 may contain pure silicon or a silicon-containing material that contains germanium, carbon, boron, phosphorous, arsenic, metals, or combinations thereof, among other dopants. For example, interconnect layer 302 may contain silicon, silicon carbide, silicon germanium, silicon germanium carbide, metal silicide, doped variants thereof, or combinations thereof. In one example, interconnect layer 302 is a MOS type source or a drain interface and is generally a doped (e.g., n+ or p+) silicon region of substrate 300.
  • Oxide surface 312 is usually formed upon the oxidation of interconnect layer 302 during an exposure to air subsequent to etching and ashing processes used forming contact aperture 310. Oxide surface 312 may be a continuous layer or a discontinuous layer across the surface of interconnect layer 302 and include a surface terminations of oxygen, hydrogen, hydroxide, halide, metals, or combinations thereof. Oxide surface 312 may also contain various contaminants, such as organic and inorganic residues and particulate. Oxide surface 312 formed on interconnect layer 302 generally contains a metastable lower quality oxide (e.g., SiOx, where x is between 0 and 2) compared to the much more stable oxide materials that are typically used to form dielectric layer 304 (e.g., SiO2), such as thermal oxides. The metastable lower quality oxide (e.g., the “native oxide”) is much easier to remove from interconnect layer 302 than dielectric layer 304, probably due to a lower activation energy than the material of dielectric layer 304.
  • FIG. 3B illustrates substrate 300 containing exposed surface 314 on interconnect layer 302 subsequent to the removal of oxide surface 312. Exposed surface 314 may be formed by at least one pretreatment process during steps 510, 610, or 710 of processes 500, 600, or 700, as described by embodiments herein. In some embodiments, exposed surface 314 may contain an exposed silicon contact surface. In other embodiments, exposed surface 314 may contain an exposed silicide contact surface.
  • During steps 510, 610, or 710, substrate 300 may be exposed to at least one pretreatment process, such as a preclean process, an activation process, or combinations thereof for forming exposed surface 314. A preclean process may be used to remove oxide surface 312 and reveal a silicon surface or a suicide surface of exposed surface 314. In one embodiment, the preclean process may be a wet clean process, such as a buffered oxide etch (BOE) process, a SC1 process, a SC2 process, or a HF-last process. Alternatively, the preclean process may be a dry clean process, such as a plasma etch process. For example, a plasma etch process that may be used during a preclean process is the SICONI™ preclean process, available from Applied Materials, Inc., located in Santa Clara, Calif. Pretreatment processes, such as a preclean process and an activation process for forming exposed surface 314, are further described below.
  • An activation process may also be used as a pretreatment process to form exposed surface 314. In one embodiment, substrate 300 is exposed to an activation solution containing a cobalt source, a fluoride source, and a hypophosphite source to transform oxide surface 312 to a metal silicide surface of exposed surface 314. In another embodiment, substrate 300 is exposed to an activation solution containing a variable-valence metal reducing agent that chemically reduces oxide surface 312 to a silicon surface of exposed surface 314. In another embodiment, substrate 300 is exposed to reducing hydrogen plasma that chemically reduces oxide surface 312 to a silicon surface of exposed surface 314.
  • In one embodiment, FIGS. 3B-3C illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320, during steps 560, 660, or 760 of processes 500, 600, or 700 (FIGS. 5-7), as described by embodiments herein. Metal contact material 320 may be deposited on exposed surface 314 of interconnect layer 302 within contact aperture 310. Metal contact material 320 is usually deposited on exposed surface 314 during an electroless deposition process to bottom-up fill contact aperture 310. In one example, the electroless deposition process is continued until aperture 310 is filled with metal contact material 320.
  • Alternatively, a second electroless deposition process, or multiple deposition processes, may be used to form metal contact material 320 while filling contact aperture 310. For example, metal contact material 320 may be formed of different materials to vary the composition throughout, such as a graded material. In an alternative embodiment, cobalt-nickel stack material 330 is formed of at least two types of materials sequentially deposited on each other, such as layers 322 and 324, as illustrated in FIGS. 4A and 4B by substrate 400 that correlates to substrate 300 in FIGS. 3C and 3D. In one example, layer 322 may contain a cobalt material and layer 324 may contain a nickel material. In another example, layer 322 may contain a nickel material and layer 324 may contain a cobalt material.
  • Metal contact material 320 may be formed or deposited during electroless deposition process described herein. The composition of metal contact material 320 may vary based on the particular electronic device requirements. Metal contact material may contain metallic nickel, nickel phosphide, nickel boride, nickel phosphide boride, metallic cobalt, cobalt phosphide, cobalt boride, cobalt phosphide boride, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, cobalt nickel, cobalt nickel phosphide, cobalt nickel boride, cobalt nickel phosphide boride, silicides thereof, derivatives thereof, alloys thereof, or combinations thereof. Electroless deposition processes and solutions for forming or depositing metal contact material 320 and cobalt-nickel stack material 330, are further described below.
  • FIG. 3D illustrates silicide layer 315 at the interface of exposed surface 314 and metal contact material 320. Silicide layer 315 may be formed by exposing substrate 300 to an optional thermal process during steps 580, 680, or 780. Silicide layer 315 may contain a cobalt silicide, nickel silicide, cobalt tungsten silicide, cobalt nickel silicide, borides thereof, alloys thereof, derivatives thereof, or combinations thereof. Silicide layer 315 may be formed prior, during, or after filling of aperture 310 with metal contact material 320. In one example, substrate 300 is heated during a thermal process to form silicide layer from silicon atoms of exposed surface 314 and metal atoms of metal contact material 320. Generally, substrate 300 may be heated to a temperature within a range from about 150° C. to about 500° C. for a time period within a range from about 5 seconds to about 10 minutes.
  • In another embodiment, FIGS. 3B and 3E-3G illustrate substrate 300 at different stages of process 500. SAM-layer 350 may be formed on substrate 300 during optional step 550, as depicted in FIG. 3E. SAM-layer 350 may be initially deposited over dielectric layer 304 and exposed surface 314. In one example, SAM-layer 350 is deposited on exposed surface 314 containing an exposed contact silicon surface. In another example, SAM-layer 350 is deposited on exposed surface 314 containing an exposed contact silicide surface.
  • FIGS. 3E-3F illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320, during step 560 of process 500 (FIG. 5). Metal contact material 320 is deposited on SAM-layer 350 within contact aperture 310. Metal contact material 320 is with interconnect layer 302. In one example, substrate 300 may be exposed to a thermal process to form silicide layer 315 (FIG. 3G). Silicide layer 315 provides higher electrical conductivity, than without silicide layer 315, at the interface between interconnect layer 302 and metal contact material 320. In an alternative embodiment, cobalt-nickel stack material 330 may be formed on SAM-layer 350, as illustrated in FIG. 4C by substrate 400 that correlates to substrate 300 in FIG. 3G. Deposition processes for depositing SAM-layer 350 on substrate 300 are further described below.
  • FIG. 6 depicts a flow graph of process 600 containing steps 610, 650, 660, and 680 that correlate to steps 510, 550, 560, and 580 of process 500. However, process 600 further provides the formation or deposition of a suicide material during step 620. In one embodiment of process 500, a silicide material may be formed during a pretreatment process at step 510. For example, substrate 300 may be exposed to a clean process to remove oxides and contaminants and subsequently exposed to an activation process to form a silicide material.
  • FIG. 3H depicts substrate 300 containing metal-containing layer 316 disposed on interconnect layer 302 within contact aperture 310. Metal-containing layer 316 may contain a metal, a metal silicide, or an alloy thereof. Metal-containing layer 316 may be formed or deposited during steps 510, 610, 620, 710, or 720 and include a metal layer or a metal silicide layer. In one example, metal-containing layer 316 contains a metallic layer and is deposited on exposed surface 314 containing an exposed contact silicon surface. Thereafter, exposed surface 314 and metal-containing layer 316 form a metal silicide during a thermal process. In another example, metal-containing layer 316 contains a metal suicide material deposited or formed on exposed surface 314. In another example, metal-containing layer 316 contains a metal silicide material formed either prior to or during steps 620 or 720.
  • In one embodiment, metal-containing layer 316 contains a cobalt material, such as metallic cobalt, cobalt silicide, cobalt phosphide, cobalt boride, cobalt phosphide boride, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, a cobalt alloy, suicides thereof, or combinations thereof. In another embodiment, metal-containing layer 316 contains a cobalt nickel material, such as cobalt nickel, cobalt nickel phosphide, cobalt nickel boride, derivatives thereof, alloys thereof, or combinations thereof. In another embodiment, metal-containing layer 316 contains a nickel material, such as metallic nickel, nickel silicide, nickel phosphide, nickel boride, nickel phosphide boride, a nickel alloy, or combinations thereof. In other embodiments, the exposed silicide contact surface may be metal-containing layer 316 that contains at least one metal, such as cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, or combinations thereof.
  • In one embodiment, metal-containing layer 316 may be formed by exposing substrate 300 to an activation solution during an electroless deposition process. In one example, metal-containing layer 316 may be formed by exposing substrate 300 to an activation process. In another example, metal-containing layer 316 may be formed by exposing substrate 300 to a thermal process. In another example, metal-containing layer 316 may be formed by exposing substrate 300 to a silicidation process.
  • FIGS. 3H-3I illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320, during step 660 of process 600 (FIG. 6). Metal contact material 320 is deposited on metal-containing layer 316 within contact aperture 310. In an alternative embodiment, cobalt-nickel stack material 330 may be formed on metal-containing layer 316, as illustrated in FIG. 4D by substrate 400 that correlates to substrate 300 in FIG. 3I. Deposition processes for depositing metal-containing layer 316 on substrate 300 are further described below.
  • In another embodiment, FIGS. 3H and 3J illustrate substrate 300 at different stages of process 600. SAM-layer 350 may be formed on substrate 300 during optional step 650, as depicted in FIG. 3J. SAM-layer 350 may be initially deposited over dielectric layer 304 and metal-containing layer 316. In one example, SAM-layer 350 is deposited on metal-containing layer 316 containing a metallic surface. In another example, SAM-layer 350 is deposited on metal-containing layer 316 containing a metal silicide surface.
  • FIGS. 3J-3K illustrate substrate 300 before and after contact aperture 310 is filled with metal contact material 320, during step 660 of process 600. Metal contact material 320 may be deposited on SAM-layer 350 within contact aperture 310. In an alternative embodiment, cobalt-nickel stack material 330 may be formed on SAM-layer 350, as illustrated in FIG. 4E by substrate 400 that correlates to substrate 300 in FIG. 3K.
  • FIG. 7 depicts a flow graph of process 700 containing steps 710, 720, 750, 760, and 780 that correlate to steps 610, 620, 650, 660, and 680 of process 600. However, process 700 further provides the deposition of capping layer 318 during step 740. In one embodiment, capping layer 318 may be deposited on substrate 300 as a barrier layer over metal-containing layer 316, during step 740 of process 700. In one example, capping layer 318 may be deposited on SAM-layer 350 covering metal-containing layer 316 within contact aperture 310 (FIG. 3L). Thereafter, metal contact material 320 may be deposited on capping layer 318 filling contact aperture 310 (FIG. 3M). In an alternative embodiment, cobalt-nickel stack material 330 may be formed on capping layer 318, as illustrated in FIG. 4F by substrate 400 that correlates to substrate 300 in FIG. 3M.
  • In another example, capping layer 318 may be deposited directly on metal-containing layer 316 within contact aperture 310 (FIG. 3N). Thereafter, in one aspect, metal contact material 320 may be deposited on capping layer 318 filling contact aperture 310 (FIG. 3O). In an alternative embodiment, cobalt-nickel stack material 330 may be formed on capping layer 318, as illustrated in FIG. 4G by substrate 400 that correlates to substrate 300 in FIG. 3O. In another aspect, SAM-layer 350 may be deposited on capping layer 318 (FIG. 3P). Subsequently, metal contact material 320 may be deposited on SAM-layer 350 covering capping layer 318 and filling contact aperture 310 (FIG. 3Q). In alternative embodiments, cobalt-nickel stack material 330 may be formed on SAM-layer 350 covering capping layer 318, as illustrated in FIG. 4H by substrate 400 that correlates to substrate 300 in FIG. 3O. Deposition processes, including electroless deposition processes and solutions for depositing capping layer 318 on substrate 300, are further described below.
  • Pretreatment processes
  • Wet Clean Processes
  • In one embodiment, substrate 300 may be exposed to a wet clean process to remove oxide surface 312 and to form exposed surface 314 during steps 510, 610, or 710 of processes 500, 600, or 700. Substrate 300 may be treated by wet clean processes, such as an acidic cleaning process (e.g., a solution containing hydrochloric acid and hydrogen peroxide held at elevated temperature, such as SC2 clean), a basic cleaning process (e.g., a solution containing ammonium hydroxide and hydrogen peroxide held at elevated temperature, such as SC1 clean), or a series of wet cleans containing both acidic and basic cleaning processes. In a preferred embodiment, substrate 300 is exposed to a SC1 solution (e.g., TMAH and H2O2) to remove organic residues and other contaminants and subsequently, exposed to a BOE solution (e.g., 0.5 M of TEA-HF solution) to remove native oxides.
  • A wet clean process may include dispensing a wet clean solution across or sprayed on the surface of substrate 300. The wet clean process may be an in situ process performed in the same processing cell as a subsequent electroless deposition process. Alternatively, substrate 300 may be wet cleaned in a separate processing cell from the subsequent electroless deposition processing cell. A wet-clean pretreatment process may occur for about 10 minutes or less, such as within a range from about 5 seconds to about 5 minutes, preferably, from about 5 seconds to about 3 minutes, more preferably, from about 10 seconds to about 2 minutes, and more preferably, from about 15 seconds to about 1 minute. During the pretreatment process, the substrate is maintained at a temperature within a range from about 15° C. to about 50° C., preferably, about room temperature (e.g., 20° C.). The wet-clean process may be performed in a TEMPEST™ wet-clean system, available from Applied Materials, Inc., located in Santa Clara, Calif. Other examples of various wet-clean processes that may be used to remove oxide surface 312 are further described in commonly assigned U.S. Ser. No. 60/709,564 (APPM 9916.L05), filed Aug. 19, 2005, U.S. Ser. No. 60/703,538 (APPM 9916.L03), filed Jul. 29, 2005, and U.S. Ser. No. 60/663,493 (APPM 9916L), filed Mar. 18, 2005, which are all incorporated by reference herein in their entirety.
  • In one embodiment, oxide surface 312 is removed by a HF-last solution to form exposed surface 314 as a substantially oxide-free, silicon hydride surface. In one example, the wet-clean process utilizes an HF-last solution containing water, HF and optional additives including chelators, surfactants, reductants, other acids or combinations thereof. In one example, the hydrogen fluoride concentration of a wet-clean solution may be within a range from about 10 ppm to about 5 wt %, preferably, from about 50 ppm to about 2 wt %, and more preferably, from about 100 to about 1 wt %, for example, about 0.5 wt %. In another embodiment, oxide surface 312 is removed during a liquid reduction process to form exposed surface 314 as a substantially oxide-free, silicon-containing surface.
  • SC1 and SC2 Processes
  • In one embodiment, substrate 300 containing oxide surface 312 may be exposed to a SC1 clean solution to remove contaminants, such as organic and inorganic residues and particulates during steps 510, 610, or 710 of processes 500, 600, or 700. In one example, the SC1 clean solution contains hydrogen peroxide and at least one basic compound, such as ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, or combinations thereof. The substrate may be heated to a temperature within a range from about 50° C. to about 100° C., preferably, from about 70° C. to about 90° C.
  • In another embodiment, substrate 300 containing oxide surface 312 may be exposed to a SC2 clean solution during steps 510, 610, or 710 of processes 500, 600, or 700. In one example, the SC2 clean solution contains hydrogen peroxide and hydrogen chloride. The substrate may be heated to a temperature within a range from about 50° C. to about 100° C., preferably, from about 70° C. to about 90° C.
  • BOE Processes and Solutions
  • In another embodiment of a preclean process, buffered oxide etch (BOE) solutions and processes may be used to selectively remove native oxides and other contaminants from substrate 300 during steps 510, 610, or 710 of processes 500, 600, or 700. The BOE solutions generally contain alkanolamine compounds and an etchant, such as hydrogen fluoride. In one embodiment, the viscosity of the BOE solution may be adjusted by varying a concentration ratio of at least two alkanolamine compounds. A BOE solution having a viscosity within a range from about 10 cP to about 30 cP has superior wetting properties on a substrate surface during a process to selectively remove native oxide layers therefrom.
  • In one embodiment, a method for selectively removing oxide surface 312 to form exposed surface 314 on substrate 300. In one example, substrate 300 may be exposed to a BOE solution containing about 0.5 M of TEA-HF solution for about 25 seconds at about 20° C. In another example, substrate 300 may be exposed to a BOE solution containing about 0.5 M of EA-HF solution for about 20 seconds at about 20° C. In another example, substrate 300 may be exposed to a BOE solution containing about 0.5 M of DEA-HF solution for about 30 seconds at about 20° C. Thereafter, the excess BOE solution is removed by spinning the substrate for about 10 seconds.
  • In one embodiment, a composition of a BOE solution is provided which includes, by weight, a first alkanolamine compound at a concentration within a range from about 0.5% to about 10%, a second alkanolamine compound at a concentration within a range from about 0.5% to about 10%, hydrogen fluoride at a concentration within a range from about 0.5% to about 10%, water at a concentration within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP.
  • In one example, the first alkanolamine compound is at a concentration within a range from about 1% to about 5%, the second alkanolamine compound is at a concentration within a range from about 1% to about 5%, the hydrogen fluoride is at a concentration within a range from about 1% to about 5%, the water is at a concentration within a range from about 85% to about 95%, the pH value is within a range from about 3.8 to about 4.8, and the viscosity is within a range from about 12 cP to about 28 cP. In another example, the first alkanolamine compound is at a concentration within a range from about 2% to about 3%, the second alkanolamine compound is at a concentration within a range from about 2% to about 3%, the hydrogen fluoride is at a concentration within a range from about 1% to about 3%, the water is at a concentration within a range from about 88% to about 94%, the pH value is within a range from about 3.5 to about 5, preferably, from about 4 to about 4.5, and the viscosity is less than about 50 cP, such as within a range from about 15 cP to about 25 cP. In another example, the first alkanolamine compound is at a concentration of about 3%, the second alkanolamine compound is at a concentration of about 2%, the hydrogen fluoride is at a concentration of about 2%, the water is at a concentration of about 92%, the pH value is within a range from about 4 to about 4.5, and the viscosity is less than about 50 cP, such as within a range from about 15 cP to about 25 cP.
  • In some examples, a weight ratio of the first alkanolamine compound to the second alkanolamine compound is within a range from about 1 to about 5, for example, about 1.5, or about 1.1. The first and second alkanolamine compounds may be different alkanolamine compounds selected from ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), or derivatives thereof. For example, the first alkanolamine compound may be DEA and the second alkanolamine compound may be TEA. In another example, the first alkanolamine compound is DEA the second alkanolamine compound is EA. In another example, the first alkanolamine compound is TEA the second alkanolamine compound is EA. In other examples, the first alkanolamine compound is DEA at a concentration to have the viscosity within a range from about 15 cP to about 25 cP or at a concentration by weight within a range from about 1% to about 15%.
  • A BOE solution may contain a first alkanolamine and a second alkanolamine compound at a weight ratio concentration to form a viscosity within a range from about 10 cP to about 30 cP, hydrogen fluoride at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP. The first and second alkanolamine compounds may include EA, DEA, TEA, or other alkanolamine derivatives. In one example, the weight ratio concentration of the first alkanolamine compound to the second alkanolamine compound is within a range from about 1 to about 5, such as about 1.5 or about 1.1. In another example, the viscosity of the BOE solution is within a range from about 12 cP to about 28 cP, preferably, from about 15 cP to about 25 cP.
  • The BOE solution may contain a pH adjusting agent, such as hydrofluoric acid, additional alkanolamine compounds, sulfuric acid, ammonium hydroxide, tetramethylammonium hydroxide, derivatives thereof, or combinations thereof. In one example, the BOE solution contains the pH adjusting agent at a concentration to have a pH value within a range from about 3.5 to about 5, preferably, from about 3.8 to about 4.8, and more preferably, from about 4 to about 4.5.
  • In one example, the buffered oxide etch solution contains a first alkanolamine compound at a concentration by weight within a range from about 0.5% to about 10%, a second alkanolamine compound at a concentration by weight within a range from about 0.5% to about 10%, hydrogen fluoride at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP.
  • In another embodiment, a composition of a BOE solution is provided which includes DEA at a concentration by weight within a range from about 0.5% to about 10%, TEA at a concentration by weight within a range from about 0.5% to about 10%, HF at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5 and a viscosity within a range from about 10 cP to about 30 cP.
  • In one example, the composition of the buffered oxide etch solution contains the DEA at a concentration within a range from about 1% to about 5%, the TEA at a concentration within a range from about 1% to about 5%, the HF at a concentration within a range from about 1% to about 5%, the water at a concentration within a range from about 85% to about 95%, the pH value within a range from about 3.8 to about 4.8, and the viscosity within a range from about 12 cP to about 28 cP. In another example, the composition of the buffered oxide etch solution contains the DEA at a concentration within a range from about 2% to about 3%, the TEA is at a concentration within a range from about 2% to about 3%, the HF is at a concentration within a range from about 1% to about 3%, the water is at a concentration within a range from about 88% to about 94%, the pH value is within a range from about 4 to about 4.5, and the viscosity is within a range from about 15 cP to about 25 cP. In another example, the composition of the buffered oxide etch solution contains the DEA is at a concentration of about 3%, the TEA is at a concentration of about 2%, the HF is at a concentration of about 2%, the water is at a concentration of about 92%, the pH value is within a range from about 4 to about 4.5, and the viscosity is within a range from about 15 cP to about 25 cP. The weight ratio of the DEA to the TEA is within a range from about 1 to about 5, preferably, the weight ratio is about 1.5 or less and the viscosity is about 23 cP.
  • In another embodiment, a method for selectively removing an oxide layer from a substrate surface is provided which includes providing a substrate having a native oxide surface and a feature surface and exposing the substrate to a buffered oxide etch solution to remove the native oxide surface while forming a native surface and preserving the feature surface on the substrate. The BOE solution may contain DEA at a concentration by weight within a range from about 0.5% to about 10%, TEA at a concentration by weight within a range from about 0.5% to about 10%, HF at a concentration by weight within a range from about 0.5% to about 10%, water at a concentration by weight within a range from about 80% to about 98%, a pH value within a range from about 3.5 to about 5, and a viscosity within a range from about 10 cP to about 30 cP. The pH value of the BOE solution may be adjusted to a point of zero charge of silicon, such as within a range from about 4 to about 4.5. The BOE solution may have a weight ratio of the DEA to the TEA within a range from about 1 to about 5. In one example of the BOE solution, the weight ratio is about 1.5 and the viscosity is about 23 cP.
  • The method further provides that substrate 300 is exposed to the BOE solution for a time period within a range from about 10 seconds to about 120 seconds, preferably, from about 15 seconds to about 60 seconds, for example, about 30 seconds. Substrate 300 may be exposed to a rinse solution subsequent to the BOE solution. Thereafter, a metal-containing material, such as a barrier layer or a metal silicide layer, may be deposited or formed on the native surface.
  • A wet clean solution may contain hydrogen fluoride and at least one basic compound, such as ammonium hydroxide, tetramethylammonium hydroxide, EA, DEA, TEA, derivatives thereof, salts thereof, or combinations thereof. Examples of the wet clean solution include an EA-HF complex, a DEA-HF complex, a TEA-HF complex, a DEA-EA-HF complex, a DEA-TEA-HF complex, a TEA-EA-HF complex, derivatives thereof, salts thereof, or combinations thereof. In one example, substrate 300 is exposed to a BOE solution containing about 0.5 M of TEA-HF solution for about 25 seconds at about 20° C. Thereafter, the excess BOE solution is removed by spinning the substrate for about 10 seconds.
  • Plasma Etch Process
  • In another embodiment, substrate 300 is exposed to a plasma etch process or a plasma clean process remove oxide surface 312 and to form exposed surface 314 during steps 510, 610, or 710 of processes 500, 600, or 700. Also, the plasma etch process may be used to remove native oxides and other contaminants formed on exposed contact surfaces prior to several processes described herein, such as an electroless deposition process. Surfaces exposed to the plasma etch process usually have an improve adhesion of subsequently deposited metal layers. The plasma etch process is performed in a chamber adapted to perform a chemical etch clean and in-situ anneal on substrates.
  • An exemplary plasma etch process for removing native oxides on a surface of the substrate using an ammonia (NH3) and nitrogen trifluoride (NF3) gas mixture performed within a plasma etch processing chamber will now be described. The plasma etch process begins by placing a substrate into a plasma etch processing chamber. During processing, the substrate may be cooled below 65° C., such as between 15° C. and 50° C. In another example, the substrate is maintained at a temperature of between 22° C. and 40° C. Typically, the substrate support is maintained below about 22° C. to reach the desired substrate temperatures.
  • The ammonia gas and nitrogen trifluoride gas are introduced into the dry etching chamber to form a cleaning gas mixture. The amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma and the volume capacity of the chamber body. In one aspect, the gases are added to provide a gas mixture having at least a 1:1 molar ratio of ammonia to nitrogen trifluoride. In another aspect, the molar ratio of the gas mixture is at least about 3 to about 1 (ammonia to nitrogen trifluoride). Preferably, the gases are introduced in the dry etching chamber at a molar ratio of from about 1:1 (ammonia to nitrogen trifluoride) to about 30:1, more preferably, from about 5:1 (ammonia to nitrogen trifluoride) to about 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to about 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1. Alternatively, a pre-mixed gas mixture of the preferred molar ratio may be used during the plasma etch process.
  • A purge gas or carrier gas may also be added to the gas mixture. Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, forming gas, or mixtures thereof. Typically, the overall gas mixture by volume of ammonia and nitrogen trifluoride is within a range from about 0.05% to about 20%. The remainder of the process gas may be the carrier gas. In one embodiment, the purge or carrier gas is first introduced into the chamber body before the reactive gases to stabilize the pressure within the chamber body.
  • The operating pressure within the chamber body can be variable. The pressure may be maintained within a range from about 500 mTorr to about 30 Torr, preferably, from about 1 Torr to about 10 Torr, and more preferably, from about 3 Torr to about 6 Torr. An RF power within a range from about 5 watts to about 600 watts may be applied to ignite a plasma of the gas mixture within the plasma cavity. Preferably, the RF power is less than about 100 watts. More preferable is that the frequency at which the power is applied is very low, such as less than about 100 kHz, and more preferably, within a range from about 50 kHz to about 90 kHz.
  • The plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH4F) compound and/or ammonium hydrogen fluoride (NH4F—HF) which reacts with the substrate surface. In one embodiment, the carrier gas is first introduced into the dry etch chamber, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • Not wishing to be bound by theory, it is believed that the etchant gas, NH4F and/or NH4F—HF, reacts with the native oxide surface to form ammonium hexafluorosilicate ((NH4)2SiF6), ammonia, and water. The ammonia and water are vapors at processing conditions and removed from the chamber by a vacuum pump attached to the chamber. A thin film of ammonium hexafluorosilicate is left behind on the substrate surface.
  • The thin film of ammonium hexafluorosilicate on the substrate surface may be removed during a vacuum sublimation process. The process chamber radiates heat to dissociate or sublimate the thin film of ammonium hexafluorosilicate into volatile SiF4, NH3, and HF products. These volatile products are then removed from the chamber by the vacuum pump attached to the system. In one example, a temperature of about 75° C. or higher is used to effectively sublimate and remove the thin film from the substrate. Preferably, a temperature of about 100° C. or more is used, such as between about 115° C. and about 200° C. Once the film has been removed from the substrate, the chamber is purged and evacuated prior to removing the cleaned substrate.
  • A plasma cleaning processes may be performed using a vacuum preclean chamber, such as a SICONI™ Preclean chamber and process, both available from Applied Materials, Inc., located in Santa Clara, Calif. Further description of a plasma-assisted dry etch chamber and plasma etch process that may be used by embodiment herein is disclosed in commonly assigned U.S. Ser. No. 11/063,645, (8802), entitled “In-Situ Dry Clean Chamber For Front End Of Line Fabrication,” filed on Feb. 22, 2005, and U.S. Ser. No. 11/192,993, (8707.P1), entitled “Integrated Electroless Deposition System,” filed on Jul. 29, 2005, which are hereby incorporated by reference in their entirety to the extent not inconsistent with the claimed invention.
  • Activation Processes
  • In other embodiments, substrate 300 may be exposed to an activation process to remove oxide surface 312 and to form exposed surface 314, suicide layer 315, or metal-containing layer 316, or combinations thereof during steps 510, 610, or 710 of processes 500, 600, or 700. In one embodiment, interconnect layer 302 contains exposed surface 314 has an exposed silicon contact surface within contact aperture 310. Exposed surface 314 may contain a pure silicon surface, a silicon hydride-terminus surface, or a mixture thereof. In another embodiment, interconnect layer 302 contains exposed surface 314 has an exposed silicide contact surface within contact aperture 310. The exposed silicide contact surface contains a silicide surface, such as a cobalt silicide, a nickel silicide, a cobalt nickel silicide, a cobalt tungsten silicide, alloys thereof, or combinations thereof. As described by embodiments herein, exposed surface 314 containing an exposed silicide contact surface, silicide layer 315, or metal-containing layer 316 may contain at least one metal silicide material that contains cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, or combinations thereof.
  • In another embodiment, the activation process may be a liquid reduction process, whereas oxide surface 312 is exposed to a reducing solution to form exposed surface 314 during steps 510, 610, or 710 of processes 500, 600, or 700. The reducing solutions may contain at least one metal-reductant, such as a compound containing a variable-valence metal. Variable-valence metals are utilized as metal-reductants due to the availability of electrons between redox states and include compounds of Ti3+/Ti4+, Fe2+/Fe3+, Cr2+/Cr3+ and Sn2+/Sn4+. Metal-reductants containing variable-valence metals may contain a variety of anionic ligands including complexing agents and halides, such as chlorides, fluorides, bromides or iodides. Complexing agents that are useful may have functional groups that include carboxylic acids, dicarboxylic acids, polycarboxylic acids, amino acids, amines, diamines, polyamines, alkylamines, alkanolamines and alkoxyamines. Complexing agents may include citric acid, glycine, ethylenediamine (EDA), monoethanolamine, diethanolamine (DEA), triethanolamine (TEA), derivatives thereof, salts thereof or combinations thereof. In one embodiment, citric acid or a citrate salt is a preferred complexing agent within a reducing solution. For example, a reducing solution may contain titanium salts with carboxylic acid ligands, such as a citrate.
  • Generally, the reducing solutions are formed in water by combining a metal halide (e.g., titanium chloride containing TiCl3 and TiCl4) with a salt containing a predetermined ligand (e.g., trisodium citrate) to form a metal complex or a metal salt that contains the predetermined ligand (e.g., titanium citrate) having a variable-valence state. The reducing solution may further contain additives such as various chelators, surfactants, pH adjusting agents, or combinations thereof. Variable-valence metal compounds and reducing solutions are further described in V. V. Sviridov et al., “Use of Ti(III) Complexes to reduce Ni, Co, and Fe in Water Solution,” J. Phys. Chem., vol. 100, pp. 19632-19635, (1996), M Majima et al., “Development of Titanium Redox Electroless Plating Method,” SEI Technical Review, vol. 54, pp. 67-70, (June 2002), S. Nakao et al., “Electroless Pure Nickel Plating Process with Continuous Electrolytic Regeneration System,” Surface and Coatings Technology, vols. 169-170(1), pp. 132-134., (Jun. 2, 2003), which are each incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • In an effort to remove oxide surface 312, substrate 300 is exposed to a reducing solution for a predetermined time to remove the oxides from exposed surface 314. The liquid reduction process may occur for about 5 minutes or less, preferably within a range from about 1 second to about 120 seconds, more preferably, from about 5 seconds to about 90 seconds. During the liquid reduction process, the substrate is heated to a temperature within a range from about 20° C. to about 150° C., preferably, from about 50° C. to about 100° C. In one example, the metal-reductant may be a Ti3+ compound, such as titanium citrate. In an alternative embodiment of a pretreatment process, substrate 300 is exposed to a plasma process for a predetermined time to reduce oxide surface 312 and form exposed surface 314.
  • In another embodiment, the pretreatment process may be a vapor deposition process, whereas oxide surface 312 is exposed to a reducing vapor to form exposed surface 314 during steps 510, 610, or 710 of processes 500, 600, or 700. The reductant may include borane, diborane, borane-alkylsulfides, such as borane-dimethylsulfide (BH3.(CH3)2S), alkyboranes (e.g., ethylborane), phosphine, alkylposphines (e.g., dimethylphosphine), silane, disilane, trisilane, alkylsilanes (e.g., methylsilane), ammonia, hydrazine, hydrogen, derivatives thereof or combinations thereof. Preferably, the reductant is diborane, phosphine, silane, hydrazine, hydrogen, or combinations thereof.
  • Cobalt Activation Process and Solution
  • In other embodiments, the activation process may be a silicidation process, whereas exposed surface 314 is exposed to an action solution to form metal-containing layer 316 during steps 510, 610, 620, 710, or 720 of processes 500, 600, or 700. In one embodiment, an activation solution to form metal-containing layer 316 containing a cobalt silicide material on substrate 300 contains a cobalt source, a fluoride source, and a hypophosphite source. Useful cobalt sources include cobalt sulfate, cobalt chloride, cobalt fluoride, cobalt acetate, cobalt phosphate, other Co2+ compounds, derivatives thereof, hydrates thereof or combinations thereof. In one example, cobalt sulfate heptahydrate (COSO4.7H2O) is used within the activation solution to form cobalt-containing materials. The activation solution may have a cobalt source at a concentration within a range from about 1 mM to about 500 mM, preferably, from about 1 mM to about 100 mM, more preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 30 mM, for example, about 22 mM.
  • The activation solution further contains a fluoride source. Useful fluoride sources include hydrogen fluoride, ammonium fluoride compounds, including alkylammonium fluoride compounds and metal fluoride compounds, including alkaline fluoride compounds, rare-earth fluoride compounds, transition metal fluoride compounds, or combinations thereof. Ammonium fluoride compounds, alkylammonium fluoride compounds, and alkanolamine fluoride compounds may have a chemical formula of [R4N][F], wherein each R is independently a hydrogen (H), an alkyl group, such as methyl (—CH3 or Me), ethyl(—C2H5 or Et), propyl(—C3H7 or Pr), butyl(—C4H9 or Bu), amyl(—C5H11), an alcohol group, such as methanol (—CH2OH), ethanol (—C2H2OH), propanol (—C3H6OH), butanol (—C4H8OH), pentanol (—C5H10OH), derivatives thereof, or combinations thereof. The activation solution may have a fluoride concentration within a range from about 1 mM to about 1 M, preferably, from about 10 mM to about 400 mM, more preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 120 mM, for example, about 83 mM.
  • In one embodiment, a fluoride source includes an alkanolamine fluoride compound with a chemical formula of [(HO(CH2)n)mNH4-m][F], wherein n=1, 2, 3, 4, or 5 and m=1, 2, 3, or 4, for example, an ethanolammonium fluoride has a chemical formula [(HOCH2CH2)mNH4-m][F]. In one example, a fluoride source is a monoalkanolamine fluoride compound with a chemical formula of [(HO(CH2)n)NH3][F], wherein n=1, 2, 3, 4, or 5, for example, ethanolammonium fluoride (EA-HF) with a chemical formula of [(HOCH2CH2)NH3][F]. In another example, a fluoride source is a dialkanolamine fluoride compound with a chemical formula of [(HO(CH2)n)2NH2][F], wherein n=1, 2, 3, 4, or 5, for example, diethanolammonium fluoride (DEA-HF) with a chemical formula of [(HOCH2CH2)2NH2][F]. In another example, a fluoride source is a trialkanolamine fluoride compound with a chemical formula of [(HO(CH2)n)3NH][F], wherein n=1, 2, 3, 4, or 5, for example, triethanolammonium fluoride (TEA-HF) with a chemical formula of [(HOCH2CH2)3NH][F]. In other examples, the fluoride sources include ammonium fluoride ([H4N][F]) and tetramethylammonium fluoride ([Me4N][F]). The fluoride compounds may be added as a fluoride salt or may be formed to the activation solution. In one embodiment, a fluoride source may be formed in situ by combining a basic compound to an acidic compound, such as combining diethanolamine (DEA) and hydrogen fluoride (HF) to form diethanolammonium fluoride (DEA-HF). Therefore, the activation solution may contain a fluoride compound and also a complexing base to form the respective fluoride salt. The base, such as ammonia, alkylamine compounds, alkanolamine compounds, or mixtures thereof, may be contained in the activation solution at a concentration within a range from about 1 mM to about 1 M, preferably, from about 10 mM to about 400 mM, more preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 120 mM, for example, about 80 mM.
  • In another embodiment, the activation solution may contain two, three or more different alkanolamine fluoride compounds, alkanolamine compounds, other fluoride sources, hydrogen fluoride, salts thereof, or mixtures thereof. The viscosity of the activation solution may be adjusted by varying a concentration ratio of at least two alkanolamine compounds. An activation solution having a viscosity within a range from about 10 cP to about 30 cP has superior wetting properties while activating a substrate surface. In one example, a molar ratio of the first alkanolamine compound to the second alkanolamine compound is within a range from about 1 to about 5, preferably, from about 1 to about 1.5, for example, about 1.2. The first and second alkanolamine compounds may be different alkanolamine compounds selected from ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), or derivatives thereof. For example, the first alkanolamine compound may be DEA and the second alkanolamine compound may be TEA. In another example, the first alkanolamine compound is DEA the second alkanolamine compound is EA. In another example, the first alkanolamine compound is TEA the second alkanolamine compound is EA.
  • An activation solution further contains a reductant, such as a hypophosphite source. Useful hypophosphite sources include sodium hypophosphite, potassium hypophosphite, lithium hypophosphite, calcium hypophosphite, ammonium hypophosphite, tetramethylammonium (TMAH) hypophosphite and other alkylammonium hypophosphites, derivatives thereof, or combinations thereof. Hypophosphite of sodium, potassium, ammonium, or alkylammonium may have the chemical formula of MnH3-nPO2, where n=1, 2, or 3 and M is sodium, potassium ammonium, alkylammonium (e.g., TMAH), derivatives thereof, or combinations thereof. In one example, a preferred hypophosphite source is TMAH hypophosphite or sodium hypophosphite. The activation solution may have a hypophosphite concentration within a range from about 1 mM to about 1 M, preferably, from about 10 mM to about 400 mM, more preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 120 mM, for example, about 83 mM.
  • In one embodiment, a cobalt activation solution may be used to form metal-containing layer 316. In one example, the activation solution may contain a cobalt concentration within a range from about 1 mM to about 100 mM, a fluoride concentration within a range from about 10 mM to about 400 mM, and a hypophosphite concentration within a range from about 5 mM to about 150 mM. Preferably, an activation solution may contain a cobalt concentration within a range from about 5 mM to about 50 mM, a fluoride concentration within a range from about 20 mM to about 200 mM, and a hypophosphite concentration within a range from about 10 mM to about 80 mM. More preferably, an activation solution may contain a cobalt concentration within a range from about 10 mM to about 30 mM, a fluoride concentration within a range from about 50 mM to about 120 mM, and a hypophosphite concentration within a range from about 20 mM to about 60 mM. In one example, an activation solution contains a cobalt concentration of about 22 mM, a fluoride concentration of about 83 mM, and a hypophosphite concentration of about 43 mM.
  • In one example, an activation solution has a pH value of about 7 and contains about 22 mM of a cobalt sulfate hexahydrate, about 70 mM of DEA-HF, about 18 mM of HF, and about 43 mM of a TMAH-hypophosphite. In another example, an activation solution has a pH value of about 7 and contains about 22 mM of a cobalt sulfate hexahydrate, about 70 mM of TEA-HF, about 18 mM of HF, and about 43 mM of a TMAH-hypophosphite. In another example, an activation solution has a pH value of about 7 and contains about 22 mM of a cobalt sulfate hexahydrate, about 70 mM of DEA-TEA-HF (DEA:TEA molar ratio of 1.22), about 18 mM of HF, and about 43 mM of a TMAH-hypophosphite.
  • Generally, the substrate may be exposed to an activation solution to form a cobalt silicide layer for a time period within a range from about 1 second to about 90 seconds, preferably, from about 5 seconds to about 60 seconds, and more preferably, from about 10 seconds to about 30 seconds, for example, about 20 seconds. The substrate may be heated to a temperature within a range from about 70° C. to about 95° C., preferably, from about 80° C. to about 85° C. during the activation process.
  • Self Assembled Monolayer and Capping Layer
  • Self Assembled Monolayer
  • In one embodiment, SAM-layer 350 (self-assembled-monolayer) may be deposited on substrate 300 to provide further barrier protection between neighboring layers during steps 550, 650, or 750 of processes 500, 600, or 700. An example of a prototypical SAM material includes, but is not limited to, aminopropyltriethoxy silane (APTES). A vapor deposition process may be used to form SAM-layer 350 from APTES. Further description of a process for depositing a SAM material is disclosed in commonly assigned U.S. Ser. No. 60/754,230, filed Dec. 27, 2005, (9916L.06), which is herein incorporated by reference in its entirety.
  • Substrate 300 may be exposed to a plasma treatment process prior to depositing SAM-layer 350, as described in embodiments herein, such as during optional step 750 of process 700 (FIG. 7). A plasma treatment process containing water, hydrogen, or combinations thereof forms a silanol (e.g., Si—OH) terminated surface for promoting strong adhesion of SAM-layer 350 to an underlying layer, such as dielectric layer 304, exposed surface 314, silicide layer 315, metal-containing layer 316, capping layer 318, and other surfaces and layers on substrate 300.
  • In one embodiment, SAM-layer 350 may be deposited on substrate 300 covering exposed surface 314 and dielectric layer 304 (FIG. 3E). Metal contact material 320 is formed over SAM-layer 350 (FIG. 3F). Subsequently, substrate 300 is exposed to a thermal process and silicide layer 315 is formed at the interface between metal contact material 320 and interconnect layer 302 (FIG. 3G). The portion of SAM-layer 350 therebetween is decomposed and may incorporate carbon into silicide layer 315.
  • In another embodiment, SAM-layer 350 may be deposited on substrate 300 covering metal-containing layer 316 and dielectric layer 304 (FIG. 3J). In one example, metal contact material 320 is directly formed over SAM-layer 350 (FIG. 3K). In another example, capping layer 318 is formed over SAM-layer 350 prior to the deposition of metal contact material 320 (FIG. 3L-3M). The portion of SAM-layer 350 formed at the interface between metal contact material 320 and metal-containing layer 316 may be preserved (FIG. 3K), but usually is decomposed and incorporates carbon into nearby layers, such as metal-containing layer 316 (FIG. 3M). The composition of SAM-layer 350, the composition of neighboring layers, and the subsequent process temperatures experienced by substrate 300 determine the extent the durability or decomposition of SAM-layer 350.
  • In another embodiment, SAM-layer 350 may be deposited on substrate 300 covering capping layer 318 and dielectric layer 304 (FIG. 3P) and metal contact material 320 is directly formed over SAM-layer 350 (FIG. 3Q).
  • Capping Layer
  • Capping layer 318 may be formed or deposited on substrate 300, as described in embodiments herein, such as during step 740 of process 700 (FIG. 7). In one embodiment, a selective deposition process may be used to form or deposit capping layer 318 over the exposed areas of metal-containing layer 316 (FIGS. 3L-3Q). The selective deposition process may include an electroless deposition process, a CVD process, a PE-CVD process, an ALD process, a PE-ALD process, a PVD process, or combinations thereof. The selective process covers metal-containing layer 316 while maintaining sidewalls of contact aperture 310 free of deposited material. Capping layer 318, as a barrier layer, eliminates or reduces atomic diffusion (e.g., silicon) into metal contact materials 320 from metals or metal suicides contained within metal-containing layer 316.
  • In one example, capping layer 318 may be selectively deposited by use of an electroless deposition process. The electroless deposition process may be used to form a layer that contains a binary alloy, a ternary alloy, or a quaternary alloy. Capping layer 318 may contain cobalt boride (CoB), cobalt phosphide (CoP), nickel boride (NiB), nickel phosphide (NiP), cobalt tungsten phosphide (CoWP), cobalt tungsten boride (CoWB), nickel tungsten phosphide (NiWP), nickel tungsten boride (NiWB), cobalt molybdenum phosphide (CoMoP), cobalt molybdenum boride (CoMoB), nickel molybdenum phosphide (NiMoB), nickel molybdenum phosphide (NiMoP), nickel rhenium phosphide (NiReP), nickel rhenium boride (NiReB), cobalt rhenium boride (CoReB), cobalt rhenium phosphide (CoReP), alloys thereof, derivatives thereof, or combinations thereof. The film composition of the binary or ternary alloys can be preferably designed to have a resistivity within a range from about 1 μΩ-cm to about 200 μΩ-cm.
  • Capping layer 318 containing a cobalt-tungsten alloy or a nickel-containing material may be deposited during an electroless deposition process that utilizes either a pre-mixed solution or solution prepared by an in-line mixing process that combines componential solutions. In one example, an electroless solution to deposit a cobalt-tungsten alloy may contain a cobalt source, a tungsten source, a citrate source, a hypophosphite source, a borane reductant, and other additives. In another example, an electroless solution to deposit a nickel-containing material may contain a nickel source, a citrate source, a borane reductant and other complexing agents and additives. Other electroless deposition solutions and processes useful for depositing cobalt-tungsten alloys are further described in the commonly assigned U.S. Ser. No. 10/967,919, entitled, “Selective Self-initiating Electroless Capping of Copper with Cobalt-containing Alloys,” filed on Oct. 18, 2004, and published as US 2005-0136193, which is incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • Sequential Electroless Deposition Processes for Co—Ni Stack Material
  • In embodiment described herein, FIGS. 4A-4H depict substrate 400 having contact aperture 310 filled with cobalt-nickel stack material 330 during steps 560, 660, or 760 of processes 500, 600, or 700. Cobalt-nickel stack material 330 may be formed during a bottom-up electroless deposition process. Cobalt-nickel stack material 330 may be formed by a sequential electroless deposition process containing at least two electroless solutions, such as a cobalt solution containing a cobalt source and a nickel solution containing a nickel source. Cobalt-nickel stack material 330 is formed of sequential layers of at least two types of materials, layers 322 and 324, wherein layers 322 may contain a cobalt material and layers 324 may contain a nickel material or vice versa. Cobalt materials and nickel materials contained within cobalt-nickel stack material 330 may contain cobalt, nickel, tungsten, boron or phosphorus and include materials such as metallic cobalt, cobalt boride, cobalt phosphide, cobalt borophosphide, metallic nickel, nickel boride, cobalt tungsten boride, nickel phosphide, nickel borophosphide, nickel tungsten boride, derivatives thereof, alloys thereof, or combinations thereof.
  • In one example, cobalt-nickel stack material 330 on substrate 400 (FIG. 4A) may be formed during a sequential electroless deposition process, instead of forming metal contact material 320 on substrate 300 (FIG. 3C). Similarly, by substituting a non-sequential electroless deposition process for the sequential electroless deposition process, a variety of alternative features may be formed on substrate 400. In another example, cobalt-nickel stack material 330 on substrate 400 (FIG. 4B) may be formed during a sequential electroless deposition process, instead of forming metal contact material 320 on substrate 300 (FIG. 3D). Other examples include substituting metal contact material 320 on substrate 300 for cobalt-nickel stack material 330 on substrate 400, where FIG. 4C correlates to FIG. 3G, FIG. 4D correlates to FIG. 3I, FIG. 4E correlates to FIG. 3K, FIG. 4F correlates to FIG. 3M, FIG. 4G correlates to FIG. 3O, and FIG. 4H correlates to FIG. 3Q. In one example, cobalt-nickel stack material 330 may be a graded contact plug by forming layers 322 and 324 closer to interconnect layer 302 with a different composition than layers 322 and 324 that are further away from interconnect layer 302.
  • Sequential electroless deposition processes contain a variety of sequences for exposing a substrate to deposition solutions and rinse solutions. FIG. 8 illustrates a flow chart of process 800 describing one embodiment of a sequential electroless deposition process. During optional step 810, a substrate may be exposed to a pretreatment process as described herein to remove oxide surfaces, form hydride surfaces, form metallic surfaces, form silicide surfaces, form a SAM material, form a capping layer, by pre-clean processes, activation processes, reduction processes, or combinations thereof.
  • FIG. 9A depicts a graph to illustrate periods of time for exposing a substrate to solutions during an electroless process, such as process 800. During step 820, a cobalt material is formed by exposing the substrate to a cobalt solution containing a cobalt source for a time period between to and t1. Generally, the cobalt material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 Å, preferably, from about an atomic layer to about 50 Å, and more preferably, from about 5 Å to about 30 Å. The time period between t0 and t1 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • The substrate is exposed to a rinse solution during a rinse process for a time period between t1 and t2 during step 830. The rinse solution is preferably water, such as deionized water. Alternatively, the rinse solution may contain an additive, such as a surfactant, a chelator or a mild reductant. The rinse process removes the remaining cobalt solution and any byproducts. Generally, the time period between t1 and t2 is within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • During step 840, a nickel material is formed by exposing the substrate to a nickel solution containing a nickel source for a time period between t2 and t3. Generally, the nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 Å, preferably, from about an atomic layer to about 50 Å, and more preferably, from about 5 Å to about 30 Å. The time period between t2 and t3 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • The substrate is again exposed to the rinse solution during a rinse process for a time period between t3 and t4 during step 850. The rinse solution may be the same solution as used during step 830 or the rinse solution may be different, dependant on the specific chemistries of the cobalt and nickel solutions. The rinse process removes the remaining nickel solution and any byproducts. Generally, the time period between t3 and t4 is within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • Once the cobalt-nickel material is deposited to a predetermined thickness, the sequential electroless process is complete at step 860. However, multiple cycles of steps 820, 830, 840, and 850 may be repeated until the cobalt-nickel material is formed with the predetermined thickness. For example, a second cycle of steps 820, 830, 840, and 850 may be completed during t4-t8 and a third cycle of steps 820, 830, 840, and 850 may be completed during t8-t12 (FIG. 9A). Usually, the cobalt-nickel material is deposited onto the substrate to fill any vias or apertures.
  • In other aspects, process 800 may be modified by rearranging or omitting process steps. For example, step 820 may include a nickel solution and not a cobalt solution while step 840 includes a cobalt solution and not a nickel solution. In another example, steps 820 or 840 may by skipped during a cycle. In an alternative embodiment, multiple layers of cobalt material may be deposited on each other or multiple layers of nickel material may be deposited on each other. A graded cobalt-nickel material may be formed by selectively manipulating the order or the amount of time of process steps 820, 830, 840, and 850.
  • Therefore, in an alternative embodiment, in reference to FIGS. 4A-4H, the composition of layers 322 and 324 may vary throughout cobalt-nickel stack material 330, such as that layers 322 and 324 that are closer to interconnect layer 302 have a different composition than layers 322 and 324 that are further away from interconnect layer 302 (FIGS. 4A-4H). Electroless deposition processes that may be utilized to control composition of cobalt-nickel materials formed during processes described herein (e.g., processes 800 and 1000) are further disclosed in commonly assigned U.S. Ser. No. 11/040,962, entitled “Method and Apparatus for Selectively Changing Thin Film Composition During Electroless Deposition in a Single Chamber,” filed on Jan. 22, 2005, and published as US 2005-0181226, which is incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • FIGS. 9B-9D depict graphs to illustrate periods of time for exposing a substrate to solutions during various permutations of the electroless deposition processes. Process 800 may be modified by omitting step 830 (FIG. 9B), by omitting step 850 (FIG. 9C), or conducting steps 820 and 840 during the same time and omitting either step 830 or step 850 (FIG. 9D).
  • FIG. 10 illustrates a flow chart of process 1000 describing another embodiment of a sequential electroless deposition process, and FIG. 11 depicts a graph to illustrate periods of time for exposing a substrate to solutions during an electroless deposition process, such as process 1000. During optional step 1010, a substrate may be exposed to a pretreatment process and/or activation process as described for step 810.
  • A cobalt-nickel material may be formed by exposing a substrate to an increasing amount of a cobalt solution while exposing the substrate to a decreasing amount of a nickel solution for a time period between t0 and t1 during a transition step. The cobalt-nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 Å, preferably, from about an atomic layer to about 50 Å, and more preferably, from about 5 Å to about 30 Å. The time period between t0 and t1 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • During step 1020, a cobalt material is formed by exposing the substrate to a cobalt solution for a time period between t1 and t2. The cobalt material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 Å, preferably, from about an atomic layer to about 50 Å, and more preferably, from about 5 Å to about 30 Å. The time period between t1 and t2 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • A cobalt-nickel material may be formed by exposing the substrate to a decreasing amount of a cobalt solution while exposing the substrate to an increasing amount of a nickel solution for a time period between t2 and t3 during another transition step. The cobalt-nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 Å, preferably, from about an atomic layer to about 50 Å, and more preferably, from about 5 Å to about 30 Å. The time period between t2 and t3 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • A nickel material may be formed by exposing the substrate to a nickel solution for a time period between t3 and t4 during step 1030. The nickel material is deposited to form a layer with a thickness within a range from about a discontinuous atomic layer to about 100 Å, preferably, from about an atomic layer to about 50 Å, and more preferably, from about 5 Å to about 30 Å. The time period between t3 and t4 may be within a range from about 5 seconds to about 5 minutes, preferably, from about 10 seconds to about 3 minutes, and more preferably, from about 30 seconds to about 2 minutes.
  • Once the cobalt-nickel material is deposited to a predetermined thickness, the sequential electroless process may be complete at step 1040. However, multiple cycles of steps 1020 and 1030 and transition steps are repeated until the cobalt-nickel material is formed with a predetermined thickness. For example, a second cycle of steps 1020 and 1030 and transition steps may be completed during t4-t8 and a third cycle of steps 1020 and 1030 and transition steps may be completed during t8-t12 (FIG. 10). Usually, the cobalt-nickel material is deposited onto the substrate to fill any vias or apertures.
  • The substrate may be exposed to a chemical mechanical polishing (CMP) process prior to the pretreatment and deposition processes described herein. Usually, the CMP process is conducted in a first process chamber, the nickel-containing layer or cobalt-tungsten alloy layer may be deposited in a second process chamber and the first and second process chambers are on the same CMP tool. In one example, the first and second process chambers may be in fluid communication to an in-line mixing system that combines stock solutions used in the pretreatment process and/or the electroless deposition processes.
  • Nickel Electroless Process
  • In some embodiments, a nickel-containing material may deposited as metal-containing layer 316 or metal contact material 320 on substrate 300 during steps 560, 660, or 760 of processes 500, 600, or 700. Nickel-containing materials may be deposited as capping layers or filling material by an electroless deposition process throughout embodiments described herein. Nickel-containing materials include metallic nickel, nickel boride, nickel phosphide, nickel boride phosphide, or other nickel alloys. The electroless deposition solution to form nickel-containing materials is an aqueous solution that generally contains a nickel source, a reductant, at least one complexing agent, a pH adjusting agent, and optional additives and surfactants. A nickel-containing material may be deposited by an electroless process utilizing either a pre-mixed electroless deposition solution or an in-line mixing process that combines solution components to generate the electroless solution.
  • The nickel source within the electroless deposition solution may have a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM. Nickel sources provide nickel ions (e.g., Ni2+) dissolved within the electroless solution and later reduced out as the deposited nickel-containing material. Useful nickel sources include nickel sulfate, nickel chloride, nickel acetate, nickel phosphate, derivatives thereof, hydrates thereof, or combinations thereof. In a preferred embodiment, nickel sulfate hexahydrate (NiSO4.6H2O) is used in the electroless solution to deposit nickel-containing materials.
  • The reductant within the electroless deposition solution may have a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM. Reductants provide electrons to induce chemical reduction of the nickel ions that form and deposit the nickel-containing material. Reductants may include organic reductants (e.g., formaldehyde or glyoxylic acid), hydrazine, organic hydrazines (e.g., methyl hydrazine), hypophosphite sources (e.g., hypophosphorous acid (H3PO2), ammonium hypophosphite ((NH4)4-xHxPO2) and salts thereof), borane sources (e.g., dimethylamine borane complex ((CH3)2NH.BH3), DMAB), trimethylamine borane complex ((CH3)3N.BH3), TMAB), tert-butylamine borane complex (tBuNH2.BH3), tetrahydrofuran borane complex (THF.BH3), pyridine borane complex (C5H5N.BH3), ammonia borane complex (NH3.BH3), borane (BH3), diborane (B2H6), derivatives thereof, complexes thereof, or combinations thereof. In a preferred embodiment, DMAB is used as a reductant in the electroless solution for depositing nickel-containing materials.
  • Chelators or complexing agents are in the electroless solution to complex nickel ions thereby stabilizing the solubility and reduction of nickel ions. The complexing agents may have a concentration of about 2 M or less, such as within a range from about 10 mM to about 500 mM, preferably, from about 50 mM to about 300 mM, and more preferably, from about 75 mM to about 200 mM, such as about 150 mM. Complexing agents generally may have functional groups, such as carboxylic acids, dicarboxylic acids, polycarboxylic acids, amino acids, amines, diamines, polyamines, alkylamines, alkanolamines, or alkoxyamines. Complexing agents may include citric acid, citrates, glycolic acid, glycine, malonic acid, maleic acid, lactic acid, ethylenediaminetetraacetic acid (EDTA), ethylenediamine (EDA), triethylene tetramine (TETA), diaminoethane, ethanolamine (EA), diethanolamine (DEA), triethanolamine (TEA), hydroxylamine hydrochloride, ammonia, ammonium chloride, derivatives thereof, salts thereof, or combinations thereof. Usually, the electroless solution contains more than one complexing agent. Preferably, the electroless solution contains at least citric acid or citrate salts, more preferably, the electroless solution also contains DEA, glycine, and/or lactic acid. In one example, the electroless solution contains about 45 mM of citric acid, about 16 mM of DEA, about 5 mM of glycine, and about 85 mM of lactic acid.
  • A pH adjusting agent may be added to adjust the electroless solution to a pH value within a range from about 8 to about 10, preferably, from about 8.5 to about 10, and more preferably, from about 9.0 to about 9.5, such as about 9.2. The pH adjusting agent may be an acidic compound to decrease the pH value of the electroless solution and include hydrochloric acid, sulfuric acid, phosphoric acid, derivatives thereof, or combinations thereof. Alternatively, the pH adjusting agent may be a basic compound to increase the pH value of the electroless solution and include metal hydroxides, tetraalkylammonium hydroxides (e.g., tetramethylammonium hydroxide ((CH3)4NOH, TMAH) or tetraethylammonium hydroxide ((CH3CH2)4NOH, TEAH)), ammonium hydroxide, DEA, TEA, derivatives thereof, or combinations thereof. The pH adjusting agent may be dissolved in water prior to adjusting the pH value of the electroless solution. In one example, a 25 wt % aqueous solution of TMAH is used as a pH adjusting agent. In another example, both TMAH and DEA are used to adjust the pH value of an electroless solution.
  • The optional additives may include levelers, accelerators and suppressors. Levelers within the electroless solution are used to achieve different deposition thickness as a function of leveler concentration and feature geometry while depositing nickel-containing materials. The leveler within the electroless deposition solution may have a concentration within a range from about 20 ppb to about 600 ppm, preferably, from about 100 ppb to about 100 ppm. Examples of levelers that may be employed in an electroless solution include, but are not limited to alkylpolyimines and organic sulfonates, such as 1-(2-hydroxyethyl)-2-imidazolidinethione (HIT), 4-mercaptopyridine, 2-mercaptothiazoline, ethylene thiourea, thiourea, or derivatives thereof. The electroless deposition solution may contain brighteners or accelerators and suppressors as alternative additives to provide further control of the deposition process. The role of accelerators is to achieve a smoothly deposited surface of the nickel-containing material. The accelerator within the electroless deposition solution has a concentration within a range from about 20 ppb to about 600 ppm, preferably, from about 100 ppb to about 100 ppm. Accelerators that are useful in an electroless solution for depositing nickel-containing materials may include sulfur-based compounds such as bis(3-sulfopropyl)disulfide (SPS), 3-mercapto-1-propane sulfonic acid (MPSA), aminoethane sulfonic acids, thiourea, derivatives thereof, combinations thereof. Suppressors are used to suppress nickel deposition by initially adsorbing onto underlying catalytic surfaces and therefore blocking access to the catalyst of the reaction. Suppressors generally may include polyethylene glycol (PEG), polypropylene glycol (PPG), polyoxyethylene-polyoxypropylene copolymer (POCP), benzotriazole (BTA), dipyridyl, dimethyl dipyridyl, derivatives thereof, or combinations thereof. The suppressor within the electroless deposition solution has a concentration within a range from about 20 ppb to about 600 ppm, preferably, from about 100 ppb to about 100 ppm.
  • The electroless solution may contain boric acid as an additional additive. Boric acid is added to provide additional buffering and to stabilize the composition of the solution. Boric acid is an oxidation by-product from the chemical reactions of borane reductants (e.g., DMAB). Therefore, an electroless solution containing boric acid is more normalized at the start of the deposition process since a less steep dissipation gradient exist as additional boric acid is formed from the borane reductant. Boric acid is preferably within the electroless deposition solution at concentration within a range from about 0.1 mM to about 50 mM, preferably, from about 0.5 mM to about 5 mM, and more preferably, from about 1 mM to about 3 mM, such as about 2 mM.
  • Also, an optional surfactant may be added to the electroless solution. The surfactant is a wetting agent to reduce the surface tension between the electroless solution and the substrate surface. Surfactants are generally added to the electroless solution at a concentration of about 1,000 ppm or less, preferably about 800 ppm or less, such as from about 20 ppb to about 600 ppm. The surfactant may have ionic or non-ionic characteristics. A preferred surfactant includes dodecyl sulfates, such as sodium dodecyl sulfate (SDS). Other surfactants that may be used in the electroless deposition solution include glycol ether based surfactants (e.g., polyethylene glycol). For example, a glycol ether based surfactants may contain polyoxyethylene units, such as TRITON® 100, available from Dow Chemical Company. A nonylphenol ethoxylate surfactant is useful in the electroless deposition solution, such as TERGITOL®, available from Dow Chemical Company or IGEPAL-630, available from GAF Corporation. Other useful surfactants may contain phosphate units, for example, sodium poly(oxyethylene)phenyl ether phosphate, such as RHODAFAC® RE-610, available from Rhodia, Inc. The surfactants may be single compounds or a mixture of compounds of molecules containing varying length of hydrocarbon chains.
  • An electroless process to deposit nickel-containing materials may utilize an in-line mixing process to form the electroless solution. The process may contain the addition of two, three, four or more componential solutions to form the electroless solution. In one example, the electroless solution is formed by combining a buffered complexing solution, a nickel-containing solution, a reducing solution and water, where each solution is a concentrate and water is added to reach a predetermined concentration of the final solution. In another example, the electroless solution is formed by combining a buffered complexing solution, a nickel-containing solution and a reducing solution, where each of the solutions are pre-diluted and therefore do not require additional water. In another example, the electroless solution is formed by combining a buffered nickel-containing solution, a reducing solution and water, where a buffered complexing solution and a nickel-containing solution are combined to form the buffered nickel-containing solution. Further details of in-line mixing processes and componential solutions are further described in the commonly assigned U.S. Ser. No. 10/967,919, entitled, “Selective Self-initiating Electroless Capping of Copper with Cobalt-containing Alloys,” filed on Oct. 18, 2004, and published as US 2005-0136193, which is incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • A buffered complexing solution usually contains water, at least one complexing agent, additives and a pH adjusting agent. The complexing agent within the buffered complexing solution is at a concentration from about 0.1 M to about 3 M, preferably, from about 0.2 M to about 2 M. The additive within the buffered complexing solution is at a concentration from about 10 mM to about 1 M, preferably, from about 50 mM to about 500 mM. The pH adjusting agent is at a concentration to provide the buffered complexing solution with a pH value within a range from about 8 to about 11, preferably, from about 9 to about 10, and more preferably, from about 9.2 to about 9.6, such as about 9.4. In one example, a buffered complexing solution contains water, about 450 mM of DEA, about 300 mM of citric acid, about 150 mM of glycine, about 50 mM of boric acid and a concentration of TMAH to adjust the pH value to about 9.4. In another example, a buffered complexing solution contains water, about 1.15 M of DEA, about 375 mM of citric acid, about 300 mM of glycine, about 100 mM of boric acid and a concentration of TMAH to adjust the pH value to about 9.4. In another example, a buffered complexing solution contains water, about 330 mM of DEA, about 300 mM of citric acid, about 150 mM of glycine, about 50 mM of boric acid and a concentration of TMAH to adjust the pH value to about 9.4.
  • A nickel-containing solution usually contains water, a nickel source, at least one complexing agent, and a pH adjusting agent. The nickel source within the nickel-containing solution is at a concentration from about 50 mM to about 1 M, preferably, from about 100 mM to about 500 mM, such as about 300 mM. The complexing agent within the nickel-containing solution is at a concentration from about 0.2 M to about 2 M, preferably, from about 0.5 M to about 1 M. The pH adjusting agent is at a concentration to provide the nickel-containing solution with a pH value within a range from about 8 to about 11, preferably, from about 8.5 to about 10, and more preferably, from about 9 to about 9.5, such as about 9.2. In one example, a nickel-containing solution contains water, about 100 mM of citric acid, about 275 mM of nickel sulfate, about 567 mM of 85% lactic acid and a concentration of TMAH to adjust the pH value to about 9.2.
  • A reducing solution usually contains water, at least one reductant, at least one complexing agent and a pH adjusting agent. The reductant within the reducing solution is at a concentration from about 10 mM to about 500 mM, preferably, from about 50 mM to about 300 mM, such as about 200 mM. The complexing agent within the reducing solution is at a concentration from about 10 mM to about 200 mM, preferably, from about 50 mM to about 150 mM, such as about 100 mM. The pH adjusting agent is at a concentration to provide the reducing solution with a pH value within a range from about 8 to about 11, preferably, from about 9 to about 10, and more preferably, from about 9.0 to about 9.4, such as about 9.2. In one example, a reducing solution contains water, about 100 mM of citric acid, about 204 mM of DMAB, and a concentration of TMAH to adjust the pH value to about 9.2.
  • The electroless solution is preferably formed by in-line mixing process that combines various volumetric ratios of the buffered complexing solution, the nickel-containing solution, the reducing solution and water. In one example, one volumetric equivalent of a buffered complexing solution, two volumetric equivalents of a nickel-containing solution, two volumetric equivalents of a reducing solution, and five volumetric equivalents of deionized water are in-line mixed to form an electroless solution. That is, the volumetric ratio of the buffered complexing solution, the nickel-containing solution, the reducing solution, and the deionized water is 1:2:2:5. In another example of an electroless solution, a volumetric ratio of the buffered complexing solution, the nickel-containing solution, the reducing solution, and the water is 1:1:1:3. In a preferred example, the volumetric percentages of the buffered complexing solution, the nickel-containing solution, the reducing solution and the deionized water is about 3.5%, about 15%, about 20%, and about 61.5%, therefore, the volumetric ratio is about 1.0 to about 4.3 to about 5.7 to about 17.6.
  • In one embodiment, an electroless solution contains: nickel sulfate with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; DMAB with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; citric acid with a concentration within a range from about 5 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 60 mM, such as about 45 mM; DEA with a concentration within a range from about 5 mM to about 100 mM, preferably, from about 10 mM to about 30 mM, such as about 16 mM; glycine with a concentration within a range from about 0.5 mM to about 100 mM, preferably, from about 1 mM to about 50 mM, and more preferably, from about 2 mM to about 10 mM, such as about 5 mM; boric acid with a concentration within a range from about 0.5 mM to about 50 mM, preferably, from about 1 mM to about 20 mM, and more preferably, from about 1 mM to about 5 mM, such as about 2 mM; lactic acid with a concentration within a range from about 10 mM to about 300 mM, preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 150 mM, such as about 85 mM; TMAH with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8.5 to about 10, and more preferably, from about 9.0 to about 9.6, such as about 9.2.
  • In one embodiment, citrate is a preferred complexing agent and is present in each componential solution, such as the buffered complexing solution, the nickel-containing solution and the reducing solution. Citrate may be added as citric acid and/or as a citrate salt. Citrate plays an important role for buffering each of the individual componential solutions while being combined to form the plating solution. Citrates generally have poor solubility in water at high concentrations, while the componential solutions may have relatively concentrated solutions. If a substantial citrate concentration of the final electroless solution is desired, a single componential solution may not be capable of completely containing all the dissolved citrate. Therefore, the citrate may be dissolved in each componential solution to assure no formation of citrate precipitate, and subsequently combined with water forming the electroless solution at a final concentration.
  • The electroless deposition process may be conducted at a temperature within a range from about 35° C. to about 120° C., preferably, from about 60° C. to about 100° C., and more preferably, from about 75° C. to about 80° C. The water may be degassed, preheated and/or deionized water. Degassing the water reduces the oxygen concentration of the subsequently formed electroless solution. An electroless solution with a low oxygen concentration (e.g., less than about 100 ppm) may be used during the deposition process. Preheated water allows forming the electroless solution at a predetermined temperature just below the temperature used to initiate the deposition process, thereby shortening the process time.
  • The processes described herein may be performed in an apparatus suitable for performing an electroless deposition process (EDP). A suitable apparatus includes the SLIMCELL™ processing platform that is available from Applied Materials, Inc., located in Santa Clara, Calif. The SLIMCELL™ platform, for example, is an integrated system capable of etching a native oxide within a wet-clean cell during a BOE process and depositing cobalt-containing materials, cobalt-tungsten materials, cobalt-nickel materials, nickel-containing materials within an EDP cell. The SLIMCELL™ platform generally includes a wet-clean cell or etch cell and one or more EDP cells as well as one or more pre-deposition or post-deposition cell, such as spin-rinse-dry (SRD) cells or annealing chambers. Process systems, platforms, chambers, and cells useful for wet clean processes and electroless deposition processes, as described herein, are further disclosed in commonly assigned U.S. Ser. No. 10/059,572, entitled “Electroless Deposition Apparatus,” filed Jan. 28, 2002, and published as US 2003-0141018, U.S. Ser. No. 10/965,220, entitled, “Apparatus for Electroless Deposition,” filed on Oct. 14, 2004, and published as US 2005-0081785, U.S. Ser. No. 10/996,342, entitled, “Apparatus for Electroless Deposition of Metals on Semiconductor Wafers,” filed on Nov. 22, 2004, and published as US 2005-0160990, U.S. Ser. No. 11/043,442, entitled, “Apparatus for Electroless Deposition of Metals on Semiconductor Wafers,” filed on Jan. 26, 2005, and published as US 2005-0263066, U.S. Ser. No. 11/175,251, entitled, “Apparatus for Electroless Deposition of Metals on Semiconductor Wafers,” filed on Jul. 6, 2005, and published as US 2005-0260345, U.S. Ser. No. 11/192,993, entitled, “Integrated Electroless Deposition System,” filed on Jul. 29, 2005, and published as US 2006-0033678, and U.S. Ser. No. 11/040,962, entitled, “Method and Apparatus for Selectively Changing Thin Film Composition During Electroless Deposition in a Single Chamber,” filed on Jan. 22, 2005, and published as US 2005-0181226, which are each incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • Cobalt and Nickel Electroless Deposition Solutions and Processes Cobalt
  • In some embodiments, a cobalt material or a nickel material may deposited as metal-containing layer 316 or metal contact material 320 on substrate 300 during steps 560, 660, or 760 of processes 500, 600, or 700. In one embodiment, an electroless solution for depositing metallic cobalt contains: cobalt ions (Co2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; hydrazine hydrate with a concentration within a range from about 100 mM to about 2 M, preferably, from about 200 mM to about 1 M, and more preferably, from about 300 mM to about 400 mM, such as about 500 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 70 mM, such as about 50 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 10 to about 14, preferably, from about 11.5 to about 13, and more preferably, from about 12.2 to about 12.8, such as about 12.5. In one example, a pH value is about 11.5 or higher, preferably, about 12.0 or higher, and more preferably, about 12.5 or higher. The electroless deposition process to deposit metallic cobalt may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 90° C., and more preferably, from about 70° C. to about 80° C., such as about 75° C.
  • Cobalt Boride
  • In one embodiment, an electroless solution for depositing cobalt boride contains: cobalt ions (Co2+) with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 20 mM to about 80 mM, such as about 35 mM; DMAB with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 40 mM, such as about 25 mM; chelating agent within a range from about 10 mM to about 500 mM, preferably, from about 50 mM to about 300 mM, and more preferably, from about 75 mM to about 250 mM, such as about 150 mM; ammonium fluoride within a range from about 1 mM to about 100 mM, preferably, from about 2 mM to about 50 mM, and more preferably, from about 5 mM to about 20 mM, such as about 11 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8.5 to about 10, and more preferably, from about 9.0 to about 9.6, such as about 9.2. The electroless deposition process to deposit cobalt boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 70° C. to about 90° C., and more preferably, from about 75° C. to about 85° C., such as about 80° C.
  • In another embodiment, an electroless solution for depositing cobalt boride contains: cobalt sulfate with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 20 mM to about 80 mM, such as about 35 mM; DMAB with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 40 mM, such as about 25 mM; DEA within a range from about 1 mM to about 300 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 60 mM, such as about 45 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 500 mM, preferably, from about 30 mM to about 300 mM, and more preferably, from about 50 mM to about 150 mM, such as about 67 mM; lactic acid or lactate salt with a lactate concentration within a range from about 1 mM to about 100 mM, preferably, from about 10 mM to about 50 mM, and more preferably, from about 15 mM to about 25 mM, such as about 19 mM; glycine within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; boric acid within a range from about 0.5 mM to about 50 mM, preferably, from about 1 mM to about 10 mM, and more preferably, from about 2 mM to about 7 mM, such as about 5 mM; saccharin within a range from about 0.02 mM to about 0.5 mM, preferably, from about 0.05 mM to about 0.2 mM, and more preferably, from about 0.07 mM to about 0.15 mM, such as about 0.1 mM; ammonium fluoride within a range from about 1 mM to about 100 mM, preferably, from about 2 mM to about 50 mM, and more preferably, from about 5 mM to about 20 mM, such as about 11 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8.5 to about 10, and more preferably, from about 9.0 to about 9.6, such as about 9.2. The electroless deposition process to deposit cobalt boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 70° C. to about 90° C., and more preferably, from about 75° C. to about 85° C., such as about 80° C.
  • Cobalt Tungsten Boride
  • In one embodiment, an electroless solution for depositing cobalt tungsten boride contains: cobalt ions (Co2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; tungstic acid or tungstate salt with a tungstate concentration within a range from about 0.1 mM to about 10 mM, preferably, from about 0.5 mM to about 5 mM, and more preferably, from about 1 mM to about 3 mM, such as about 2 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 500 mM, preferably, from about 30 mM to about 300 mM, and more preferably, from about 50 mM to about 150 mM, such as about 100 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8 to about 10, and more preferably, from about 8.5 to about 9.5, such as about 8.9. The electroless deposition process to deposit cobalt tungsten boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • Nickel Boride
  • In one embodiment, an electroless solution contains: nickel sulfate with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; DMAB with a concentration within a range from about 1 mM to about 150 mM, preferably, from about 5 mM to about 100 mM, and more preferably, from about 10 mM to about 80 mM, such as about 40 mM; citric acid with a concentration within a range from about 5 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 60 mM, such as about 45 mM; DEA with a concentration within a range from about 5 mM to about 100 mM, preferably, from about 10 mM to about 30 mM, such as about 16 mM; glycine with a concentration within a range from about 0.5 mM to about 100 mM, preferably, from about 1 mM to about 50 mM, and more preferably, from about 2 mM to about 10 mM, such as about 5 mM; boric acid with a concentration within a range from about 0.5 mM to about 50 mM, preferably, from about 1 mM to about 20 mM, and more preferably, from about 1 mM to about 5 mM, such as about 2 mM; lactic acid with a concentration within a range from about 10 mM to about 300 mM, preferably, from about 20 mM to about 200 mM, and more preferably, from about 50 mM to about 150 mM, such as about 85 mM; TMAH with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8.5 to about 10, and more preferably, from about 9.0 to about 9.6, such as about 9.2. The electroless deposition process to deposit nickel boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 65° C. to about 90° C., more preferably, from about 70° C. to about 85° C., and more preferably, from about 75° C. to about 80° C.
  • In another embodiment, an electroless solution for depositing nickel boride contains: nickel ions (Ni2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 300 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 40 mM to about 60 mM, such as about 50 mM; lactic acid or lactate salt with a lactate concentration within a range from about 5 mM to about 300 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 40 mM to about 60 mM, such as about 50 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8 to about 10, and more preferably, from about 8.5 to about 9.5, such as about 8.9. The electroless deposition process to deposit nickel boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • Nickel Tungsten Boride
  • In one embodiment, an electroless solution for depositing nickel tungsten boride contains: nickel ions (Ni2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; tungstic acid or tungstate salt with a tungstate concentration within a range from about 0.1 mM to about 10 mM, preferably, from about 0.5 mM to about 5 mM, and more preferably, from about 1 mM to about 3 mM, such as about 2 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 300 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 40 mM to about 60 mM, such as about 50 mM; lactic acid or lactate salt with a lactate concentration within a range from about 5 mM to about 300 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 40 mM to about 60 mM, such as about 50 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8 to about 10, and more preferably, from about 8.5 to about 9.5, such as about 8.9. The electroless deposition process to deposit nickel tungsten boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • Cobalt Nickel Boride
  • In one embodiment, an electroless solution for depositing cobalt nickel boride contains: cobalt ions (Co2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; nickel ions (Ni2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; DMAB with a concentration within a range from about 1 mM to about 200 mM, preferably, from about 10 mM to about 100 mM, and more preferably, from about 30 mM to about 50 mM, such as about 40 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 500 mM, preferably, from about 30 mM to about 300 mM, and more preferably, from about 50 mM to about 150 mM, such as about 100 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 8 to about 11, preferably, from about 8 to about 10, and more preferably, from about 8.5 to about 9.5, such as about 8.9. The electroless deposition process to deposit cobalt nickel boride may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 80° C., and more preferably, from about 65° C. to about 75° C., such as about 70° C.
  • Cobalt Nickel
  • In one embodiment, an electroless solution for depositing cobalt nickel contains: cobalt ions (Co2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; nickel ions (Ni2+) with a concentration within a range from about 1 mM to about 100 mM, preferably, from about 5 mM to about 50 mM, and more preferably, from about 10 mM to about 20 mM, such as about 15 mM; hydrazine hydrate with a concentration within a range from about 100 mM to about 2 M, preferably, from about 200 mM to about 1 M, and more preferably, from about 300 mM to about 400 mM, such as about 500 mM; citric acid or citrate salt with a citrate concentration within a range from about 5 mM to about 500 mM, preferably, from about 30 mM to about 300 mM, and more preferably, from about 50 mM to about 150 mM, such as about 100 mM; and an optional pH adjusting agent (e.g., TMAH) with a concentration to adjust the electroless solution to a have pH value within a range from about 10 to about 14, preferably, from about 11.5 to about 13, and more preferably, from about 12.2 to about 12.8, such as about 12.5. The electroless deposition process to deposit cobalt nickel may be conducted at a temperature within a range from about 35° C. to about 100° C., preferably, from about 60° C. to about 90° C., and more preferably, from about 70° C. to about 80° C., such as about 75° C.
  • Cobalt Activation Solution
  • In one embodiment, a cobalt activation solution may be used to activate silicon-containing surfaces, such as a silicon surface or a metal silicide surface. The cobalt activation solution may contain a cobalt concentration within a range from about 1 mM to about 100 mM, a fluoride concentration within a range from about 10 mM to about 400 mM, and a hypophosphite concentration within a range from about 5 mM to about 150 mM. Preferably, an activation solution may contain a cobalt concentration within a range from about 5 mM to about 50 mM, a fluoride concentration within a range from about 20 mM to about 200 mM, and a hypophosphite concentration within a range from about 10 mM to about 80 mM. More preferably, an activation solution may contain a cobalt concentration within a range from about 10 mM to about 30 mM, a fluoride concentration within a range from about 50 mM to about 120 mM, and a hypophosphite concentration within a range from about 20 mM to about 60 mM. In one example, an activation solution contains a cobalt concentration of about 22 mM, a fluoride concentration of about 83 mM, and a hypophosphite concentration of about 43 mM.
  • In one embodiment, citrate is a preferred complexing agent and may be present in cleaning solutions, reducing solutions (e.g., Ti3+/T4+ complexes), cobalt-containing solutions, nickel-containing solutions or cobalt-nickel-containing solutions. Citrate may be added as citric acid and/or as a citrate salt. Citrate plays an important role of buffering the aforementioned solutions.
  • A “substrate surface,” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed. For example, a substrate surface on which processing may be performed include materials such as monocrystalline, polycrystalline, or amorphous silicon, strained silicon, silicon on insulator (SOI), doped silicon, fluorine-doped silicate glass (FSG), silicon germanium, germanium, gallium arsenide, glass, sapphire, silicon oxide, silicon nitride, silicon oxynitride, or carbon doped silicon oxides, such as SiOxCy, for example, BLACK DIAMOND® low-k dielectric, available from Applied Materials, Inc., located in Santa Clara, Calif. Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes. Embodiments as described herein provide processes to deposit metal-containing layers on many types of substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, and patterned or non-patterned wafers. Substrates made of glass or plastic, which, for example, are commonly used to fabricate flat panel displays and other similar devices, may also be used during embodiments described herein.
  • While foregoing is directed to the preferred embodiment of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (57)

1. A method for depositing a material on a substrate, comprising:
positioning a substrate within a process chamber, wherein the substrate comprises an aperture containing an exposed silicide contact surface;
exposing the exposed silicide contact surface to a deposition solution to form a metal contact material over the exposed silicide contact surface during an electroless deposition process; and
filling the aperture with the metal contact material by continuing the electroless deposition process.
2. The method of claim 1, wherein the exposed silicide contact surface comprises at least one metal selected from the group consisting of cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, and combinations thereof.
3. The method of claim 2, wherein the metal contact material comprises a material selected from the group consisting of nickel, nickel phosphide, nickel boride, cobalt, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, cobalt nickel, cobalt phosphide, cobalt boride, cobalt nickel phosphide, cobalt nickel boride, derivatives thereof, alloys thereof, and combinations thereof.
4. The method of claim 1, wherein a capping layer is deposited on the exposed silicide contact surface prior to filling the aperture with the metal contact material.
5. The method of claim 4, wherein the capping layer comprises a material selected from the group consisting of cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, derivatives thereof, alloys thereof, and combinations thereof.
6. The method of claim 5, wherein the capping layer is deposited during an electroless deposition process.
7. The method of claim 1, wherein the exposed silicide contact surface is exposed to a preclean process prior to depositing the metal contact material thereon.
8. The method of claim 7, wherein the substrate is exposed to a plasma to remove native oxides or contaminants from the exposed suicide contact surface during the preclean process.
9. The method of claim 8, wherein a thin film is formed on the substrate by the plasma and the thin film is removed by a vacuum sublimation process.
10. The method of claim 8, further comprising exposing the substrate to the plasma and a process gas comprising a gas mixture of ammonia and nitrogen trifluoride.
11. The method of claim 10, wherein the gas mixture has a molar ratio of the ammonia to the nitrogen trifluoride within a range from about 1:1 to about 30:1.
12. The method of claim 7, wherein the preclean process is a wet clean process.
13. The method of claim 12, wherein the wet clean process comprises exposing the substrate to a wet clean solution comprising hydrogen fluoride and a basic compound selected from the group consisting of ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, and combinations thereof.
14. The method of claim 13, wherein the wet clean solution comprises an EA-HF complex, a DEA-HF complex, a TEA-HF complex, a DEA-EA-HF complex, a DEA-TEA-HF complex, a TEA-EA-HF complex, derivatives thereof, salts thereof, and combinations thereof.
15. The method of claim 12, wherein the wet clean process comprises exposing the substrate to a wet clean solution comprising hydrogen peroxide and a basic compound selected from the group consisting of ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, and combinations thereof.
16. The method of claim 12, wherein the wet clean process comprises exposing the substrate to a wet clean solution comprising hydrogen peroxide and hydrogen chloride.
17. A method for depositing a material on a substrate, comprising:
positioning a substrate within a process chamber, wherein the substrate comprises an aperture containing an exposed silicon contact surface;
forming a metal silicide layer on the exposed silicon contact surface; and
exposing the substrate to an electroless deposition process to fill the aperture with a metal contact material.
18. The method of claim 17, wherein the metal silicide layer comprises at least one metal selected from the group consisting of cobalt, nickel, tungsten, molybdenum, rhenium, titanium, tantalum, hafnium, zirconium, alloys thereof, and combinations thereof.
19. The method of claim 17, wherein the metal contact material comprises a material selected from the group consisting of nickel, nickel phosphide, nickel boride, cobalt, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, cobalt nickel, cobalt phosphide, cobalt boride, cobalt nickel phosphide, cobalt nickel boride, derivatives thereof, alloys thereof, and combinations thereof.
20. The method of claim 19, wherein the electroless deposition process comprises sequentially exposing the substrate to a first electroless solution containing a cobalt source and to a second electroless solution containing a nickel source.
21. The method of claim 20, wherein the substrate is rinsed after each exposure of the first electroless solution and the second electroless solution.
22. The method of claim 21, wherein a process cycle of the sequential exposures of the first and second electroless solutions is repeated to form the metal contact material as a cobalt-nickel stack material having a predetermined thickness.
23. The method of claim 17, wherein a capping layer is deposited on the metal silicide layer prior to filling the aperture with the metal contact material.
24. The method of claim 23, wherein the capping layer comprises a material selected from the group consisting of cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, derivatives thereof, alloys thereof, and combinations thereof.
25. The method of claim 24, wherein the capping layer is deposited during an electroless deposition process.
26. The method of claim 17, wherein a self assembled monolayer is deposited within the aperture prior to forming the metal contact material.
27. The method of claim 17, wherein the metal silicide layer is formed from the exposed silicon contact surface by exposing the substrate to a metal-containing activation solution.
28. The method of claim 27, wherein the metal-containing activation solution comprises a cobalt source, a fluoride source, and a hypophosphite source.
29. The method of claim 17, wherein the metal silicide layer is exposed to a preclean process prior to depositing the metal contact material thereon.
30. The method of claim 29, wherein the substrate is exposed to a plasma to remove native oxides or contaminants from the metal silicide layer during the preclean process.
31. The method of claim 30, wherein a thin film is formed on the substrate by the plasma and the thin film is removed by a vacuum sublimation process.
32. The method of claim 30, further comprising exposing the substrate to the plasma and a process gas comprising a gas mixture of ammonia and nitrogen trifluoride.
33. The method of claim 29, wherein the preclean process is a wet clean process that provides exposing the substrate to a wet clean solution comprising hydrogen fluoride and a basic compound selected from the group consisting of ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, and combinations thereof.
34. The method of claim 33, wherein the wet clean solution comprises an EA-HF complex, a DEA-HF complex, a TEA-HF complex, a DEA-EA-HF complex, a DEA-TEA-HF complex, a TEA-EA-HF complex, derivatives thereof, salts thereof, and combinations thereof.
35. The method of claim 29, wherein the preclean process is a wet clean process that provides exposing the substrate to a wet clean solution comprising hydrogen peroxide and a basic compound selected from the group consisting of ammonium hydroxide, tetramethylammonium hydroxide, ethanolamine, diethanolamine, triethanolamine, derivatives thereof, salts thereof, and combinations thereof.
36. A method for depositing a material on a substrate, comprising:
positioning a substrate within a process chamber, wherein the substrate comprises an aperture containing an exposed silicide contact surface; and
filling the aperture with a cobalt-nickel stack material during an electroless deposition process comprising sequentially exposing the substrate to a first electroless solution containing a cobalt source and to a second electroless solution containing a nickel source.
37. The method of claim 36, wherein the cobalt-nickel stack material comprises a first layer comprising a material selected from the group consisting of cobalt, cobalt phosphide, cobalt boride, cobalt tungsten, cobalt tungsten phosphide, cobalt tungsten boride, cobalt tungsten phosphide boride, derivatives thereof, alloys thereof, and combinations thereof, and a second layer comprising a material selected from the group consisting of nickel, nickel phosphide, nickel boride, derivatives thereof, alloys thereof, and combinations thereof.
38. A composition of a cobalt deposition solution, comprising:
a cobalt source at a concentration within a range from about 1 mM to about 150 mM;
a reducing agent source at a concentration within a range from about 1 mM to about 100 mM; and
a chelating agent source at a concentration within a range from about 10 mM to about 500 mM.
39. The composition of claim 38, further comprising:
the cobalt source at a concentration within a range from about 10 mM to about 100 mM;
the reducing agent source at a concentration within a range from about 5 mM to about 50 mM; and
the chelating agent source at a concentration within a range from about 50 mM to about 300 mM.
40. The composition of claim 39, further comprising:
the cobalt source at a concentration within a range from about 20 mM to about 80 mM;
the reducing agent source at a concentration within a range from about 10 mM to about 40 mM; and
the chelating agent source at a concentration within a range from about 75 mM to about 250 mM.
41. The composition of claim 40, further comprising the cobalt source at a concentration of about 35 mM, the reducing agent source at a concentration of about 25 mM, and the chelating agent source at a concentration of about 150 mM.
42. The composition of claim 38, wherein the chelating agent source is selected from the group consisting of citric acid, lactic acid, glycine, ethanolamine, diethanolamine, triethanolamine, salts thereof, derivatives thereof, and combinations thereof.
43. The composition of claim 42, wherein the reducing agent source comprises dimethylamine-borane complex.
44. The composition of claim 43, further comprising boric acid.
45. The composition of claim 38, further comprising saccharin.
46. The composition of claim 38, further comprising ammonium fluoride or tetramethylammonium fluoride.
47. The composition of claim 38, further comprising a pH value within a range from about 8 to about 10.
48. The composition of claim 47, wherein the pH value is about 9.2.
49. A composition of a nickel deposition solution, comprising:
a nickel source at a concentration within a range from about 1 mM to about 150 mM;
a reducing agent source at a concentration within a range from about 1 mM to about 150 mM; and
a chelating agent source at a concentration within a range from about 10 mM to about 500 mM.
50. The composition of claim 48, further comprising:
the nickel source at a concentration within a range from about 5 mM to about 100 mM;
the reducing agent source at a concentration within a range from about 5 mM to about 100 mM; and
the chelating agent source at a concentration within a range from about 50 mM to about 300 mM.
51. The composition of claim 50, further comprising:
the nickel source at a concentration within a range from about 10 mM to about 80 mM;
the reducing agent source at a concentration within a range from about 10 mM to about 80 mM; and
the chelating agent source at a concentration within a range from about 75 mM to about 200 mM.
52. The composition of claim 51, further comprising the nickel source at a concentration of about 40 mM, the reducing agent source at a concentration of about 40 mM, and the chelating agent source at a concentration of about 150 mM.
53. The composition of claim 49, wherein the chelating agent source is selected from the group consisting of citric acid, lactic acid, glycine, ethanolamine, diethanolamine, triethanolamine, salts thereof, derivatives thereof, and combinations thereof.
54. The composition of claim 53, wherein the reducing agent source comprises dimethylamine-borane complex.
55. The composition of claim 54, further comprising boric acid.
56. The composition of claim 49, further comprising a pH value within a range from about 8 to about 10.
57. The composition of claim 56, wherein the pH value is about 9.2.
US11/385,047 2005-03-18 2006-03-20 Electroless deposition process on a silicide contact Abandoned US20060246217A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/385,047 US20060246217A1 (en) 2005-03-18 2006-03-20 Electroless deposition process on a silicide contact

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US66349305P 2005-03-18 2005-03-18
US68359905P 2005-05-23 2005-05-23
US70363305P 2005-07-29 2005-07-29
US70353805P 2005-07-29 2005-07-29
US70956405P 2005-08-19 2005-08-19
US73162405P 2005-10-28 2005-10-28
US75423005P 2005-12-27 2005-12-27
US11/385,047 US20060246217A1 (en) 2005-03-18 2006-03-20 Electroless deposition process on a silicide contact

Publications (1)

Publication Number Publication Date
US20060246217A1 true US20060246217A1 (en) 2006-11-02

Family

ID=37024527

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/385,047 Abandoned US20060246217A1 (en) 2005-03-18 2006-03-20 Electroless deposition process on a silicide contact
US11/385,043 Active US7659203B2 (en) 2005-03-18 2006-03-20 Electroless deposition process on a silicon contact
US12/689,176 Active 2027-07-28 US8308858B2 (en) 2005-03-18 2010-01-18 Electroless deposition process on a silicon contact

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/385,043 Active US7659203B2 (en) 2005-03-18 2006-03-20 Electroless deposition process on a silicon contact
US12/689,176 Active 2027-07-28 US8308858B2 (en) 2005-03-18 2010-01-18 Electroless deposition process on a silicon contact

Country Status (3)

Country Link
US (3) US20060246217A1 (en)
TW (1) TW200734482A (en)
WO (1) WO2006102318A2 (en)

Cited By (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070049015A1 (en) * 2005-09-01 2007-03-01 Hasan Nejad Silicided recessed silicon
US20080069958A1 (en) * 2006-09-15 2008-03-20 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with clean surfaces
US20080142971A1 (en) * 2006-12-14 2008-06-19 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US20100107927A1 (en) * 2005-03-18 2010-05-06 Stewart Michael P Electroless deposition process on a silicon contact
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7939409B2 (en) 2005-09-01 2011-05-10 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
CN102172536A (en) * 2011-03-16 2011-09-07 天津工业大学 Method for preparing loaded phosphide catalyst by taking red phosphorus as phosphorus source
CN101327439B (en) * 2008-08-01 2012-01-04 南开大学 Hypophosphite precursor heat decomposition method for preparing Ni2P catalyst
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
CN102800621A (en) * 2011-05-25 2012-11-28 中芯国际集成电路制造(上海)有限公司 Method for forming embolism structure and semiconductor device
US20130224511A1 (en) * 2012-02-24 2013-08-29 Artur Kolics Methods and materials for anchoring gapfill metals
US8551575B1 (en) * 2008-09-08 2013-10-08 Lam Research Methods and solutions for preventing the formation of metal particulate defect matter upon a substrate after a plating process
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140248432A1 (en) * 2013-03-01 2014-09-04 Integrated Protective Coatings, Inc. Apparatus and process for nickel plating and sealing
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
US20140322860A1 (en) * 2011-11-15 2014-10-30 Newsouth Innovations Pty Limited Metal contact scheme for solar cells
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
KR20160132775A (en) * 2015-05-11 2016-11-21 도쿄엘렉트론가부시키가이샤 Plating method and recording medium
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9653306B2 (en) 2009-12-25 2017-05-16 Japan Science And Technology Agency Method for forming crystalline cobalt silicide film
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9765429B2 (en) 2013-09-04 2017-09-19 President And Fellows Of Harvard College Growing films via sequential liquid/vapor phases
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20170317022A1 (en) * 2015-06-05 2017-11-02 Tokyo Electron Limited Ruthenium metal feature fill for interconnects
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20180082836A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10177030B2 (en) 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
KR20190132473A (en) * 2017-03-31 2019-11-27 도쿄엘렉트론가부시키가이샤 Plating processing method, plating processing system and storage medium
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
CN111863712A (en) * 2019-04-24 2020-10-30 台湾积体电路制造股份有限公司 Semiconductor structure and method of forming a semiconductor structure
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI719316B (en) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 Seamless tungsten fill by tungsten oxidation-reduction
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US20210098283A1 (en) * 2018-09-13 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US20210249582A1 (en) * 2020-02-07 2021-08-12 International Business Machines Corporation Self assembled monolayer formed on a quantum device
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
CN100561710C (en) * 2006-12-05 2009-11-18 中芯国际集成电路制造(上海)有限公司 Make the system and method for contact
JP4838703B2 (en) * 2006-12-26 2011-12-14 富士電機株式会社 Method for manufacturing disk substrate for magnetic recording medium, disk substrate for magnetic recording medium, method for manufacturing magnetic recording medium, magnetic recording medium, and magnetic recording apparatus
TWI537505B (en) 2007-03-01 2016-06-11 應用材料股份有限公司 Floating slit valve for transfer chamber interface
JP4411331B2 (en) * 2007-03-19 2010-02-10 信越化学工業株式会社 Silicon substrate for magnetic recording medium and manufacturing method thereof
RU2008111820A (en) * 2007-03-29 2009-10-10 Ибара Корпорейшн (JP) ELECTROLYTE FOR DEPOSITING OF A GALVANIC COATING BY THE CHEMICAL RESTORATION METHOD AND METHOD FOR PRODUCING A HIGH-TEMPERATURE DEVICE ELEMENT USING SUCH ELECTROLYTE
US20080254205A1 (en) * 2007-04-13 2008-10-16 Enthone Inc. Self-initiated alkaline metal ion free electroless deposition composition for thin co-based and ni-based alloys
US20090004851A1 (en) * 2007-06-29 2009-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Salicidation process using electroless plating to deposit metal and introduce dopant impurities
US7932556B2 (en) * 2007-12-14 2011-04-26 Fairchild Semiconductor Corporation Structure and method for forming power devices with high aspect ratio contact openings
US20090289370A1 (en) * 2008-05-21 2009-11-26 Advanced Micro Devices, Inc. Low contact resistance semiconductor devices and methods for fabricating the same
US8642477B2 (en) 2008-05-30 2014-02-04 United Microelectronics Corp. Method for clearing native oxide
US9691622B2 (en) * 2008-09-07 2017-06-27 Lam Research Corporation Pre-fill wafer cleaning formulation
US7998859B2 (en) * 2008-09-25 2011-08-16 Enthone Inc. Surface preparation process for damascene copper deposition
JP5715748B2 (en) * 2008-10-31 2015-05-13 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Conditioner for electroless plating
US8388824B2 (en) * 2008-11-26 2013-03-05 Enthone Inc. Method and composition for electrodeposition of copper in microelectronics with dipyridyl-based levelers
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
WO2010098899A1 (en) 2009-02-25 2010-09-02 Mallinckrodt Baker, Inc. Multipurpose acidic, organic solvent based microelectronic cleaning composition
US20110192316A1 (en) * 2010-02-05 2011-08-11 E-Chem Enterprise Corp. Electroless plating solution for providing solar cell electrode
TWI429789B (en) * 2010-03-11 2014-03-11 Omron Tateisi Electronics Co Composition for making contact, contact made therewith, connector, and method for producing the composition for making contact
TWI572750B (en) 2010-05-24 2017-03-01 安頌股份有限公司 Copper filling of through silicon vias
US8858818B2 (en) * 2010-09-30 2014-10-14 Suvolta, Inc. Method for minimizing defects in a semiconductor substrate due to ion implantation
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
EP2551375A1 (en) * 2011-07-26 2013-01-30 Atotech Deutschland GmbH Electroless nickel plating bath composition
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5077479B1 (en) 2011-12-15 2012-11-21 オムロン株式会社 Contacts and electronic parts using the same
EP2626891A3 (en) * 2012-02-07 2018-01-24 Rohm and Haas Electronic Materials LLC Activation process to improve metal adhesion
US8778786B1 (en) 2012-05-29 2014-07-15 Suvolta, Inc. Method for substrate preservation during transistor fabrication
US8936672B1 (en) * 2012-06-22 2015-01-20 Accu-Labs, Inc. Polishing and electroless nickel compositions, kits, and methods
CN103730409B (en) * 2012-10-16 2016-12-28 中芯国际集成电路制造(上海)有限公司 The manufacture method of semiconductor device, cleaning method and purging system
US8846529B2 (en) 2013-01-10 2014-09-30 International Business Machines Corporation Electroless plating of cobalt alloys for on chip inductors
US8956975B2 (en) 2013-02-28 2015-02-17 International Business Machines Corporation Electroless plated material formed directly on metal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
KR102245104B1 (en) 2013-06-17 2021-04-26 어플라이드 머티어리얼스, 인코포레이티드 Method for copper plating through silicon vias using wet wafer back contact
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015047345A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
FR3013502A1 (en) 2013-11-20 2015-05-22 Commissariat Energie Atomique METHOD FOR PROTECTING A SILICIDE LAYER
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9428836B2 (en) * 2014-04-29 2016-08-30 Lam Research Corporation Electroless deposition of continuous cobalt layer using complexed Ti3+ metal ions as reducing agents
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US11195798B2 (en) 2014-07-25 2021-12-07 Intel Corporation Tungsten alloys in semiconductor devices
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9758896B2 (en) 2015-02-12 2017-09-12 Applied Materials, Inc. Forming cobalt interconnections on a substrate
KR102467848B1 (en) 2015-10-12 2022-11-16 삼성전자주식회사 Integrated circuit device and method of manufacturing the same
CN108474129B (en) 2016-01-21 2020-12-25 应用材料公司 Process and chemistry for electroplating through-silicon vias
KR102271771B1 (en) * 2017-05-25 2021-07-01 삼성전자주식회사 Method of forming thin film and method of manufacturing integrated circuit device
JP6474860B2 (en) * 2017-06-28 2019-02-27 小島化学薬品株式会社 Electroless nickel strike plating solution and method for forming nickel plating film
CN112262457A (en) 2018-05-03 2021-01-22 朗姆研究公司 Methods of depositing tungsten and other metals in 3D NAND structures
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
WO2021046058A1 (en) * 2019-09-03 2021-03-11 Lam Research Corporation Molybdenum deposition
US10978549B2 (en) 2019-09-05 2021-04-13 Nanya Technology Corporation Semiconductor device and method for fabricating the same

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4072781A (en) * 1974-11-01 1978-02-07 Fuji Photo Film Co., Ltd. Magnetic recording medium
US4239810A (en) * 1977-12-08 1980-12-16 International Business Machines Corporation Method of making silicon photovoltaic cells
US4297393A (en) * 1980-02-28 1981-10-27 Rca Corporation Method of applying thin metal deposits to a substrate
US4717591A (en) * 1983-06-30 1988-01-05 International Business Machines Corporation Prevention of mechanical and electronic failures in heat-treated structures
US4808259A (en) * 1988-01-25 1989-02-28 Intel Corporation Plasma etching process for MOS circuit pregate etching utiliizing a multi-step power reduction recipe
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6369429B1 (en) * 1998-11-06 2002-04-09 Advanced Micro Devices, Inc. Low resistance composite contact structure utilizing a reaction barrier layer under a metal layer
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6435398B2 (en) * 2000-06-01 2002-08-20 Texas Instruments Incorporated Method for chemically reworking metal layers on integrated circuit bond pads
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US20030162316A1 (en) * 2002-02-26 2003-08-28 Zangmeister Christopher D. Selective electroless attachment of contacts to electrochemically-active molecules
US20030189026A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US20040009883A1 (en) * 2002-06-25 2004-01-15 Kazuto Ikemoto Resist stripping composition
US20040176620A1 (en) * 1999-04-08 2004-09-09 Alex Kuperman Method of preparing a catalyst containing gold and titanium
US20040265501A1 (en) * 2003-06-26 2004-12-30 Intel Corporation Preparation of electroless deposition solutions
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US6881671B2 (en) * 2000-08-14 2005-04-19 Ipu, Instituttet For Produktudvikling Process for depositing metal contacts on a buried grid solar cell and solar cell obtained by the process
US20050189013A1 (en) * 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells
US7071018B2 (en) * 2001-06-19 2006-07-04 Bp Solar Limited Process for manufacturing a solar cell
US20060251800A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W Contact metallization scheme using a barrier layer over a silicide layer
US20060264043A1 (en) * 2005-03-18 2006-11-23 Stewart Michael P Electroless deposition process on a silicon contact
US20080110491A1 (en) * 2006-03-18 2008-05-15 Solyndra, Inc., Monolithic integration of non-planar solar cells
US20080121276A1 (en) * 2006-11-29 2008-05-29 Applied Materials, Inc. Selective electroless deposition for solar cells

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3403035A (en) 1964-06-24 1968-09-24 Process Res Company Process for stabilizing autocatalytic metal plating solutions
US3745039A (en) 1971-10-28 1973-07-10 Rca Corp Electroless cobalt plating bath and process
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4150177A (en) 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4366035A (en) 1979-04-24 1982-12-28 Engelhard Corporation Electrodeposition of gold alloys
IT1130955B (en) 1980-03-11 1986-06-18 Oronzio De Nora Impianti PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4424241A (en) 1982-09-27 1984-01-03 Bell Telephone Laboratories, Incorporated Electroless palladium process
JPS59215473A (en) 1983-05-18 1984-12-05 Nec Corp Solution for holding activity of copper film formed by electroless plating
GB8511905D0 (en) 1985-05-10 1985-06-19 Akzo Nv Metallizing polymeric materials
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5169680A (en) * 1987-05-07 1992-12-08 Intel Corporation Electroless deposition for IC fabrication
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
CA1338346C (en) 1989-08-23 1996-05-28 Chanakya Misra Method for reducing the amount of anionic metal-ligand complex in a solution
US4867882A (en) 1987-11-09 1989-09-19 Aluminum Company Of America Method for reducing the amount of anionic metal ligand complex in a solution
DE3839602A1 (en) 1988-11-24 1990-05-31 Henkel Kgaa PASTOESES, PHOSPHATE-FREE DETERGENT WITH REDUCED FOAM PRIORITY
US5102456A (en) 1989-04-28 1992-04-07 International Business Machines Corporation Tetra aza ligand systems as complexing agents for electroless deposition of copper
JPH0781199B2 (en) 1989-11-30 1995-08-30 大同メタル工業株式会社 Method and apparatus for surface treatment of intermediate product of half type slide bearing
US5200048A (en) 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5965211A (en) 1989-12-29 1999-10-12 Nippondenso Co., Ltd. Electroless copper plating solution and process for formation of copper film
US5169690A (en) 1990-03-26 1992-12-08 Gs Roofing Products Company, Inc. Fire resistant roofing system
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5212138A (en) 1991-09-23 1993-05-18 Applied Electroless Concepts Inc. Low corrosivity catalyst for activation of copper for electroless nickel plating
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
JPH07193214A (en) 1993-12-27 1995-07-28 Mitsubishi Electric Corp Via-hole and its formation
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
TW386235B (en) 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
JPH11510219A (en) 1995-12-19 1999-09-07 エフエスアイ インターナショナル インコーポレイテッド Electroless plating of metal films using a spray processor.
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5614003A (en) 1996-02-26 1997-03-25 Mallory, Jr.; Glenn O. Method for producing electroless polyalloys
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
DE19645110A1 (en) * 1996-11-01 1998-05-07 Thomson Brandt Gmbh Recording or reproducing device and method for detecting a focus state
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (en) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Device for filtering and separating flow media
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6277728B1 (en) 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6132608A (en) * 1997-10-06 2000-10-17 Cuno Incorporated Optimized wax for sealing the edge of a filter sheet
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
TW357413B (en) 1997-12-05 1999-05-01 United Microelectronics Corp Manufacturing process of transistors
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
KR100654413B1 (en) 1998-04-30 2006-12-05 가부시키가이샤 에바라 세이사꾸쇼 Method for plating substrate
ATE316426T1 (en) 1998-06-30 2006-02-15 Semitool Inc METALLIZATION STRUCTURES FOR MICROELECTRONIC APPLICATIONS AND METHOD FOR PRODUCING THESE STRUCTURES
JP2000084503A (en) 1998-07-13 2000-03-28 Kokusai Electric Co Ltd Fluid treatment of material to be treated and device therefor
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
JP3528665B2 (en) 1998-10-20 2004-05-17 セイコーエプソン株式会社 Method for manufacturing semiconductor device
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US20040065540A1 (en) 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US6309969B1 (en) 1998-11-03 2001-10-30 The John Hopkins University Copper metallization structure and method of construction
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US5998873A (en) 1998-12-16 1999-12-07 National Semiconductor Corporation Low contact resistance and low junction leakage metal interconnect contact structure
US6258707B1 (en) 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
US6544399B1 (en) 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
AU1604501A (en) 1999-11-15 2001-05-30 Lucent Technologies Inc. System and method for removal of material
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6350364B1 (en) 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6620719B1 (en) 2000-03-31 2003-09-16 International Business Machines Corporation Method of forming ohmic contacts using a self doping layer for thin-film transistors
US6344125B1 (en) 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US20050006245A1 (en) 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US6291082B1 (en) 2000-06-13 2001-09-18 Advanced Micro Devices, Inc. Method of electroless ag layer formation for cu interconnects
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US6709563B2 (en) 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6518198B1 (en) 2000-08-31 2003-02-11 Micron Technology, Inc. Electroless deposition of doped noble metals and noble metal alloys
US6503834B1 (en) 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6852618B2 (en) 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
US20020182385A1 (en) 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
DE10296935T5 (en) 2001-06-14 2004-04-22 Mattson Technology Inc., Fremont Barrier reinforcement process for copper vias (or interconnects)
EP1418619A4 (en) 2001-08-13 2010-09-08 Ebara Corp Semiconductor device and production method therefor, and plating solution
KR100434946B1 (en) 2001-09-28 2004-06-10 학교법인 성균관대학 Method for forming Cu interconnection of semiconductor device using electroless plating
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US6645567B2 (en) 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6824612B2 (en) 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
KR100805843B1 (en) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 Method of forming copper interconnection, semiconductor device fabricated by the same and system for forming copper interconnection
WO2003060959A2 (en) 2002-01-10 2003-07-24 Semitool, Inc. Method for applying metal features onto barrier layers using electrochemical deposition
US6824666B2 (en) 2002-01-28 2004-11-30 Applied Materials, Inc. Electroless deposition method over sub-micron apertures
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US20030155247A1 (en) 2002-02-19 2003-08-21 Shipley Company, L.L.C. Process for electroplating silicon wafers
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US7214594B2 (en) 2002-03-26 2007-05-08 Intel Corporation Method of making semiconductor device using a novel interconnect cladding layer
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6787450B2 (en) 2002-05-29 2004-09-07 Micron Technology, Inc. High aspect ratio fill method and resulting structure
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6821909B2 (en) 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040096592A1 (en) 2002-11-19 2004-05-20 Chebiam Ramanan V. Electroless cobalt plating solution and plating techniques
US7825516B2 (en) 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6797312B2 (en) 2003-01-21 2004-09-28 Mattson Technology, Inc. Electroless plating solution and process
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
US6794288B1 (en) 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US7229922B2 (en) 2003-10-27 2007-06-12 Intel Corporation Method for making a semiconductor device having increased conductive material reliability
US7074719B2 (en) 2003-11-28 2006-07-11 International Business Machines Corporation ALD deposition of ruthenium
US20050212058A1 (en) 2004-03-23 2005-09-29 Yi-Chun Huang Resistance-reduced semiconductor device and fabrication thereof
US7256498B2 (en) 2004-03-23 2007-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Resistance-reduced semiconductor device and methods for fabricating the same
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
JP2005314713A (en) 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing ruthenium film or ruthenium oxide film

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4072781A (en) * 1974-11-01 1978-02-07 Fuji Photo Film Co., Ltd. Magnetic recording medium
US4239810A (en) * 1977-12-08 1980-12-16 International Business Machines Corporation Method of making silicon photovoltaic cells
US4297393A (en) * 1980-02-28 1981-10-27 Rca Corporation Method of applying thin metal deposits to a substrate
US4717591A (en) * 1983-06-30 1988-01-05 International Business Machines Corporation Prevention of mechanical and electronic failures in heat-treated structures
US4808259A (en) * 1988-01-25 1989-02-28 Intel Corporation Plasma etching process for MOS circuit pregate etching utiliizing a multi-step power reduction recipe
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6369429B1 (en) * 1998-11-06 2002-04-09 Advanced Micro Devices, Inc. Low resistance composite contact structure utilizing a reaction barrier layer under a metal layer
US20040176620A1 (en) * 1999-04-08 2004-09-09 Alex Kuperman Method of preparing a catalyst containing gold and titanium
US6435398B2 (en) * 2000-06-01 2002-08-20 Texas Instruments Incorporated Method for chemically reworking metal layers on integrated circuit bond pads
US6881671B2 (en) * 2000-08-14 2005-04-19 Ipu, Instituttet For Produktudvikling Process for depositing metal contacts on a buried grid solar cell and solar cell obtained by the process
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US7071018B2 (en) * 2001-06-19 2006-07-04 Bp Solar Limited Process for manufacturing a solar cell
US20030162316A1 (en) * 2002-02-26 2003-08-28 Zangmeister Christopher D. Selective electroless attachment of contacts to electrochemically-active molecules
US20030189026A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US20040009883A1 (en) * 2002-06-25 2004-01-15 Kazuto Ikemoto Resist stripping composition
US20040265501A1 (en) * 2003-06-26 2004-12-30 Intel Corporation Preparation of electroless deposition solutions
US20050189013A1 (en) * 2003-12-23 2005-09-01 Oliver Hartley Process for manufacturing photovoltaic cells
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20060251800A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W Contact metallization scheme using a barrier layer over a silicide layer
US20060264043A1 (en) * 2005-03-18 2006-11-23 Stewart Michael P Electroless deposition process on a silicon contact
US20080110491A1 (en) * 2006-03-18 2008-05-15 Solyndra, Inc., Monolithic integration of non-planar solar cells
US20080121276A1 (en) * 2006-11-29 2008-05-29 Applied Materials, Inc. Selective electroless deposition for solar cells

Cited By (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
US20100107927A1 (en) * 2005-03-18 2010-05-06 Stewart Michael P Electroless deposition process on a silicon contact
US8308858B2 (en) * 2005-03-18 2012-11-13 Applied Materials, Inc. Electroless deposition process on a silicon contact
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US8115243B2 (en) 2005-07-06 2012-02-14 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US8222105B2 (en) 2005-08-31 2012-07-17 Micron Technology, Inc. Methods of fabricating a memory device
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US8481385B2 (en) 2005-08-31 2013-07-09 Micron Technology, Inc. Methods of fabricating a memory device
US8546215B2 (en) 2005-08-31 2013-10-01 Micron Technology, Inc. Methods of fabricating a memory device
US8252646B2 (en) 2005-09-01 2012-08-28 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7977236B2 (en) 2005-09-01 2011-07-12 Micron Technology, Inc. Method of forming a transistor gate of a recessed access device, method of forming a recessed transistor gate and a non-recessed transistor gate, and method of fabricating an integrated circuit
US20070049015A1 (en) * 2005-09-01 2007-03-01 Hasan Nejad Silicided recessed silicon
US9076888B2 (en) 2005-09-01 2015-07-07 Micron Technology, Inc. Silicided recessed silicon
US7935999B2 (en) 2005-09-01 2011-05-03 Micron Technology, Inc. Memory device
US7939409B2 (en) 2005-09-01 2011-05-10 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US20080069958A1 (en) * 2006-09-15 2008-03-20 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system with clean surfaces
US7968148B2 (en) 2006-09-15 2011-06-28 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with clean surfaces
US20080142971A1 (en) * 2006-12-14 2008-06-19 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US8026605B2 (en) 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
CN101327439B (en) * 2008-08-01 2012-01-04 南开大学 Hypophosphite precursor heat decomposition method for preparing Ni2P catalyst
US8551575B1 (en) * 2008-09-08 2013-10-08 Lam Research Methods and solutions for preventing the formation of metal particulate defect matter upon a substrate after a plating process
US9653306B2 (en) 2009-12-25 2017-05-16 Japan Science And Technology Agency Method for forming crystalline cobalt silicide film
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
CN102172536A (en) * 2011-03-16 2011-09-07 天津工业大学 Method for preparing loaded phosphide catalyst by taking red phosphorus as phosphorus source
CN102800621A (en) * 2011-05-25 2012-11-28 中芯国际集成电路制造(上海)有限公司 Method for forming embolism structure and semiconductor device
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9269851B2 (en) * 2011-11-15 2016-02-23 Newsouth Innovations Pty Limited Metal contact scheme for solar cells
US20140322860A1 (en) * 2011-11-15 2014-10-30 Newsouth Innovations Pty Limited Metal contact scheme for solar cells
US8895441B2 (en) * 2012-02-24 2014-11-25 Lam Research Corporation Methods and materials for anchoring gapfill metals
US9382627B2 (en) 2012-02-24 2016-07-05 Lam Research Corporation Methods and materials for anchoring gapfill metals
US20130224511A1 (en) * 2012-02-24 2013-08-29 Artur Kolics Methods and materials for anchoring gapfill metals
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140248432A1 (en) * 2013-03-01 2014-09-04 Integrated Protective Coatings, Inc. Apparatus and process for nickel plating and sealing
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9765429B2 (en) 2013-09-04 2017-09-19 President And Fellows Of Harvard College Growing films via sequential liquid/vapor phases
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP2016211044A (en) * 2015-05-11 2016-12-15 東京エレクトロン株式会社 Plating treatment method, and memory medium
KR20160132775A (en) * 2015-05-11 2016-11-21 도쿄엘렉트론가부시키가이샤 Plating method and recording medium
KR102581894B1 (en) * 2015-05-11 2023-09-22 도쿄엘렉트론가부시키가이샤 Plating method and recording medium
TWI663287B (en) * 2015-05-11 2019-06-21 日商東京威力科創股份有限公司 Plating treatment method and memory medium
US10224208B2 (en) * 2015-05-11 2019-03-05 Tokyo Electron Limited Plating method and recording medium
US20170317022A1 (en) * 2015-06-05 2017-11-02 Tokyo Electron Limited Ruthenium metal feature fill for interconnects
US10056328B2 (en) * 2015-06-05 2018-08-21 Tokyo Electron Limited Ruthenium metal feature fill for interconnects
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US20220259725A1 (en) * 2016-09-13 2022-08-18 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10504717B2 (en) * 2016-09-16 2019-12-10 Applied Materials, Inc. Integrated system and method for source/drain engineering
US20180082836A1 (en) * 2016-09-16 2018-03-22 Applied Materials, Inc. Integrated system and method for source/drain engineering
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10177030B2 (en) 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR20190132473A (en) * 2017-03-31 2019-11-27 도쿄엘렉트론가부시키가이샤 Plating processing method, plating processing system and storage medium
KR102617193B1 (en) * 2017-03-31 2023-12-26 도쿄엘렉트론가부시키가이샤 Plating processing method, plating processing system, and storage medium
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
TWI719316B (en) * 2017-06-12 2021-02-21 美商應用材料股份有限公司 Seamless tungsten fill by tungsten oxidation-reduction
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20210098283A1 (en) * 2018-09-13 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US11756825B2 (en) * 2018-09-13 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with oxidized ruthenium
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111863712A (en) * 2019-04-24 2020-10-30 台湾积体电路制造股份有限公司 Semiconductor structure and method of forming a semiconductor structure
US11903328B2 (en) * 2020-02-07 2024-02-13 International Business Machines Corporation Self assembled monolayer formed on a quantum device
US20210249582A1 (en) * 2020-02-07 2021-08-12 International Business Machines Corporation Self assembled monolayer formed on a quantum device

Also Published As

Publication number Publication date
US8308858B2 (en) 2012-11-13
TW200734482A (en) 2007-09-16
WO2006102318A3 (en) 2009-04-09
US20100107927A1 (en) 2010-05-06
US7659203B2 (en) 2010-02-09
US20060264043A1 (en) 2006-11-23
WO2006102318A2 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
US7659203B2 (en) Electroless deposition process on a silicon contact
US20060251801A1 (en) In-situ silicidation metallization process
US7651934B2 (en) Process for electroless copper deposition
US20070099422A1 (en) Process for electroless copper deposition
KR102470903B1 (en) Enhanced cobalt resistance and gap filling performance by ruthenium doping
US20120315756A1 (en) Process for electroless copper deposition on a ruthenium seed
CN108474129B (en) Process and chemistry for electroplating through-silicon vias
US20070108404A1 (en) Method of selectively depositing a thin film material at a semiconductor interface
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US8524600B2 (en) Post deposition treatments for CVD cobalt films
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
TW201330174A (en) High temperature tungsten metallization process
JP6367322B2 (en) Method for copper plating through silicon via using wet wafer back contact
US20160141203A1 (en) Cobalt selectivity improvement in selective cobalt process sequence
KR20140099311A (en) Doped tantalum nitride for copper barrier applications
SG174752A1 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
KR20150000507A (en) Methods for depositing manganese and manganese nitrides
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
WO2008027216A2 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
WO2006102182A2 (en) Process for electroless copper deposition

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WEIDMAN, TIMOTHY W.;STEWART, MICHAEL P.;ZHU, ZHIZE;AND OTHERS;REEL/FRAME:018253/0592;SIGNING DATES FROM 20060519 TO 20060522

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION