US20060199366A1 - Reduced dry etching lag - Google Patents

Reduced dry etching lag Download PDF

Info

Publication number
US20060199366A1
US20060199366A1 US11/071,903 US7190305A US2006199366A1 US 20060199366 A1 US20060199366 A1 US 20060199366A1 US 7190305 A US7190305 A US 7190305A US 2006199366 A1 US2006199366 A1 US 2006199366A1
Authority
US
United States
Prior art keywords
via holes
layer
electrically conductive
etch
dense
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/071,903
Other versions
US7094687B1 (en
Inventor
Masaichi Eda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Bell Semiconductor LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/071,903 priority Critical patent/US7094687B1/en
Assigned to LSI LOGIC CORPORATION reassignment LSI LOGIC CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EDA, MASAICHI
Application granted granted Critical
Publication of US7094687B1 publication Critical patent/US7094687B1/en
Publication of US20060199366A1 publication Critical patent/US20060199366A1/en
Assigned to DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT reassignment DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AGERE SYSTEMS LLC, LSI CORPORATION
Assigned to LSI CORPORATION reassignment LSI CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: LSI LOGIC CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LSI CORPORATION
Assigned to LSI CORPORATION, AGERE SYSTEMS LLC reassignment LSI CORPORATION TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031) Assignors: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to BELL SEMICONDUCTOR, LLC reassignment BELL SEMICONDUCTOR, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., BROADCOM CORPORATION
Assigned to CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT reassignment CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BELL NORTHERN RESEARCH, LLC, BELL SEMICONDUCTOR, LLC, HILCO PATENT ACQUISITION 56, LLC
Assigned to HILCO PATENT ACQUISITION 56, LLC, BELL NORTHERN RESEARCH, LLC, BELL SEMICONDUCTOR, LLC reassignment HILCO PATENT ACQUISITION 56, LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: CORTLAND CAPITAL MARKET SERVICES LLC
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Definitions

  • This invention relates to the field of integrated circuit fabrication. More particularly, this invention relates to etching the layers, most specifically silicon dioxide layers, of which integrated circuits are formed.
  • Integrated circuits are fabricated by forming a layer, performing some type of processing in regard to that formed layer—such as etching—and then forming an overlying layer. This process is repeated many times until the completed integrated circuit is formed.
  • integrated circuit includes devices such as those formed on monolithic semiconducting substrates, such as those formed of group IV materials like silicon or germanium, or group III-V compounds like gallium arsenide, or mixtures of such materials.
  • group IV materials like silicon or germanium, or group III-V compounds like gallium arsenide, or mixtures of such materials.
  • the term includes all types of devices formed, such as memory and logic, and all designs of such devices, such as MOS and bipolar.
  • the term also comprehends applications such as flat panel displays, solar cells, and charge coupled devices.
  • One layer type that is commonly used is a dielectric or electrically insulating layer between two electrically conductive layers, such as metal layers.
  • An oxide of some type, most commonly silicon dioxide, is often used to form the dielectric layer.
  • These dielectric layers are used to electrically insulate the adjacent electrically conductive layers one from another.
  • Such via holes are typically formed by an etching process of some type.
  • a layer of photoresist is applied to the dielectric layer, and exposed and developed to produce a via hole pattern in the photoresist.
  • the substrate is then subjected to a wet or dry etch, such as a physical ion or reactive ion etching process.
  • the photoresist protects the dielectric layer from etching in those areas where the photoresist layer remains, but where the photoresist layer has been developed away, the dielectric layer etches in the via hole pattern.
  • Etching preferably continues until all of the via holes in the pattern have been etched to the desired depth.
  • some of the via holes tend to etch at a different rate than others of the via holes. For example, those via holes that are grouped together in a relatively dense pattern of via holes tend to etch at a rate that is somewhat greater than those via holes that are in a relatively isolated pattern. If the dielectric layer is allowed to etch for a length of time that is sufficient to completely etch the relatively isolated via holes, then the relatively dense via holes tend to be over etched. Conversely, if the dielectric layer is etched for a length of time that is only sufficient to completely etch the relatively dense via holes, then the relatively isolated via holes tend to be under etched. In either case, the operation of the integrated circuit tends to be compromised.
  • etching parameters can be adjusted in order to reduce the difference in etch rate between the relatively dense via holes and the relatively isolated via holes. This difference is sometimes referred to a lag in the etch rate of the relatively isolated via holes.
  • the kind of gas that is used for the dry etching, the gas flow rates, the chamber pressure, the substrate temperature, and the processing power can all be adjusted in order to try to reduce the etch lag.
  • these parameters all tend to also effect other characteristics of the etch process, such as the etch rate, etch selectively, and etch profiles, one or more of which may be deleterious to the process.
  • the above and other needs are met by a method of forming via structures between a first electrically conductive layer and a second electrically conductive layer.
  • the first electrically conductive layer is formed, and a dielectric layer is formed over the first electrically conductive layer.
  • a first photoresist layer is formed over the dielectric layer, and patterned with a first via hole pattern.
  • the first via hole pattern includes via holes that are all disposed within a first distance one from another, called dense via holes, and excludes via holes that are disposed at greater than the first distance one from another, called isolated via holes.
  • the dense via holes are etched into the dielectric layer at first etch conditions until the dense via holes are properly formed, and the first photoresist layer is removed.
  • a second photoresist layer is formed over the dielectric layer, and is patterned with a second via hole pattern.
  • the second via hole pattern excludes dense via holes and includes isolated via holes.
  • the isolated via holes are etched into the dielectric layer at second etch conditions until the isolated via holes are properly formed, and the second photoresist layer is removed.
  • Electrically conductive vias are formed within both the dense via holes and the isolated via holes, and the second electrically conductive layer is formed over the dielectric layer. Electrical continuity exists between the first electrically conductive layer and the second electrically conductive layer through the electrically conductive vias.
  • the etch conditions can be adjusted as necessary so that both the dense via holes and the isolated are properly formed.
  • adjustment of etch conditions that would adversely effect other etch parameters can be avoided in both of the etch processes.
  • only the length of time is varied between the two etch processes.
  • more than two mask levels are used to etch all of the vias, by dividing the via holes into more than two groups, such as a dense group, an intermediate group, and an isolated group. Any number of groups, all preferably based on via hole density, could be used, as desired. The various groups could be etched in any order desired, and the most dense group need not be etched prior to the other group or groups.
  • the first etch conditions are different from the second etch conditions.
  • the dense via holes and the isolated via holes all have a diameter of no more than about two hundred nanometers.
  • the etches are one or more of a reactive ion etch, a physical etch, and a wet etch.
  • FIG. 1 is a cross sectional view of a portion of an integrated circuit depicting a substrate, a lower electrically conductive layer, a dielectric layer in which via holes are to be formed, and a first photoresist layer patterned with a first via hole pattern for dense via holes.
  • FIG. 2 is a cross sectional view of the integrated circuit of FIG. 1 , where the dense via holes have been etched and the first photoresist layer has been removed.
  • FIG. 3 is a cross sectional view of the integrated circuit of FIG. 2 , where a second photoresist layer has been applied and patterned with a second via hole pattern for isolated via holes.
  • FIG. 4 is a cross sectional view of the integrated circuit of FIG. 3 , where the isolated via holes have been etched and the second photoresist layer has been removed.
  • FIG. 5 is a cross sectional view of the integrated circuit of FIG. 4 , where the via holes have been filled and an upper electrically conductive layer has been formed.
  • FIG. 1 there is depicted a cross sectional view of a portion of an integrated circuit 10 with a substrate 12 , a lower electrically conductive layer 14 , a dielectric layer 16 in which via holes are to be formed, and a first photoresist layer 18 patterned with a first via hole pattern 20 for dense via holes 22 .
  • the substrate 12 as depicted may include additional initial layers, which are not individually depicted so as to not unnecessarily encumber the drawings.
  • the substrate 12 is a silicon substrate having active circuitry formed therein and various structures as are known in the art formed thereon.
  • the first conductive layer 14 is an electrically conductive layer, and is preferably formed of a metal, and most preferably of a series of metal and metallic layers. Although the layer 14 is referred to herein as the first conductive layer, it is appreciated that it may not be the first conductive layer that is disposed on the substrate 12 , but is only referred to as the first conductive layer so as to distinguish it from subsequent conductive layers that are described herein.
  • the dielectric layer 16 is a non electrically conductive layer, and may be formed of any suitable material. In the preferred embodiments the dielectric layer 16 is formed of an oxide, and is most preferably formed of silicon dioxide. The dielectric layer 16 resides directly atop the first conductive layer 14 . Similar to that as described above in regard to the first conductive layer 14 , the dielectric layer 16 may be a series of non electrically conductive layers, which are collectively referred to herein as the dielectric layer 16 .
  • a first layer of photoresist 18 is deposited and patterned on top of the dielectric layer 16 .
  • the first photoresist layer 18 is, in the embodiment depicted, patterned with a relatively dense via hole pattern 20 .
  • the photoresist layer 18 is not patterned with any via holes that would be considered relatively isolated.
  • the exact determination of what makes a set of via holes either dense or isolated is based on a variety of factors such as the diameter of the via holes, the thickness of the photoresist, the thickness of the dielectric layer 16 , the depth of the via holes, the aspect ratio of the via holes, and other parameters. Thus, such a bright line distinction cannot be made in this description where the general case is put forth.
  • the dense via holes 22 are then etched into the dielectric layer 16 .
  • the dense via holes 22 are etched completely through the dielectric layer 16 in this embodiment, which as described above, may include a series of different layers.
  • the dense via holes 22 are etched down to an etch stop layer, which may or may not be the first conductive layer 14 .
  • a wet etch or some type of dry etch may be used.
  • the dry etch may be either a physical etch, such as a sputter etch or an ion mill, or a chemically reactive ion etch.
  • the first photoresist layer 18 is removed after the etch has been accomplished.
  • a second photoresist layer 22 is applied and patterned with only the isolated via hole pattern 24 .
  • the order of the two mask patterns 20 and 24 are by way of example only, and that in actual implementation, there may be reasons why the isolated via hole pattern 24 is applied and etched first, instead of applying and etching the dense via hole pattern 20 as described herein. In other cases there may be no real reason to perform the etches in a specific order.
  • the isolated via hole pattern 24 is then etched to produce the isolated via holes 26 .
  • the parameters for the first and second etch processes could be either the same or different for the two sets of via hole patterns 20 and 24 , but in most cases would be different, or else there would tend to be little reason to separate the two processes.
  • the etch processes may be different by as seemingly small a factor as the length of time which they are allowed to continue. Although that may be a relatively small distinction between the two processes, such a processing difference can make a tremendous difference in properly forming the two different types of via holes.
  • the second set of via holes which in this example is the set of isolated via holes 26
  • all of the via holes are filled with an electrically conductive material 28 so as to form electrical connections with the first conductive layer 14 , as depicted in FIG. 5 .
  • a non conductive etch stop layer is used to stop both of the etch processes, and the etch stop layer is not removed during the individual etch processes described above, then that etch stop layer is preferably removed from all of the via holes prior to filling them with the electrically conductive material 28 .
  • the second conductive layer 30 is preferably formed directly on top of the dielectric layer 16 .
  • the second conductive layer 30 is preferably constructed in the various manners and with the same considerations as the first conductive layer 14 , but adapted to provide the characteristics as desired in the second conductive layer 30 .
  • the first conductive layer 14 has electrical connection with the second conductive layer 30 by means of the conductive material 28 through the dielectric layer 16 , without any intermediate structures. Following this step, traditional further processing of the integrated circuit 10 is preferably accomplished.

Abstract

A method of forming via structures between a first electrically conductive layer and a second electrically conductive layer. The first electrically conductive layer is formed, and a dielectric layer is formed over the first electrically conductive layer. A first photoresist layer is formed over the dielectric layer, and patterned with a first via hole pattern. The first via hole pattern includes via holes that are all disposed within a first distance one from another, called dense via holes, and excludes via holes that are disposed at greater than the first distance one from another, called isolated via holes. The dense via holes are etched into the dielectric layer at first etch conditions until the dense via holes are properly formed, and the first photoresist layer is removed. A second photoresist layer is formed over the dielectric layer, and is patterned with a second via hole pattern. The second via hole pattern excludes dense via holes and includes isolated via holes. The isolated via holes are etched into the dielectric layer at second etch conditions until the isolated via holes are properly formed, and the second photoresist layer is removed. Electrically conductive vias are formed within both the dense via holes and the isolated via holes, and the second electrically conductive layer is formed over the dielectric layer. Electrical continuity exists between the first electrically conductive layer and the second electrically conductive layer through the electrically conductive vias.

Description

    FIELD
  • This invention relates to the field of integrated circuit fabrication. More particularly, this invention relates to etching the layers, most specifically silicon dioxide layers, of which integrated circuits are formed.
  • BACKGROUND
  • Integrated circuits are fabricated by forming a layer, performing some type of processing in regard to that formed layer—such as etching—and then forming an overlying layer. This process is repeated many times until the completed integrated circuit is formed.
  • As the term is used herein, “integrated circuit” includes devices such as those formed on monolithic semiconducting substrates, such as those formed of group IV materials like silicon or germanium, or group III-V compounds like gallium arsenide, or mixtures of such materials. The term includes all types of devices formed, such as memory and logic, and all designs of such devices, such as MOS and bipolar. The term also comprehends applications such as flat panel displays, solar cells, and charge coupled devices.
  • One layer type that is commonly used is a dielectric or electrically insulating layer between two electrically conductive layers, such as metal layers. An oxide of some type, most commonly silicon dioxide, is often used to form the dielectric layer. These dielectric layers are used to electrically insulate the adjacent electrically conductive layers one from another. However, it is typically desirable to form a pattern of via holes through the dielectric layer after it is formed, and fill the via holes with an electrically conducting material, such as metal, so that selective electrical connections can be made between the overlying and underlying conductive layers, through the dielectric layers.
  • Such via holes are typically formed by an etching process of some type. A layer of photoresist is applied to the dielectric layer, and exposed and developed to produce a via hole pattern in the photoresist. The substrate is then subjected to a wet or dry etch, such as a physical ion or reactive ion etching process. The photoresist protects the dielectric layer from etching in those areas where the photoresist layer remains, but where the photoresist layer has been developed away, the dielectric layer etches in the via hole pattern.
  • Etching preferably continues until all of the via holes in the pattern have been etched to the desired depth. Unfortunately, for a variety of reasons, some of the via holes tend to etch at a different rate than others of the via holes. For example, those via holes that are grouped together in a relatively dense pattern of via holes tend to etch at a rate that is somewhat greater than those via holes that are in a relatively isolated pattern. If the dielectric layer is allowed to etch for a length of time that is sufficient to completely etch the relatively isolated via holes, then the relatively dense via holes tend to be over etched. Conversely, if the dielectric layer is etched for a length of time that is only sufficient to completely etch the relatively dense via holes, then the relatively isolated via holes tend to be under etched. In either case, the operation of the integrated circuit tends to be compromised.
  • Various etching parameters can be adjusted in order to reduce the difference in etch rate between the relatively dense via holes and the relatively isolated via holes. This difference is sometimes referred to a lag in the etch rate of the relatively isolated via holes. For example, the kind of gas that is used for the dry etching, the gas flow rates, the chamber pressure, the substrate temperature, and the processing power can all be adjusted in order to try to reduce the etch lag. However, these parameters all tend to also effect other characteristics of the etch process, such as the etch rate, etch selectively, and etch profiles, one or more of which may be deleterious to the process.
  • What is needed, therefore, is a method of etching relatively dense via holes and relatively isolated via holes in a manner that generally reduces problems such as those described above, at least in part.
  • SUMMARY
  • The above and other needs are met by a method of forming via structures between a first electrically conductive layer and a second electrically conductive layer. The first electrically conductive layer is formed, and a dielectric layer is formed over the first electrically conductive layer. A first photoresist layer is formed over the dielectric layer, and patterned with a first via hole pattern. The first via hole pattern includes via holes that are all disposed within a first distance one from another, called dense via holes, and excludes via holes that are disposed at greater than the first distance one from another, called isolated via holes. The dense via holes are etched into the dielectric layer at first etch conditions until the dense via holes are properly formed, and the first photoresist layer is removed.
  • A second photoresist layer is formed over the dielectric layer, and is patterned with a second via hole pattern. The second via hole pattern excludes dense via holes and includes isolated via holes. The isolated via holes are etched into the dielectric layer at second etch conditions until the isolated via holes are properly formed, and the second photoresist layer is removed. Electrically conductive vias are formed within both the dense via holes and the isolated via holes, and the second electrically conductive layer is formed over the dielectric layer. Electrical continuity exists between the first electrically conductive layer and the second electrically conductive layer through the electrically conductive vias.
  • By separating the etch processes for the dense via holes and the isolated via holes in this manner, the etch conditions can be adjusted as necessary so that both the dense via holes and the isolated are properly formed. In addition, adjustment of etch conditions that would adversely effect other etch parameters can be avoided in both of the etch processes. For example, in one embodiment, only the length of time is varied between the two etch processes. Although the method requires an additional masking step, the improvement in the etch of the via holes tends to make the additional processing worthwhile. In some embodiments, more than two mask levels are used to etch all of the vias, by dividing the via holes into more than two groups, such as a dense group, an intermediate group, and an isolated group. Any number of groups, all preferably based on via hole density, could be used, as desired. The various groups could be etched in any order desired, and the most dense group need not be etched prior to the other group or groups.
  • In various embodiments, the first etch conditions are different from the second etch conditions. In some embodiments, the dense via holes and the isolated via holes all have a diameter of no more than about two hundred nanometers. In different embodiments, the etches are one or more of a reactive ion etch, a physical etch, and a wet etch.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Further advantages of the invention are apparent by reference to the detailed description when considered in conjunction with the figures, which are not to scale so as to more clearly show the details, wherein like reference numbers indicate like elements throughout the several views, and wherein:
  • FIG. 1 is a cross sectional view of a portion of an integrated circuit depicting a substrate, a lower electrically conductive layer, a dielectric layer in which via holes are to be formed, and a first photoresist layer patterned with a first via hole pattern for dense via holes.
  • FIG. 2 is a cross sectional view of the integrated circuit of FIG. 1, where the dense via holes have been etched and the first photoresist layer has been removed.
  • FIG. 3 is a cross sectional view of the integrated circuit of FIG. 2, where a second photoresist layer has been applied and patterned with a second via hole pattern for isolated via holes.
  • FIG. 4 is a cross sectional view of the integrated circuit of FIG. 3, where the isolated via holes have been etched and the second photoresist layer has been removed.
  • FIG. 5 is a cross sectional view of the integrated circuit of FIG. 4, where the via holes have been filled and an upper electrically conductive layer has been formed.
  • DETAILED DESCRIPTION
  • With reference now to FIG. 1, there is depicted a cross sectional view of a portion of an integrated circuit 10 with a substrate 12, a lower electrically conductive layer 14, a dielectric layer 16 in which via holes are to be formed, and a first photoresist layer 18 patterned with a first via hole pattern 20 for dense via holes 22.
  • The substrate 12 as depicted may include additional initial layers, which are not individually depicted so as to not unnecessarily encumber the drawings. Most preferably, the substrate 12 is a silicon substrate having active circuitry formed therein and various structures as are known in the art formed thereon. The first conductive layer 14 is an electrically conductive layer, and is preferably formed of a metal, and most preferably of a series of metal and metallic layers. Although the layer 14 is referred to herein as the first conductive layer, it is appreciated that it may not be the first conductive layer that is disposed on the substrate 12, but is only referred to as the first conductive layer so as to distinguish it from subsequent conductive layers that are described herein.
  • The dielectric layer 16 is a non electrically conductive layer, and may be formed of any suitable material. In the preferred embodiments the dielectric layer 16 is formed of an oxide, and is most preferably formed of silicon dioxide. The dielectric layer 16 resides directly atop the first conductive layer 14. Similar to that as described above in regard to the first conductive layer 14, the dielectric layer 16 may be a series of non electrically conductive layers, which are collectively referred to herein as the dielectric layer 16.
  • A first layer of photoresist 18 is deposited and patterned on top of the dielectric layer 16. The first photoresist layer 18 is, in the embodiment depicted, patterned with a relatively dense via hole pattern 20. The photoresist layer 18 is not patterned with any via holes that would be considered relatively isolated. The exact determination of what makes a set of via holes either dense or isolated is based on a variety of factors such as the diameter of the via holes, the thickness of the photoresist, the thickness of the dielectric layer 16, the depth of the via holes, the aspect ratio of the via holes, and other parameters. Thus, such a bright line distinction cannot be made in this description where the general case is put forth. Rather, such a distinction is left to the actual, individual applications, based on the criteria as generally described herein. However, as a rule, the distinction can be made based on the existence of problems such as those described in the background section of this document. Thus, sets of via holes can be binned into groups based upon empirical evidence as to which sets do not etch at the same rate.
  • The dense via holes 22 are then etched into the dielectric layer 16. The dense via holes 22 are etched completely through the dielectric layer 16 in this embodiment, which as described above, may include a series of different layers. In other embodiments, the dense via holes 22 are etched down to an etch stop layer, which may or may not be the first conductive layer 14. In various embodiments, a wet etch or some type of dry etch may be used. The dry etch may be either a physical etch, such as a sputter etch or an ion mill, or a chemically reactive ion etch. The first photoresist layer 18 is removed after the etch has been accomplished.
  • As depicted in FIG. 3, a second photoresist layer 22 is applied and patterned with only the isolated via hole pattern 24. It is appreciated that the order of the two mask patterns 20 and 24 are by way of example only, and that in actual implementation, there may be reasons why the isolated via hole pattern 24 is applied and etched first, instead of applying and etching the dense via hole pattern 20 as described herein. In other cases there may be no real reason to perform the etches in a specific order.
  • As depicted in FIG. 4, the isolated via hole pattern 24 is then etched to produce the isolated via holes 26. The parameters for the first and second etch processes could be either the same or different for the two sets of via hole patterns 20 and 24, but in most cases would be different, or else there would tend to be little reason to separate the two processes. However, the etch processes may be different by as seemingly small a factor as the length of time which they are allowed to continue. Although that may be a relatively small distinction between the two processes, such a processing difference can make a tremendous difference in properly forming the two different types of via holes.
  • After etching the second set of via holes, which in this example is the set of isolated via holes 26, all of the via holes are filled with an electrically conductive material 28 so as to form electrical connections with the first conductive layer 14, as depicted in FIG. 5. If a non conductive etch stop layer is used to stop both of the etch processes, and the etch stop layer is not removed during the individual etch processes described above, then that etch stop layer is preferably removed from all of the via holes prior to filling them with the electrically conductive material 28.
  • As introduced above, it may be desirable to bin the via holes into more than just two groups, if differences in via hole density tend to vary sufficiently that even two different processing conditions do not remedy the problems describe above.
  • Finally, the second conductive layer 30 is preferably formed directly on top of the dielectric layer 16. The second conductive layer 30 is preferably constructed in the various manners and with the same considerations as the first conductive layer 14, but adapted to provide the characteristics as desired in the second conductive layer 30. Thus, the first conductive layer 14 has electrical connection with the second conductive layer 30 by means of the conductive material 28 through the dielectric layer 16, without any intermediate structures. Following this step, traditional further processing of the integrated circuit 10 is preferably accomplished.
  • The foregoing description of preferred embodiments for this invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed. Obvious modifications or variations are possible in light of the above teachings. The embodiments are chosen and described in an effort to provide the best illustrations of the principles of the invention and its practical application, and to thereby enable one of ordinary skill in the art to utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. All such modifications and variations are within the scope of the invention as determined by the appended claims when interpreted in accordance with the breadth to which they are fairly, legally, and equitably entitled.

Claims (20)

1. A method of forming via structures between a first electrically conductive layer and a second electrically conductive layer, the method comprising the sequential steps of:
forming the first electrically conductive layer,
forming a dielectric layer over the first electrically conductive layer,
forming a first photoresist layer over the dielectric layer,
patterning the first photoresist layer with a first via hole pattern that includes via holes that are all disposed within a first distance one from another, called dense via holes, and excludes via holes that are disposed at greater than the first distance one from another, called isolated via holes,
etching the dense via holes into the dielectric layer at first etch conditions until the dense via holes are properly formed,
removing the first photoresist layer,
forming a second photoresist layer over the dielectric layer,
patterning the second photoresist layer with a second via hole pattern that excludes dense via holes, and includes isolated via holes,
etching the isolated via holes into the dielectric layer at second etch conditions until the isolated via holes are properly formed, wherein the first etch conditions are different from the second etch conditions,
removing the second photoresist layer,
forming electrically conductive vias within both the dense via holes and the isolated via holes, and
forming the second electrically conductive layer over the dielectric layer, where electrical continuity exists between the first electrically conductive layer and the second electrically conductive layer through the electrically conductive vias.
2. (canceled)
3. The method of claim 1, wherein the dense via holes and the isolated via holes all have a diameter of no more than about two hundred nanometers.
4. The method of claim 1, wherein the etch is a reactive ion etch.
5. The method of claim 1, wherein the etch is a physical etch.
6. The method of claim 1, wherein the etch is a wet etch.
7. A method of forming via structures between a first electrically conductive layer and a second electrically conductive layer, the method comprising the sequential steps of:
forming the first electrically conductive layer,
forming a dielectric layer over the first electrically conductive layer,
forming a first photoresist layer over the dielectric layer,
patterning the first photoresist layer with a first via hole pattern that excludes via holes that are all disposed within a first distance one from another, called dense via holes, and includes via holes that are disposed at greater than the first distance one from another, called isolated via holes,
etching the isolated via holes into the dielectric layer at first etch conditions until the isolated via holes are properly formed,
removing the first photoresist layer,
forming a second photoresist layer over the dielectric layer,
patterning the second photoresist layer with a second via hole pattern that includes dense via holes, and excludes isolated via holes,
etching the dense via holes into the dielectric layer at second etch conditions until the dense via holes are properly formed, wherein the first etch conditions are different from the second etch conditions,
removing the second photoresist layer,
forming electrically conductive vias within both the dense via holes and the isolated via holes, and
forming the second electrically conductive layer over the dielectric layer, where electrical continuity exists between the first electrically conductive layer and the second electrically conductive layer through the electrically conductive vias.
8. (canceled)
9. The method of claim 7, wherein the dense via holes and the isolated via holes all have a diameter of no more than about two hundred nanometers.
10. The method of claim 7, wherein the etch is a reactive ion etch.
11. The method of claim 7, wherein the etch is a physical etch.
12. The method of claim 7, wherein the etch is a wet etch.
13. A method of forming via holes in a layer, the method comprising the steps of:
forming a first photoresist layer over the layer,
patterning the first photoresist layer with a first via hole pattern that includes via holes that are all disposed within a first distance one from another, called dense via holes, and excludes via holes that are disposed at greater than the first distance one from another, called isolated via holes,
etching the dense via holes into the layer at first etch conditions until the dense via holes are properly formed,
removing the first photoresist layer,
forming a second photoresist layer over the layer,
patterning the second photoresist layer with a second via hole pattern that excludes dense via holes, and includes isolated via holes,
etching the isolated via holes into the layer at second etch conditions until the isolated via holes are properly formed, wherein the first etch conditions are different from the second etch conditions, and
removing the second photoresist layer.
14. (canceled)
15. The method of claim 13, wherein the dense via holes and the isolated via holes all have a diameter of no more than about two hundred nanometers.
16. The method of claim 13, wherein the etch is a reactive ion etch.
17. The method of claim 13, wherein the etch is a physical etch.
18. The method of claim 13, wherein the etch is a wet etch.
19. The method of claim 13, further comprising forming electrically conductive vias within both the dense via holes and the isolated via holes.
20. The method of claim 13, further comprising:
forming a first electrically conductive layer immediately underlying the layer,
forming a second electrically conductive layer immediately overlying the layer, and
electrically connecting the first electrically conductive layer and the second electrically conductive layer with electrically conductive vias within both the dense via holes and the isolated via holes through the layer.
US11/071,903 2005-03-02 2005-03-02 Reduced dry etching lag Active US7094687B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/071,903 US7094687B1 (en) 2005-03-02 2005-03-02 Reduced dry etching lag

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/071,903 US7094687B1 (en) 2005-03-02 2005-03-02 Reduced dry etching lag

Publications (2)

Publication Number Publication Date
US7094687B1 US7094687B1 (en) 2006-08-22
US20060199366A1 true US20060199366A1 (en) 2006-09-07

Family

ID=36821685

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/071,903 Active US7094687B1 (en) 2005-03-02 2005-03-02 Reduced dry etching lag

Country Status (1)

Country Link
US (1) US7094687B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8377632B2 (en) 2011-05-29 2013-02-19 Nanya Technology Corp. Method of reducing microloading effect

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5902313A (en) * 1994-03-09 1999-05-11 Redha; Falah Medical instrument for atherectomy
US5904563A (en) * 1996-05-20 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal alignment mark generation
US6093629A (en) * 1998-02-02 2000-07-25 Taiwan Semiconductor Manufacturing Company Method of simplified contact etching and ion implantation for CMOS technology
US6165880A (en) * 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US20020185671A1 (en) * 2001-06-12 2002-12-12 Kim Si Bum Semiconductor device having a metal insulator metal capacitor
US20040127013A1 (en) * 2002-12-31 2004-07-01 Nanya Technology Corporation Method for forming bit line
US20050191850A1 (en) * 2004-02-27 2005-09-01 Semiconductor Leading Edge Technologies, Inc. Method for manufacturing semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5902313A (en) * 1994-03-09 1999-05-11 Redha; Falah Medical instrument for atherectomy
US5904563A (en) * 1996-05-20 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal alignment mark generation
US6093629A (en) * 1998-02-02 2000-07-25 Taiwan Semiconductor Manufacturing Company Method of simplified contact etching and ion implantation for CMOS technology
US6165880A (en) * 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US20020185671A1 (en) * 2001-06-12 2002-12-12 Kim Si Bum Semiconductor device having a metal insulator metal capacitor
US20040127013A1 (en) * 2002-12-31 2004-07-01 Nanya Technology Corporation Method for forming bit line
US20050191850A1 (en) * 2004-02-27 2005-09-01 Semiconductor Leading Edge Technologies, Inc. Method for manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8377632B2 (en) 2011-05-29 2013-02-19 Nanya Technology Corp. Method of reducing microloading effect

Also Published As

Publication number Publication date
US7094687B1 (en) 2006-08-22

Similar Documents

Publication Publication Date Title
US5461010A (en) Two step etch back spin-on-glass process for semiconductor planarization
US6355567B1 (en) Retrograde openings in thin films
KR100567976B1 (en) A method of forming a terminal via in a fuse structure and the metal fuse structure
US4321284A (en) Manufacturing method for semiconductor device
US7659195B2 (en) Method for forming metal line of semiconductor device
US5162261A (en) Method of forming a via having sloped sidewalls
US7094687B1 (en) Reduced dry etching lag
US6376357B1 (en) Method for manufacturing a semiconductor device with voids in the insulation film between wirings
US6413438B1 (en) Method of forming via hole by dry etching
US6995087B2 (en) Integrated circuit with simultaneous fabrication of dual damascene via and trench
KR20070009813A (en) Method of forming a metal line and contact plug in flash memory device
US6348736B1 (en) In situ formation of protective layer on silsesquioxane dielectric for dual damascene process
KR100268426B1 (en) Manufacturing Method of Semiconductor Device
KR100364812B1 (en) Method for Fabricating of Semiconductor Device
US4797375A (en) Fabrication of metal interconnect for semiconductor device
US5466640A (en) Method for forming a metal wire of a semiconductor device
CN110581117A (en) Semiconductor device and manufacturing method thereof
US7482257B2 (en) Method for forming metal contact in semiconductor device
US5854130A (en) Method of forming multilevel interconnects in semiconductor devices
KR100883041B1 (en) Semiconductor device and fabrication method thereof
US7078294B2 (en) Sealing method for electronic devices formed on a common semiconductor substrate and corresponding circuit structure
JP2000133788A (en) Integrated chip dummy trench pattern facilitating development of trench etching process
US7915093B1 (en) System and method for manufacturing an integrated circuit anti-fuse in conjunction with a tungsten plug process
KR940011731B1 (en) Forming method of contact hole
KR100576414B1 (en) Method for manufacturing landing via of semiconductor

Legal Events

Date Code Title Description
AS Assignment

Owner name: LSI LOGIC CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:EDA, MASAICHI;REEL/FRAME:016348/0479

Effective date: 20050302

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AG

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:LSI CORPORATION;AGERE SYSTEMS LLC;REEL/FRAME:032856/0031

Effective date: 20140506

AS Assignment

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:LSI LOGIC CORPORATION;REEL/FRAME:033102/0270

Effective date: 20070406

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LSI CORPORATION;REEL/FRAME:035058/0248

Effective date: 20140804

AS Assignment

Owner name: AGERE SYSTEMS LLC, PENNSYLVANIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:037808/0001

Effective date: 20160201

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041710/0001

Effective date: 20170119

AS Assignment

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;BROADCOM CORPORATION;REEL/FRAME:044886/0608

Effective date: 20171208

AS Assignment

Owner name: CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERA

Free format text: SECURITY INTEREST;ASSIGNORS:HILCO PATENT ACQUISITION 56, LLC;BELL SEMICONDUCTOR, LLC;BELL NORTHERN RESEARCH, LLC;REEL/FRAME:045216/0020

Effective date: 20180124

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12

AS Assignment

Owner name: BELL NORTHERN RESEARCH, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401

Owner name: HILCO PATENT ACQUISITION 56, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401