US20060198955A1 - Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces - Google Patents

Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces Download PDF

Info

Publication number
US20060198955A1
US20060198955A1 US11/416,866 US41686606A US2006198955A1 US 20060198955 A1 US20060198955 A1 US 20060198955A1 US 41686606 A US41686606 A US 41686606A US 2006198955 A1 US2006198955 A1 US 2006198955A1
Authority
US
United States
Prior art keywords
gas
microfeature
workpieces
workpiece holder
delivering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/416,866
Inventor
Lingyi Zheng
Trung Doan
Lyle Breiner
Er-Xuan Ping
Ronald Weimer
David Kubista
Kevin Beaman
Cem Basceri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/416,866 priority Critical patent/US20060198955A1/en
Publication of US20060198955A1 publication Critical patent/US20060198955A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S206/00Special receptacle or package
    • Y10S206/832Semiconductor wafer boat

Definitions

  • the present invention is related to equipment and methods for processing microfeature workpieces, e.g., semiconductor wafers. Aspects of the invention have particular utility in connection with batch deposition of materials on microfeature workpieces, such as by atomic layer deposition or chemical vapor deposition.
  • Thin film deposition techniques are widely used in the manufacturing of microfeatures to form a coating on a workpiece that closely conforms to the surface topography.
  • the size of the individual components in the devices on a wafer is constantly decreasing, and the number of layers in the devices is increasing.
  • the density of components and the aspect ratios of depressions e.g., the ratio of the depth to the size of the opening
  • the size of such wafers is also increasing to provide more real estate for forming more dies (i.e., chips) on a single wafer.
  • Many fabricators are currently transitioning from 200 mm to 300 mm workpieces, and even larger workpieces will likely be used in the future.
  • Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • CVD chemical vapor deposition
  • one or more precursors that are capable of reacting to form a solid thin film are mixed in a gas or vapor state, and then the precursor mixture is presented to the surface of the workpiece.
  • the surface of the workpiece catalyzes the reaction between the precursors to form a solid thin film at the workpiece surface.
  • a common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials already formed on the workpiece. Implanted or doped materials, for example, can migrate within silicon workpieces at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the intended surface of the workpiece. This is undesirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • Atomic layer deposition is another thin film deposition technique.
  • FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes.
  • a layer of gas molecules A coats the surface of a workpiece W.
  • the layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules, and then purging the chamber with a purge gas to remove excess A molecules.
  • This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures.
  • the layer of A molecules is then exposed to another precursor gas containing B molecules.
  • the A molecules react with the B molecules to form an extremely thin layer of solid material C on the workpiece W.
  • the chamber is then purged again with a purge gas to remove excess B molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques.
  • a typical cycle includes (a) exposing the workpiece to the first precursor A, (b) purging excess A molecules, (c) exposing the workpiece to the second precursor B, and then (d) purging excess B molecules.
  • the purge process typically comprises introducing a purge gas, which is substantially non-reactive with either precursor, and exhausting the purge gas and excess precursor from the reaction chamber in a pumping step.
  • several cycles are repeated to build a thin film on a workpiece having the desired thickness. For example, each cycle may form a layer having a thickness of approximately 0.5-1.0 ⁇ , and thus it takes approximately 60-120 cycles to form a solid layer having a thickness of approximately 60 ⁇ .
  • ALD processing has a relatively low throughput compared to CVD techniques. For example, ALD processing typically takes several seconds to perform each A-purge-B-purge cycle. This results in a total process time of several minutes to form a single thin layer of only 60 ⁇ . In contrast to ALD processing, CVD techniques only require about one minute to form a 60 ⁇ thick layer. In single-wafer processing chambers, ALD processes can be 500%-2000% longer than corresponding single-wafer CVD processes. The low throughput of existing single-wafer ALD techniques limits the utility of the technology in its current state because ALD may be a bottleneck in the overall manufacturing process.
  • FIG. 3 schematically illustrates a conventional batch ALD reactor 10 having a processing enclosure 20 coupled to a gas supply 30 and a vacuum 40 .
  • the processing enclosure 20 generally includes an outer wall 22 and an annular liner 24 .
  • a platform 60 seals against the outer wall or some other part of the enclosure 20 via a seal 62 to define a process chamber 25 .
  • Gas is introduced from the gas supply 30 to the process chamber 25 by a gas nozzle 32 that introduces gas into the main chamber 28 of the process chamber 25 .
  • a plurality of workpieces W e.g., semiconductor wafers, may be held in the processing enclosure in a workpiece holder 70 .
  • a heater 50 heats the workpieces W to a desired temperature and the gas supply 30 delivers the first precursor A, the purge gas, and the second precursor B as discussed above in connection with FIG. 2 .
  • an ALD reactor 10 such as that shown in FIG. 3
  • Removing excess precursor from the spaces between the workpieces W can also be problematic.
  • the primary mechanism for removing residual precursor that is not chemisorbed on the surface of one of the workpieces is diffusion. This is not only a relatively slow process that significantly reduces the throughput of the reactor 10 , but it also may not adequately remove residual precursor.
  • conventional batch ALD reactors may have a low throughput and form non-uniform films.
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD techniques in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for depositing a material onto a microfeature workpiece in accordance with the prior art.
  • FIG. 4 is an isometric view of a microfeature workpiece holder in accordance with one embodiment of the invention.
  • FIG. 5 is a side elevation view of the microfeature workpiece holder of FIG. 4 .
  • FIG. 6 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4 and 5 taken along line 6 - 6 of FIG. 5 .
  • FIG. 7 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4-6 taken along line 7 - 7 of FIG. 6 .
  • FIG. 8 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4-7 taken along line 8 - 8 of FIG. 6 .
  • FIG. 9 is a schematic side elevation view of a microfeature workpiece holder in accordance with another embodiment of the invention.
  • FIG. 10 is a schematic isometric view of a microfeature workpiece holder in accordance with yet another embodiment of the invention.
  • FIG. 11 is a schematic side elevation view of the microfeature workpiece holder of FIG. 10 .
  • FIG. 12 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 10 and 11 taken along line 12 - 12 of FIG. 11 .
  • FIG. 13 is a schematic cross-sectional view of a portion of the microfeature workpiece holder of FIGS. 10-12 taken along line 13 - 13 of FIG. 12 .
  • FIG. 14 is a schematic cross-sectional view of a portion of microfeature workpiece holder of FIGS. 10-13 taken along line 14 - 14 of FIG. 12 .
  • FIG. 15 is a schematic illustration of a microfeature workpiece processing system in accordance with a further embodiment of the invention.
  • FIG. 16 is a schematic illustration of a microfeature workpiece processing system in accordance with another embodiment of the invention.
  • FIG. 17 is a schematic illustration of a microfeature workpiece processing system in accordance with yet another embodiment of the invention.
  • microfeature workpiece holders systems including processing chambers, and methods for depositing materials onto microfeature workpieces. Many specific details of the invention are described below with reference to reactors for depositing materials onto microfeature workpieces.
  • microfeature workpiece is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other devices are fabricated.
  • microfeature workpieces can be semiconductor wafers such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials.
  • the microfeature workpieces typically have submicron features with dimensions of 0.05 microns or greater.
  • gas is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature).
  • vapors i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature.
  • microfeature workpiece holders that are adapted to hold a plurality of microfeature workpieces, e.g., for chemical processing such as ALD.
  • These workpiece holders may include a gas distributor comprising one or more gas delivery conduits.
  • the gas delivery conduit may have an inlet and a plurality of outlets, which may be positioned to direct a flow of process gas into the spaces between the workpieces. This can facilitate more uniform distribution of reaction precursors on a microfeature workpiece surface, for example.
  • the distribution of these outlets may also facilitate rapid and effective purging of the space between the workpieces.
  • microfeature workpiece processing systems may include an enclosure defining a process chamber, a removable microfeature workpiece holder disposed in the processing chamber, and a process gas supply conduit.
  • the workpiece holder may be similar to the workpiece holders described above and include a gas distributor having outlets adapted to direct a flow of gas from the process gas supply between the microfeature workpieces.
  • One method having particular utility in connection with ALD includes positioning a microfeature workpiece holder in a process chamber, with the microfeature workpiece holder supporting a plurality of workpieces to define process spaces between each pair of adjacent workpieces.
  • a first precursor gas may be delivered to the process chamber to deposit a quantity of the first precursor gas on a surface of each of the microfeature workpieces.
  • a purge gas may be delivered to the microfeature workpiece holder.
  • the microfeature workpiece holder may carry a gas distributor that delivers a first flow of the purge gas transversely into the space between a pair of workpieces and delivers a second flow of the purge gas transversely into a process space between another pair of workpieces.
  • the method may further include delivering a second precursor gas to the process chamber; the second precursor gas reacts with the quantity of the first precursor gas to form a layer of material on the surfaces of the workpieces.
  • the first section discusses microfeature workpiece holders in accordance with selected embodiments of the invention.
  • the second section describes aspects of microfeature workpiece processing systems in other embodiments of the invention.
  • the third section discusses outlines methods in accordance with other aspects of the invention.
  • FIGS. 4-8 schematically illustrate a microfeature workpiece holder 100 in accordance with one embodiment of the invention.
  • This microfeature workpiece holder 100 generally includes a base, a plurality of columns 120 , and a cap 150 .
  • the particular embodiment shown in FIGS. 4-8 employs 3 columns, namely columns 120 a , 120 b and 120 c .
  • the base 110 and the cap 150 are each generally semicircular in shape and the columns 120 a - c are spaced approximately 90° from one another so that the two outer columns 120 a and 120 c are generally diametrically opposed to one another. It should be recognized that this is simply one possible embodiment that may be useful in connection with microfeature workpieces that are generally circular in shape. In other embodiments, more or fewer columns 120 may be employed.
  • the base 110 and/or the cap 150 may take the form of a solid plate or disk or have any other desired shape. In other embodiments, only one of the base 110 or cap 150 is employed. For example, the cap 150 may be omitted and the base 110 may provide the requisite support for the columns 120 .
  • Each of the columns 120 in the microfeature workpiece holder 100 is generally circular in cross-section.
  • the columns may have other shapes.
  • the columns 120 may be generally wedge-shaped, such as those suggested in PCT International Publication No. WO 02/095807 entitled, “Silicon Fixtures Useful for High Temperature Wafer Processing,” the teachings of which are incorporated herein by reference.
  • Each of the columns 120 includes a plurality of workpiece supports spaced longitudinally along its length.
  • these workpiece supports comprise slots 122 that extend into the body of the column 120 .
  • the workpiece holders may comprise inwardly-extending fingers, rings, clamps, or other workpiece-supporting structures known in the art, e.g., supports used in semiconductor wafer handling and processing equipment.
  • FIGS. 4 and 5 show columns with a limited number of slots 122 .
  • the columns 120 may include fewer or more slots.
  • each of the slots 122 is adapted to receive an edge portion of one of the microfeature workpieces (as suggested in FIG. 5 ).
  • a single slot 122 may not be deep enough to receive a sufficient portion of a microfeature workpiece W to support the workpiece W.
  • the slots 122 on each of the columns 120 a - c are positioned relative to one another to cooperatively support the workpieces W.
  • each slot 122 may be generally horizontally aligned with a corresponding one of the slots 122 on each of the other two columns 120 . This permits a workpiece W to be supported at three separate peripheral locations to enhance the support of each of the workpieces W.
  • the slots 122 may support a plurality of microfeature workpieces W in a spaced-apart, generally parallel relationship.
  • the spaced-apart relationship of the workpieces W will define a process space S between each of the workpieces W.
  • the microfeature workpiece holder 100 also includes a gas distributor 130 .
  • This gas distributor 130 includes at least one gas delivery conduit 134 adapted to direct a flow of process gas relative to the workpieces W.
  • a single gas delivery conduit 134 is employed.
  • the gas distributor 130 includes a manifold 132 connecting a plurality of gas delivery conduits 134 a - c .
  • the manifold 132 may comprise an arcuate fluid passageway formed in the arcuate base 110 that provides fluid communication between a gas inlet 140 in the base 110 and each of the gas delivery conduits 134 a - c .
  • a separate gas delivery conduit 134 is associated with each of the columns 120 .
  • a first gas delivery conduit 134 a is carried by the first column 120 a
  • a second gas delivery conduit 134 b is carried by a second column 120 b
  • a third gas delivery conduit 134 c is carried by the third column 120 c .
  • one or more of the columns 120 may not include a gas delivery conduit 134 .
  • more than one gas delivery conduit 134 may be carried by each column 120 .
  • the gas delivery conduit 134 b may comprise an internal lumen formed in the second column 120 b .
  • FIGS. 6-8 only illustrate the second column 120 b , the structure of the other columns 120 a and 120 c may be substantially the same.
  • the following discussion generically refers to a column 120 and a gas delivery conduit 134 .
  • the gas delivery conduit 134 includes a plurality of transverse passages 136 , each of which directs fluid from the gas delivery conduit 134 to one of a plurality of outlets 138 . These outlets 138 are disposed between two adjacent slots 122 .
  • the gas delivery conduit 134 including each of the transverse passages 136 is generally circular in cross-section and the outlets 138 define generally circular openings.
  • the size and shape of the gas delivery conduits 134 and outlets 138 in the microfeature workpiece holder 100 can be varied, though.
  • the outlets 138 may comprise ellipses or slots having a transverse dimension longer than a longitudinal dimension or include a directional nozzle (not shown).
  • microfeature workpieces W When the microfeature workpieces W are loaded in the microfeature workpiece holder 100 , they will define a series of process spaces S. At least one outlet 138 is desirably associated with each of these process spaces S. In the illustrated embodiment, one outlet 138 is positioned between each pair of adjacent slots 122 on each of the columns 120 a - c . As a consequence, three outlets 138 are associated with each process space, with one outlet being associated with each of the columns 120 a - c supporting the workpieces W. Directing transverse gas flows into the processing spaces S can further enhance the flow of process gas from the gas distributor 130 into and through the processing spaces S.
  • each of the outlets 138 may be directed inwardly toward a central axis A (in FIG. 4 ) of the microfeature workpiece holder 100 . Consequently, when the workpieces W are positioned in the holder 100 , each of the outlets 138 will be positioned to direct a flow of process gas inwardly toward a center of one of the workpieces W. This is expected to further enhance the uniformity of material deposition and/or decrease the time needed to purge the system.
  • the microfeature workpiece holder 100 can be formed of any material that is suitable in light of the microfeature workpieces W with which it will be used and the anticipated conditions of use. If the microfeature workpieces W comprise semiconductor wafers, for example, the microfeature workpiece holder 100 may be formed from glass, fused silica (e.g., fused quartz), or polysilicon (i.e., polycrystalline silicon), among other materials. For other types of applications that may be less sensitive to contamination, the microfeature workpiece holder 100 may be formed of a metal, a ceramic, or a suitably stiff and durable polymeric material.
  • fused silica e.g., fused quartz
  • polysilicon i.e., polycrystalline silicon
  • FIG. 9 schematically illustrates a microfeature workpiece holder 102 in accordance with another embodiment of the invention.
  • This microfeature workpiece holder 102 is similar in many respects to the microfeature workpiece holder 100 shown in FIGS. 4-8 and like reference numbers are used in FIGS. 4-9 to illustrate like elements.
  • the gas distributor 130 shown in FIG. 5 employs a single gas inlet 140 that communicates with each of the gas delivery conduits 134 a - c through a common manifold 132 .
  • the microfeature workpiece holder 102 of FIG. 9 does not include a manifold 132 . Instead, the gas distributor 131 in FIG. 9 has a separate gas inlet 140 for each of the gas delivery conduits 134 .
  • each of the gas delivery conduits 134 may be adapted to delivery a process gas flow that is independent of the process gas flow delivered through each of the other conduits 134 . As noted below, this may permit a different process gas to be delivered through each of the conduits 134 a - c .
  • ALD process outlined above in connection with FIGS.
  • one of the gas delivery conduits may be dedicated to delivering the first precursor gas A
  • a second one of the gas delivery conduits e.g., conduit 134 b
  • the third gas delivery conduit 134 c may be used to deliver the purge gas.
  • FIGS. 10-14 schematically illustrate a microfeature workpiece holder 200 in accordance with another embodiment of the invention.
  • This microfeature workpiece holder 200 generally includes a base 210 , a cap 250 , and at least one column 220 extending between the base 210 and the cap 250 .
  • the illustrated embodiment employs three columns 220 a - 220 c that are spaced generally equiangularly in a manner similar to that described above in connection with the microfeature workpiece holder 100 of FIG. 4 .
  • Each of the columns 220 a - c may include a series of longitudinally-spaced slots 222 oriented inwardly toward a central axis A of the microfeature workpiece holder 200 .
  • Each of these slots 222 may be adapted to receive an edge portion of a microfeature workpiece W (omitted in FIGS. 10-14 for ease of understanding).
  • Each of the slots 222 in a particular column 220 may be positioned relative to a corresponding slot 222 in each of the other columns 220 so the three corresponding slots 222 can cooperatively support a microfeature workpiece W.
  • the gas distributor 130 includes several gas delivery conduits 134 , each of which comprises an internal lumen of one of the columns 120 .
  • the microfeature workpiece holder 200 of FIGS. 10-14 in contrast, has substantially solid columns 220 .
  • the columns 220 may have a solid interior with a series of spaced-apart slots 222 formed in an inwardly-oriented surface of the column.
  • the microfeature workpiece holder 200 also includes a gas distributor, generally designated as reference number 230 , that includes a series of gas delivery conduits 234 .
  • a first gas delivery conduit 234 a is carried with respect to the first column 220 a
  • a second gas delivery conduit 234 b is carried with respect to a second column 220 b
  • a third gas delivery conduit 234 c is carried with respect to a third column 220 c .
  • the position of each of the gas delivery conduits 234 may be fixed relative to the adjacent column 220 in any desired fashion.
  • the gas delivery conduits 234 may be supported entirely by the base 210 and/or the cap 250 . In the particular embodiments shown in FIGS.
  • each of the conduits 234 is received within and passes through an opening in the base 210 , but the opposite end of the gas delivery conduit 234 is spaced from the cap 250 .
  • each of the conduits 234 is attached to the cap 250 , as well.
  • each of the gas delivery conduits 234 in the illustrated embodiment is positioned immediately adjacent to one of the columns 220 .
  • each of the conduits 234 may be attached to or otherwise physically supported by the adjacent column 220 .
  • the conduits 234 may be attached only to an adjacent column 220 without being directly attached to the base 210 or the cap 250 .
  • the gas delivery conduits 234 need not be positioned close to any of the columns 220 .
  • one gas delivery conduit 234 may be positioned between the first two columns 220 a and 220 b and a second gas delivery conduit 234 may be positioned between the second and third columns 220 b and 220 c.
  • the gas delivery conduits 234 provide a fluid pathway between a gas inlet 240 and a plurality of gas outlets 238 .
  • the gas delivery conduits 234 may include a longitudinally extending channel 235 and a series of transverse pathways 236 extending transversely inwardly toward the axis (axis A in FIG. 10 ) of the microfeature workpiece holder 200 .
  • the outlets 238 of these pathways 236 may be positioned laterally along the length of the respective conduit 234 so each outlet 238 can direct a flow of process gas intermediate a pair of adjacent slots 222 .
  • these outlets 238 would, therefore, direct a flow of process gas into the process space (S in FIG. 5 ) defined between two adjacent microfeature workpieces W.
  • the gas distributor 230 of FIGS. 10-14 includes a separate gas inlet 240 for each of the gas delivery conduits 234 .
  • two or more of the gas delivery conduits 234 may communicate with a common inlet 240 via a manifold (not shown) in the base 210 , similar to the manifold 132 in the microfeature workpiece holder 100 discussed above.
  • the microfeature workpiece holders 100 , 102 , and 200 may be used for a variety of processes.
  • FIGS. 15-17 schematically illustrate select microfeature workpiece processing systems that employ microfeature workpiece holders to process a batch of microfeature workpieces simultaneously.
  • the microfeature workpiece holders employed in these processing systems may, in select embodiments, employ features of the microfeature workpiece holders 100 , 102 , and/or 200 described above.
  • FIG. 15 schematically illustrates a microfeature workpiece processing system 300 in accordance with one embodiment of the invention.
  • This system 300 includes a reactor 310 adapted to receive a plurality of microfeature workpieces W in a holder.
  • the workpieces W are carried in a workpiece holder 100 substantially as described above in connection with FIGS. 4-8 .
  • the reactor 310 generally includes an enclosure 320 defined by a wall 322 and a holder-supporting platform 326 .
  • the wall 322 may sealingly engage the platform 326 , illustrated schematically in FIG. 15 as an O-ring seal 324 . This will define a process chamber 325 within which the microfeature workpiece holder 100 may be received.
  • the reactor 310 may also include a heater 330 and a vacuum 340 that communicates with the process chamber 325 by a vacuum line 342 .
  • the heater 330 may be of any conventional design, e.g., an inductance heater or the like.
  • a gas supply system 350 of the reactor 310 generally includes a plurality of individual gas supplies 352 , with at least one gas supply 352 provided for each of the process gases used in processing workpieces W in the system 300 .
  • the illustrated embodiment includes a first gas supply 352 a to deliver a first gas (GAS 1 ), a second gas supply 352 b adapted to deliver a second gas (GAS 2 ), and a third gas supply 352 c adapted to deliver a third gas (GAS 3 ).
  • ALD ALD
  • the first gas supply 352 a may provide a supply of the first precursor A
  • the second gas supply 352 b may provide a supply of the second precursor B
  • the third gas supply 352 c may provide a supply of the purge gas.
  • Each of the individual gas supplies 352 a - c may be provided with an individual gas supply line 356 a - c , respectively. These individual supply lines 356 a - c are coupled to a primary gas supply line 356 .
  • each of the individual gas supply lines 356 a - c is provided with a selectively controllable secondary valve 354 a - c , respectively. These secondary valves may be used to control the flow rate of the gas from each of the individual gas supply 352 into the main gas supply line 356 , hence regulating the composition and flow rate of gas to the gas supply line 356 .
  • the gas distributor 130 of the holder 100 may be coupled to the gas supply system 350 in a variety of manners.
  • a gas fitting 360 in the platform 326 may be releasably coupled to the gas inlet 140 of the holder 100 .
  • This fitting 360 is coupled to the remainder of the gas supply 350 by a supply line 356 .
  • the flow of gas through the supply line 356 to the gas distributor 130 of the holder 100 may be controlled, at least in part, by a main valve 362 that is under the control of a controller 370 .
  • the controller 370 may take any of a variety of forms.
  • the controller 30 comprises a computer having a programmable processor programmed to control operation of the system 300 to deposit material on the workpieces W.
  • the controller 370 may also be operatively coupled to the secondary valves 354 a - c to control the composition of the gas delivered to the main valve 362 via the supply line 356 .
  • the controller 370 may also be coupled to the vacuum 340 (as illustrated) or any other component of the processing system 300 , e.g., the heater 330 .
  • FIG. 16 schematically illustrates a microfeature workpiece processing system 302 in accordance with an alternative embodiment of the invention.
  • This processing system 302 is similar in many respects to the processing system 300 of FIG. 15 and like reference numbers are used in FIGS. 15 and 16 to indicate like elements.
  • the processing system 300 uses the microfeature workpiece holder 100 of FIGS. 4-8 .
  • the workpieces W in processing system 302 of FIG. 16 are instead held in the microfeature workpiece holder 102 of FIG. 9 .
  • the gas distributor 131 of this holder 102 has a series of independent gas delivery conduits 134 , each of which has a separate gas inlet 140 .
  • the gas supply 351 of FIG. 16 is similar in many respects to the gas supply 350 of FIG. 15 .
  • each of the individual gas supply lines 356 a - c were joined into a main supply line 356 for delivery to a single gas fitting 360 .
  • each of the individual gas supplies 352 a - c is independently coupled to a separate gas fitting 360 a - c , respectively.
  • a first gas fitting 360 a may releasably couple the first gas supply line 356 a to the first inlet 140 a
  • a second gas fitting 360 b may releasably couple a second gas supply line 356 b to the second gas inlet 140 b
  • a third gas fitting 360 c may releasably couple a third gas supply line 356 c to the third gas inlet 140 c .
  • the flow of gas from each of the gas supplies 352 a - c may be independently controlled by a separate valve 354 a - c through a common controller 370 .
  • each of the process gases through an independent, dedicated gas delivery conduit 134 can avoid the need to purge the gas delivery conduit 134 after delivering one precursor and before delivering another precursor. This may be advantageous in CVD applications because it permits the precursor gases to be introduced separately into the process chamber 325 , more effectively restricting the deposition of the reactant to the vicinity of the workpieces W.
  • FIG. 17 illustrates a microfeature workpiece processing system 400 in accordance with still another embodiment of the invention.
  • This processing system 400 generally includes a reactor 410 having a processing enclosure 420 within which a workpiece holder (e.g., workpiece holder 100 of FIGS. 4-8 ) carrying one or more workpieces W may be received.
  • the processing enclosure 420 is generally defined by an outer wall 422 and a platform 426 adapted to carry the workpiece holder 100 .
  • This processing enclosure 420 also includes a liner 424 that functionally divides the process chamber 425 into a main chamber 427 and a generally annular exhaust 428 coupled to the vacuum 440 by a vacuum line 442 .
  • the reactor 410 may also include a heater 430 .
  • the processing system 400 may include a first gas supply system 450 and a second gas supply system 460 .
  • the first gas supply system 450 includes a plurality of individual gas supplies 452 a - c , each of which may include a separate process gas.
  • the first gas supply 452 a may include a precursor gas A (GAS 1 ) and a second gas supply 452 b may provide a supply of a second precursor gas B (GAS 2 ).
  • the first gas supply system 450 may also include a supply of a purge gas (GAS 3 ) in a third gas supply.
  • Each of these individual gas supplies 452 a - c may be coupled to a common gas supply line 456 .
  • a separate valve 454 a , 454 b , or 454 c may be operated by a controller 470 to control the flow of gas from the individual gas supplies 452 a , 452 b , and 452 c , respectively.
  • the gas supply line 456 of the first gas supply system 450 may be in fluid communication with one or more gas supply nozzles 458 .
  • the gas supply nozzle 458 may be adapted to deliver a flow of process gas to the main chamber 427 outside the process spaces S of the process chamber 425 . This gas may flow generally longitudinally through the main chamber 427 then out of the process chamber 425 via the annular exhaust 428 .
  • This gas supply system 450 is, in some respects, analogous to the gas supply 30 and gas nozzle 32 illustrated in FIG. 3 .
  • the microfeature workpiece processing system 400 also includes a second gas supply system 460 .
  • This gas supply system 460 may be adapted to deliver one or more process gases to the process chamber 425 via the gas distributor 130 of the workpiece holder 100 . Gas will exit the outlets 138 of the gas distributor 130 in a direction transverse to the longitudinally directed flow from the nozzle 458 .
  • the second gas supply system 460 includes a single individual gas supply 462 containing a purge gas (GAS 3 ).
  • the individual gas supply 462 is coupled to a gas fitting 468 in the platform 426 by a valve 464 operatively linked to the controller 470 .
  • the gas fitting 468 is adapted to be releasably coupled to the inlet 140 of the gas distributor 130 .
  • the second gas supply system 460 shown in FIG. 16 only provides a supply of a single purge gas, this gas supply system 460 may include two or more individual gas supplies 462 to provide a variety of different process gas compositions to the gas distributor 130 .
  • a method of processing microfeature workpieces may include positioning a microfeature workpiece holder 100 in a process chamber 325 (using the processing system 300 as an example).
  • the workpiece holder 100 may support a plurality of workpieces W in a spaced-apart relationship to define a process space S between each pair of adjacent workpieces W.
  • the microfeature workpiece holder 100 may be positioned in the process chamber by placing the holder 100 on a platform 326 .
  • the gas fitting 360 may be coupled to the gas inlet 140 of the gas distributor 130 at this time. Once the holder 100 is in place on the platform 326 , the platform 326 may be moved toward the wall 322 until the seals 324 substantially seal the enclosure 320 to define the process chamber 325 .
  • This embodiment also includes delivering at least a first process gas and a second process gas to the process chamber 325 .
  • the first process gas e.g., a first precursor A
  • the first process gas may be delivered to the process chamber 325 by opening the first regulator valve 354 a and the main valve 362 . This will allow the first gas to flow into the gas distributor 130 and outwardly into the process chamber 325 via the outlets 138 . As noted above, these outlets 138 will deliver a flow of the process gas transversely into the process spaces S between the workpieces W.
  • the second process gas may be delivered to the process chamber 325 either simultaneously with delivery of the first process gas (e.g., for CVD) or at a different time.
  • a flow of the first precursor gas A from the first supply 352 a may be terminated by closing the valve 354 a .
  • a flow of purge gas from the third gas supply 352 c may be delivered into the process spaces S by opening the associated regulator valve 154 c .
  • the regulator valve 154 c may be closed and a flow of the second precursor gas B from the second gas supply 352 b may then be introduced via the nozzles 138 .
  • the controller 370 may be used to deposit a reaction product via an ALD process such as that discussed above in connection with FIGS. 1 and 2 .
  • Delivering process gases transversely into the process space S between the workpieces W via the outlets 138 can fairly rapidly change the gas present in the process space S.
  • one of the primary mechanisms for gas exchange in the spaces between the workpieces W is diffusion.
  • Delivering a transverse flow of gas in accordance with embodiments of the present invention can deliver a more uniform application of the precursors to be chemisorbed or reacted on the surface of the workpiece W.
  • Delivering the purge gas through the outlets 138 will also provide a more active scrubbing of the gas in the process spaces S, helping clear the process spaces S of any excess precursor gas fairly quickly. This can materially speed up the purge phases of the process illustrated in FIG. 2 .
  • the processing system 300 of FIG. 15 introduces process gases through a single inlet 140 and the gas distributor 130 of the workpiece holder 100 .
  • the processing system 302 of FIG. 16 allows each of the process gases (gas 1-3 ) to be introduced into the process chamber 325 through a separate gas delivery conduit 134 a , 134 b , or 134 c .
  • This can be particularly useful in both ALD and CVD applications.
  • By separating the pathways for delivery of the reaction precursors into separate conduits 134 less care need be taken to purge the gas delivery system of the first precursor before introducing a second precursor through the same gas delivery system.
  • the gas can be introduced separately and allowed to mix in the process space S and react in the immediate vicinity of the workpiece W. This may facilitate use of more highly reactive precursors, which may be problematic if the precursors are introduced together through a common gas delivery path.
  • one of the process gases may be introduced through the gas distributor 130 of the workpiece holder 100 , but the second process gas may be delivered through a delivery conduit independent of the gas distributor 130 .
  • the precursor gases may be introduced from separate individual gas supplies 452 a and 452 b through the gas delivery nozzle 458 . This will deliver the precursors to the process spaces S between the workpieces W in a fashion analogous to that in the ALD reactor 10 of FIG. 3 .
  • the purge gas gas 3
  • Delivering the purge gas through the outlets 138 of the workpiece holder gas distributor 130 will help positively scrub the processing spaces S, fairly rapidly sweeping away any excess precursor.

Abstract

The present disclosure describes apparatus and methods for processing microfeature workpieces, e.g., by depositing material on a microelectronic semiconductor using atomic layer deposition. Some of these apparatus include microfeature workpiece holders that include gas distributors. One exemplary implementation provides a microfeature workpiece holder adapted to hold a plurality of microfeature workpieces. This workpiece holder includes a plurality of workpiece supports and a gas distributor. The workpiece supports are adapted to support a plurality of microfeature workpieces in a spaced-apart relationship to define a process space adjacent a surface of each microfeature workpiece. The gas distributor includes an inlet and a plurality of outlets, with each of the outlets positioned to direct a flow of process gas into one of the process spaces.

Description

    TECHNICAL FIELD
  • The present invention is related to equipment and methods for processing microfeature workpieces, e.g., semiconductor wafers. Aspects of the invention have particular utility in connection with batch deposition of materials on microfeature workpieces, such as by atomic layer deposition or chemical vapor deposition.
  • BACKGROUND
  • Thin film deposition techniques are widely used in the manufacturing of microfeatures to form a coating on a workpiece that closely conforms to the surface topography. In the context of microelectronic components, for example, the size of the individual components in the devices on a wafer is constantly decreasing, and the number of layers in the devices is increasing. As a result, the density of components and the aspect ratios of depressions (e.g., the ratio of the depth to the size of the opening) are increasing. The size of such wafers is also increasing to provide more real estate for forming more dies (i.e., chips) on a single wafer. Many fabricators are currently transitioning from 200 mm to 300 mm workpieces, and even larger workpieces will likely be used in the future. Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms, and corners in deep depressions that have very small openings.
  • One widely used thin film deposition technique is chemical vapor deposition (CVD). In a CVD system, one or more precursors that are capable of reacting to form a solid thin film are mixed in a gas or vapor state, and then the precursor mixture is presented to the surface of the workpiece. The surface of the workpiece catalyzes the reaction between the precursors to form a solid thin film at the workpiece surface. A common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • Although CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials already formed on the workpiece. Implanted or doped materials, for example, can migrate within silicon workpieces at higher temperatures. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the intended surface of the workpiece. This is undesirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used.
  • Atomic layer deposition (ALD) is another thin film deposition technique. FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes. Referring to FIG. 1A, a layer of gas molecules A coats the surface of a workpiece W. The layer of A molecules is formed by exposing the workpiece W to a precursor gas containing A molecules, and then purging the chamber with a purge gas to remove excess A molecules. This process can form a monolayer of A molecules on the surface of the workpiece W because the A molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. The layer of A molecules is then exposed to another precursor gas containing B molecules. The A molecules react with the B molecules to form an extremely thin layer of solid material C on the workpiece W. The chamber is then purged again with a purge gas to remove excess B molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques. A typical cycle includes (a) exposing the workpiece to the first precursor A, (b) purging excess A molecules, (c) exposing the workpiece to the second precursor B, and then (d) purging excess B molecules. The purge process typically comprises introducing a purge gas, which is substantially non-reactive with either precursor, and exhausting the purge gas and excess precursor from the reaction chamber in a pumping step. In actual processing, several cycles are repeated to build a thin film on a workpiece having the desired thickness. For example, each cycle may form a layer having a thickness of approximately 0.5-1.0 Å, and thus it takes approximately 60-120 cycles to form a solid layer having a thickness of approximately 60 Å.
  • One drawback of ALD processing is that it has a relatively low throughput compared to CVD techniques. For example, ALD processing typically takes several seconds to perform each A-purge-B-purge cycle. This results in a total process time of several minutes to form a single thin layer of only 60 Å. In contrast to ALD processing, CVD techniques only require about one minute to form a 60 Å thick layer. In single-wafer processing chambers, ALD processes can be 500%-2000% longer than corresponding single-wafer CVD processes. The low throughput of existing single-wafer ALD techniques limits the utility of the technology in its current state because ALD may be a bottleneck in the overall manufacturing process.
  • One promising solution to increase the throughput of ALD processing is processing a plurality of wafers (e.g., 20-250) simultaneously in a batch process. FIG. 3 schematically illustrates a conventional batch ALD reactor 10 having a processing enclosure 20 coupled to a gas supply 30 and a vacuum 40. The processing enclosure 20 generally includes an outer wall 22 and an annular liner 24. A platform 60 seals against the outer wall or some other part of the enclosure 20 via a seal 62 to define a process chamber 25. Gas is introduced from the gas supply 30 to the process chamber 25 by a gas nozzle 32 that introduces gas into the main chamber 28 of the process chamber 25. Under influence of the vacuum 40, the gas introduced via the gas nozzle 32 will flow through the main chamber 28 and outwardly into the annular exhaust 26 to be drawn out with a vacuum 40. A plurality of workpieces W, e.g., semiconductor wafers, may be held in the processing enclosure in a workpiece holder 70. In operation, a heater 50 heats the workpieces W to a desired temperature and the gas supply 30 delivers the first precursor A, the purge gas, and the second precursor B as discussed above in connection with FIG. 2.
  • However, when depositing material simultaneously on a large number of workpieces in an ALD reactor 10 such as that shown in FIG. 3, it can be difficult to uniformly deposit the precursors A and B across the surface of each of the workpieces W. Removing excess precursor from the spaces between the workpieces W can also be problematic. In an ALD reactor such as that shown in FIG. 3, the primary mechanism for removing residual precursor that is not chemisorbed on the surface of one of the workpieces is diffusion. This is not only a relatively slow process that significantly reduces the throughput of the reactor 10, but it also may not adequately remove residual precursor. As such, conventional batch ALD reactors may have a low throughput and form non-uniform films.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in ALD processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using ALD techniques in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for depositing a material onto a microfeature workpiece in accordance with the prior art.
  • FIG. 4 is an isometric view of a microfeature workpiece holder in accordance with one embodiment of the invention.
  • FIG. 5 is a side elevation view of the microfeature workpiece holder of FIG. 4.
  • FIG. 6 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4 and 5 taken along line 6-6 of FIG. 5.
  • FIG. 7 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4-6 taken along line 7-7 of FIG. 6.
  • FIG. 8 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 4-7 taken along line 8-8 of FIG. 6.
  • FIG. 9 is a schematic side elevation view of a microfeature workpiece holder in accordance with another embodiment of the invention.
  • FIG. 10 is a schematic isometric view of a microfeature workpiece holder in accordance with yet another embodiment of the invention.
  • FIG. 11 is a schematic side elevation view of the microfeature workpiece holder of FIG. 10.
  • FIG. 12 is a schematic cross-sectional view of the microfeature workpiece holder of FIGS. 10 and 11 taken along line 12-12 of FIG. 11.
  • FIG. 13 is a schematic cross-sectional view of a portion of the microfeature workpiece holder of FIGS. 10-12 taken along line 13-13 of FIG. 12.
  • FIG. 14 is a schematic cross-sectional view of a portion of microfeature workpiece holder of FIGS. 10-13 taken along line 14-14 of FIG. 12.
  • FIG. 15 is a schematic illustration of a microfeature workpiece processing system in accordance with a further embodiment of the invention.
  • FIG. 16 is a schematic illustration of a microfeature workpiece processing system in accordance with another embodiment of the invention.
  • FIG. 17 is a schematic illustration of a microfeature workpiece processing system in accordance with yet another embodiment of the invention.
  • DETAILED DESCRIPTION
  • A. Overview
  • Various embodiments of the present invention provide microfeature workpiece holders, systems including processing chambers, and methods for depositing materials onto microfeature workpieces. Many specific details of the invention are described below with reference to reactors for depositing materials onto microfeature workpieces. The term “microfeature workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, read/write components, and other devices are fabricated. For example, microfeature workpieces can be semiconductor wafers such as silicon or gallium arsenide wafers, glass substrates, insulative substrates, and many other types of materials. The microfeature workpieces typically have submicron features with dimensions of 0.05 microns or greater. Furthermore, the term “gas” is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquefied or solidified by compression at a constant temperature). Several embodiments in accordance with the invention are set forth in FIGS. 4-17 and the following text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art will understand, however, that the invention may have additional embodiments, or that the invention may be practiced without several of the details of the embodiments shown in FIGS. 4-17.
  • Certain embodiments of the invention provide microfeature workpiece holders that are adapted to hold a plurality of microfeature workpieces, e.g., for chemical processing such as ALD. These workpiece holders may include a gas distributor comprising one or more gas delivery conduits. The gas delivery conduit may have an inlet and a plurality of outlets, which may be positioned to direct a flow of process gas into the spaces between the workpieces. This can facilitate more uniform distribution of reaction precursors on a microfeature workpiece surface, for example. The distribution of these outlets may also facilitate rapid and effective purging of the space between the workpieces.
  • Other embodiments of the invention provide microfeature workpiece processing systems that may include an enclosure defining a process chamber, a removable microfeature workpiece holder disposed in the processing chamber, and a process gas supply conduit. The workpiece holder may be similar to the workpiece holders described above and include a gas distributor having outlets adapted to direct a flow of gas from the process gas supply between the microfeature workpieces.
  • Other embodiments of the invention provide methods of depositing materials on microfeature workpieces. Although a number of methods are described below, one method having particular utility in connection with ALD includes positioning a microfeature workpiece holder in a process chamber, with the microfeature workpiece holder supporting a plurality of workpieces to define process spaces between each pair of adjacent workpieces. A first precursor gas may be delivered to the process chamber to deposit a quantity of the first precursor gas on a surface of each of the microfeature workpieces. A purge gas may be delivered to the microfeature workpiece holder. The microfeature workpiece holder may carry a gas distributor that delivers a first flow of the purge gas transversely into the space between a pair of workpieces and delivers a second flow of the purge gas transversely into a process space between another pair of workpieces. The method may further include delivering a second precursor gas to the process chamber; the second precursor gas reacts with the quantity of the first precursor gas to form a layer of material on the surfaces of the workpieces.
  • For ease of understanding, the following discussion is subdivided into three areas of emphasis. The first section discusses microfeature workpiece holders in accordance with selected embodiments of the invention. The second section describes aspects of microfeature workpiece processing systems in other embodiments of the invention. The third section discusses outlines methods in accordance with other aspects of the invention.
  • B. Microfeature Workpiece Holders
  • FIGS. 4-8 schematically illustrate a microfeature workpiece holder 100 in accordance with one embodiment of the invention. This microfeature workpiece holder 100 generally includes a base, a plurality of columns 120, and a cap 150. The particular embodiment shown in FIGS. 4-8 employs 3 columns, namely columns 120 a, 120 b and 120 c. The base 110 and the cap 150 are each generally semicircular in shape and the columns 120 a-c are spaced approximately 90° from one another so that the two outer columns 120 a and 120 c are generally diametrically opposed to one another. It should be recognized that this is simply one possible embodiment that may be useful in connection with microfeature workpieces that are generally circular in shape. In other embodiments, more or fewer columns 120 may be employed. In addition, the base 110 and/or the cap 150 may take the form of a solid plate or disk or have any other desired shape. In other embodiments, only one of the base 110 or cap 150 is employed. For example, the cap 150 may be omitted and the base 110 may provide the requisite support for the columns 120.
  • Each of the columns 120 in the microfeature workpiece holder 100 is generally circular in cross-section. In other embodiments, the columns may have other shapes. For example, the columns 120 may be generally wedge-shaped, such as those suggested in PCT International Publication No. WO 02/095807 entitled, “Silicon Fixtures Useful for High Temperature Wafer Processing,” the teachings of which are incorporated herein by reference.
  • Each of the columns 120 includes a plurality of workpiece supports spaced longitudinally along its length. In the illustrated embodiment, these workpiece supports comprise slots 122 that extend into the body of the column 120. In other embodiments, the workpiece holders may comprise inwardly-extending fingers, rings, clamps, or other workpiece-supporting structures known in the art, e.g., supports used in semiconductor wafer handling and processing equipment. FIGS. 4 and 5 show columns with a limited number of slots 122. Depending on the application in which the workpiece holder 100 is used, the columns 120 may include fewer or more slots.
  • The size and shape of the slots 122 can be modified as desired. In one embodiment, each of the slots 122 is adapted to receive an edge portion of one of the microfeature workpieces (as suggested in FIG. 5). A single slot 122 may not be deep enough to receive a sufficient portion of a microfeature workpiece W to support the workpiece W. In the illustrated embodiment, the slots 122 on each of the columns 120 a-c are positioned relative to one another to cooperatively support the workpieces W. As illustrated in FIG. 5, each slot 122 may be generally horizontally aligned with a corresponding one of the slots 122 on each of the other two columns 120. This permits a workpiece W to be supported at three separate peripheral locations to enhance the support of each of the workpieces W. If these slots 122 are spaced the same distance along the length of each of the columns 120, the slots 122 may support a plurality of microfeature workpieces W in a spaced-apart, generally parallel relationship. The spaced-apart relationship of the workpieces W will define a process space S between each of the workpieces W.
  • As shown schematically in FIG. 5, the microfeature workpiece holder 100 also includes a gas distributor 130. This gas distributor 130 includes at least one gas delivery conduit 134 adapted to direct a flow of process gas relative to the workpieces W. In one embodiment, a single gas delivery conduit 134 is employed. In the particular embodiment shown schematically in FIG. 5, the gas distributor 130 includes a manifold 132 connecting a plurality of gas delivery conduits 134 a-c. The manifold 132 may comprise an arcuate fluid passageway formed in the arcuate base 110 that provides fluid communication between a gas inlet 140 in the base 110 and each of the gas delivery conduits 134 a-c. In the illustrated embodiment, a separate gas delivery conduit 134 is associated with each of the columns 120. Hence, a first gas delivery conduit 134 a is carried by the first column 120 a, a second gas delivery conduit 134 b is carried by a second column 120 b, and a third gas delivery conduit 134 c is carried by the third column 120 c. In some embodiments, one or more of the columns 120 may not include a gas delivery conduit 134. In other embodiments, more than one gas delivery conduit 134 may be carried by each column 120.
  • As best seen in FIGS. 6-8, the gas delivery conduit 134 b may comprise an internal lumen formed in the second column 120 b. (Although FIGS. 6-8 only illustrate the second column 120 b, the structure of the other columns 120 a and 120 c may be substantially the same. Hence, the following discussion generically refers to a column 120 and a gas delivery conduit 134.) The gas delivery conduit 134 includes a plurality of transverse passages 136, each of which directs fluid from the gas delivery conduit 134 to one of a plurality of outlets 138. These outlets 138 are disposed between two adjacent slots 122. The gas delivery conduit 134, including each of the transverse passages 136 is generally circular in cross-section and the outlets 138 define generally circular openings. The size and shape of the gas delivery conduits 134 and outlets 138 in the microfeature workpiece holder 100 can be varied, though. In other embodiments, for example, the outlets 138 may comprise ellipses or slots having a transverse dimension longer than a longitudinal dimension or include a directional nozzle (not shown).
  • When the microfeature workpieces W are loaded in the microfeature workpiece holder 100, they will define a series of process spaces S. At least one outlet 138 is desirably associated with each of these process spaces S. In the illustrated embodiment, one outlet 138 is positioned between each pair of adjacent slots 122 on each of the columns 120 a-c. As a consequence, three outlets 138 are associated with each process space, with one outlet being associated with each of the columns 120 a-c supporting the workpieces W. Directing transverse gas flows into the processing spaces S can further enhance the flow of process gas from the gas distributor 130 into and through the processing spaces S.
  • Referring back to FIG. 4, each of the outlets 138 may be directed inwardly toward a central axis A (in FIG. 4) of the microfeature workpiece holder 100. Consequently, when the workpieces W are positioned in the holder 100, each of the outlets 138 will be positioned to direct a flow of process gas inwardly toward a center of one of the workpieces W. This is expected to further enhance the uniformity of material deposition and/or decrease the time needed to purge the system.
  • The microfeature workpiece holder 100 can be formed of any material that is suitable in light of the microfeature workpieces W with which it will be used and the anticipated conditions of use. If the microfeature workpieces W comprise semiconductor wafers, for example, the microfeature workpiece holder 100 may be formed from glass, fused silica (e.g., fused quartz), or polysilicon (i.e., polycrystalline silicon), among other materials. For other types of applications that may be less sensitive to contamination, the microfeature workpiece holder 100 may be formed of a metal, a ceramic, or a suitably stiff and durable polymeric material.
  • FIG. 9 schematically illustrates a microfeature workpiece holder 102 in accordance with another embodiment of the invention. This microfeature workpiece holder 102 is similar in many respects to the microfeature workpiece holder 100 shown in FIGS. 4-8 and like reference numbers are used in FIGS. 4-9 to illustrate like elements.
  • One difference between the microfeature workpiece holders 100 and 102 relates to the design of the gas distributor. The gas distributor 130 shown in FIG. 5 employs a single gas inlet 140 that communicates with each of the gas delivery conduits 134 a-c through a common manifold 132. The microfeature workpiece holder 102 of FIG. 9 does not include a manifold 132. Instead, the gas distributor 131 in FIG. 9 has a separate gas inlet 140 for each of the gas delivery conduits 134. Hence, one inlet 140 a is in fluid communication with a first one of the gas delivery conduits 134 a, a second gas inlet 140 b is in fluid communication with a second gas delivery conduit 134 b, and a third gas inlet 140 c is in fluid communication with a third gas delivery conduit 134 c. In this design, each of the gas delivery conduits 134 may be adapted to delivery a process gas flow that is independent of the process gas flow delivered through each of the other conduits 134. As noted below, this may permit a different process gas to be delivered through each of the conduits 134 a-c. In the context of the ALD process outlined above in connection with FIGS. 1 and 2, for example, one of the gas delivery conduits (e.g., conduit 134 a) may be dedicated to delivering the first precursor gas A, a second one of the gas delivery conduits (e.g., conduit 134 b) may be used to deliver the second precursor gas B, and the third gas delivery conduit 134 c may be used to deliver the purge gas.
  • FIGS. 10-14 schematically illustrate a microfeature workpiece holder 200 in accordance with another embodiment of the invention. This microfeature workpiece holder 200 generally includes a base 210, a cap 250, and at least one column 220 extending between the base 210 and the cap 250. The illustrated embodiment employs three columns 220 a-220 c that are spaced generally equiangularly in a manner similar to that described above in connection with the microfeature workpiece holder 100 of FIG. 4. Each of the columns 220 a-c may include a series of longitudinally-spaced slots 222 oriented inwardly toward a central axis A of the microfeature workpiece holder 200. Each of these slots 222 may be adapted to receive an edge portion of a microfeature workpiece W (omitted in FIGS. 10-14 for ease of understanding). Each of the slots 222 in a particular column 220 may be positioned relative to a corresponding slot 222 in each of the other columns 220 so the three corresponding slots 222 can cooperatively support a microfeature workpiece W.
  • One of the differences between the microfeature workpiece holders 100 and 200 of FIGS. 4-8 and 10-14, respectively, relates to the relationship of the gas distributor to the columns of the workpiece holder. In the workpiece holder 100 of FIGS. 4-8, the gas distributor 130 includes several gas delivery conduits 134, each of which comprises an internal lumen of one of the columns 120. The microfeature workpiece holder 200 of FIGS. 10-14, in contrast, has substantially solid columns 220. As suggested in FIGS. 12 and 14, the columns 220 may have a solid interior with a series of spaced-apart slots 222 formed in an inwardly-oriented surface of the column.
  • Referring to FIG. 11, the microfeature workpiece holder 200 also includes a gas distributor, generally designated as reference number 230, that includes a series of gas delivery conduits 234. In particular, a first gas delivery conduit 234 a is carried with respect to the first column 220 a, a second gas delivery conduit 234 b is carried with respect to a second column 220 b, and a third gas delivery conduit 234 c is carried with respect to a third column 220 c. The position of each of the gas delivery conduits 234 may be fixed relative to the adjacent column 220 in any desired fashion. In one embodiment, the gas delivery conduits 234 may be supported entirely by the base 210 and/or the cap 250. In the particular embodiments shown in FIGS. 10 and 11, a base portion 242 of each of the conduits 234 is received within and passes through an opening in the base 210, but the opposite end of the gas delivery conduit 234 is spaced from the cap 250. In another embodiment, each of the conduits 234 is attached to the cap 250, as well. As shown in FIGS. 11 and 12, each of the gas delivery conduits 234 in the illustrated embodiment is positioned immediately adjacent to one of the columns 220. In such an arrangement, each of the conduits 234 may be attached to or otherwise physically supported by the adjacent column 220. In other embodiments (not shown), the conduits 234 may be attached only to an adjacent column 220 without being directly attached to the base 210 or the cap 250. In still other embodiments, the gas delivery conduits 234 need not be positioned close to any of the columns 220. For example, one gas delivery conduit 234 may be positioned between the first two columns 220 a and 220 b and a second gas delivery conduit 234 may be positioned between the second and third columns 220 b and 220 c.
  • The gas delivery conduits 234 provide a fluid pathway between a gas inlet 240 and a plurality of gas outlets 238. As illustrated in FIG. 13, the gas delivery conduits 234 (in this case, gas delivery conduit 234 b) may include a longitudinally extending channel 235 and a series of transverse pathways 236 extending transversely inwardly toward the axis (axis A in FIG. 10) of the microfeature workpiece holder 200. The outlets 238 of these pathways 236 may be positioned laterally along the length of the respective conduit 234 so each outlet 238 can direct a flow of process gas intermediate a pair of adjacent slots 222. When the microfeature workpieces W (not shown in FIGS. 10 and 11) are positioned in the microfeature workpiece holder 200, these outlets 238 would, therefore, direct a flow of process gas into the process space (S in FIG. 5) defined between two adjacent microfeature workpieces W.
  • The gas distributor 230 of FIGS. 10-14 includes a separate gas inlet 240 for each of the gas delivery conduits 234. In an alternative embodiment, two or more of the gas delivery conduits 234 may communicate with a common inlet 240 via a manifold (not shown) in the base 210, similar to the manifold 132 in the microfeature workpiece holder 100 discussed above.
  • C. Microfeature Workpiece Processing Systems
  • The microfeature workpiece holders 100, 102, and 200 may be used for a variety of processes. FIGS. 15-17 schematically illustrate select microfeature workpiece processing systems that employ microfeature workpiece holders to process a batch of microfeature workpieces simultaneously. The microfeature workpiece holders employed in these processing systems may, in select embodiments, employ features of the microfeature workpiece holders 100, 102, and/or 200 described above.
  • FIG. 15 schematically illustrates a microfeature workpiece processing system 300 in accordance with one embodiment of the invention. This system 300 includes a reactor 310 adapted to receive a plurality of microfeature workpieces W in a holder. In the specific embodiment shown in FIG. 15, the workpieces W are carried in a workpiece holder 100 substantially as described above in connection with FIGS. 4-8.
  • The reactor 310 generally includes an enclosure 320 defined by a wall 322 and a holder-supporting platform 326. The wall 322 may sealingly engage the platform 326, illustrated schematically in FIG. 15 as an O-ring seal 324. This will define a process chamber 325 within which the microfeature workpiece holder 100 may be received. The reactor 310 may also include a heater 330 and a vacuum 340 that communicates with the process chamber 325 by a vacuum line 342. The heater 330 may be of any conventional design, e.g., an inductance heater or the like.
  • A gas supply system 350 of the reactor 310 generally includes a plurality of individual gas supplies 352, with at least one gas supply 352 provided for each of the process gases used in processing workpieces W in the system 300. The illustrated embodiment includes a first gas supply 352 a to deliver a first gas (GAS1), a second gas supply 352 b adapted to deliver a second gas (GAS2), and a third gas supply 352 c adapted to deliver a third gas (GAS3). In the context of ALD such as that discussed above in connection with FIGS. 1 and 2, the first gas supply 352 a may provide a supply of the first precursor A, the second gas supply 352 b may provide a supply of the second precursor B, and the third gas supply 352 c may provide a supply of the purge gas. Each of the individual gas supplies 352 a-c may be provided with an individual gas supply line 356 a-c, respectively. These individual supply lines 356 a-c are coupled to a primary gas supply line 356. In the illustrated embodiment, each of the individual gas supply lines 356 a-c is provided with a selectively controllable secondary valve 354 a-c, respectively. These secondary valves may be used to control the flow rate of the gas from each of the individual gas supply 352 into the main gas supply line 356, hence regulating the composition and flow rate of gas to the gas supply line 356.
  • The gas distributor 130 of the holder 100 may be coupled to the gas supply system 350 in a variety of manners. In the schematic illustration of FIG. 15, a gas fitting 360 in the platform 326 may be releasably coupled to the gas inlet 140 of the holder 100. This fitting 360 is coupled to the remainder of the gas supply 350 by a supply line 356.
  • The flow of gas through the supply line 356 to the gas distributor 130 of the holder 100 may be controlled, at least in part, by a main valve 362 that is under the control of a controller 370. The controller 370 may take any of a variety of forms. In one embodiment, the controller 30 comprises a computer having a programmable processor programmed to control operation of the system 300 to deposit material on the workpieces W. The controller 370 may also be operatively coupled to the secondary valves 354 a-c to control the composition of the gas delivered to the main valve 362 via the supply line 356. The controller 370 may also be coupled to the vacuum 340 (as illustrated) or any other component of the processing system 300, e.g., the heater 330.
  • FIG. 16 schematically illustrates a microfeature workpiece processing system 302 in accordance with an alternative embodiment of the invention. This processing system 302 is similar in many respects to the processing system 300 of FIG. 15 and like reference numbers are used in FIGS. 15 and 16 to indicate like elements. The processing system 300 uses the microfeature workpiece holder 100 of FIGS. 4-8. The workpieces W in processing system 302 of FIG. 16 are instead held in the microfeature workpiece holder 102 of FIG. 9. As noted above, the gas distributor 131 of this holder 102 has a series of independent gas delivery conduits 134, each of which has a separate gas inlet 140.
  • The gas supply 351 of FIG. 16 is similar in many respects to the gas supply 350 of FIG. 15. In FIG. 15, each of the individual gas supply lines 356 a-c were joined into a main supply line 356 for delivery to a single gas fitting 360. In the gas supply 351 of FIG. 16, however, each of the individual gas supplies 352 a-c is independently coupled to a separate gas fitting 360 a-c, respectively. In particular, a first gas fitting 360 a may releasably couple the first gas supply line 356 a to the first inlet 140 a, a second gas fitting 360 b may releasably couple a second gas supply line 356 b to the second gas inlet 140 b, and a third gas fitting 360 c may releasably couple a third gas supply line 356 c to the third gas inlet 140 c. The flow of gas from each of the gas supplies 352 a-c may be independently controlled by a separate valve 354 a-c through a common controller 370. Introducing each of the process gases through an independent, dedicated gas delivery conduit 134 can avoid the need to purge the gas delivery conduit 134 after delivering one precursor and before delivering another precursor. This may be advantageous in CVD applications because it permits the precursor gases to be introduced separately into the process chamber 325, more effectively restricting the deposition of the reactant to the vicinity of the workpieces W.
  • FIG. 17 illustrates a microfeature workpiece processing system 400 in accordance with still another embodiment of the invention. This processing system 400 generally includes a reactor 410 having a processing enclosure 420 within which a workpiece holder (e.g., workpiece holder 100 of FIGS. 4-8) carrying one or more workpieces W may be received. The processing enclosure 420 is generally defined by an outer wall 422 and a platform 426 adapted to carry the workpiece holder 100. This processing enclosure 420 also includes a liner 424 that functionally divides the process chamber 425 into a main chamber 427 and a generally annular exhaust 428 coupled to the vacuum 440 by a vacuum line 442. The reactor 410 may also include a heater 430.
  • The processing system 400 may include a first gas supply system 450 and a second gas supply system 460. The first gas supply system 450 includes a plurality of individual gas supplies 452 a-c, each of which may include a separate process gas. Hence, the first gas supply 452 a may include a precursor gas A (GAS1) and a second gas supply 452 b may provide a supply of a second precursor gas B (GAS2). Optionally, the first gas supply system 450 may also include a supply of a purge gas (GAS3) in a third gas supply. Each of these individual gas supplies 452 a-c may be coupled to a common gas supply line 456. A separate valve 454 a, 454 b, or 454 c may be operated by a controller 470 to control the flow of gas from the individual gas supplies 452 a, 452 b, and 452 c, respectively.
  • The gas supply line 456 of the first gas supply system 450 may be in fluid communication with one or more gas supply nozzles 458. The gas supply nozzle 458 may be adapted to deliver a flow of process gas to the main chamber 427 outside the process spaces S of the process chamber 425. This gas may flow generally longitudinally through the main chamber 427 then out of the process chamber 425 via the annular exhaust 428. This gas supply system 450 is, in some respects, analogous to the gas supply 30 and gas nozzle 32 illustrated in FIG. 3.
  • The microfeature workpiece processing system 400 also includes a second gas supply system 460. This gas supply system 460 may be adapted to deliver one or more process gases to the process chamber 425 via the gas distributor 130 of the workpiece holder 100. Gas will exit the outlets 138 of the gas distributor 130 in a direction transverse to the longitudinally directed flow from the nozzle 458. In the specific embodiment shown in FIG. 17, the second gas supply system 460 includes a single individual gas supply 462 containing a purge gas (GAS3). The individual gas supply 462 is coupled to a gas fitting 468 in the platform 426 by a valve 464 operatively linked to the controller 470. The gas fitting 468 is adapted to be releasably coupled to the inlet 140 of the gas distributor 130. Although the second gas supply system 460 shown in FIG. 16 only provides a supply of a single purge gas, this gas supply system 460 may include two or more individual gas supplies 462 to provide a variety of different process gas compositions to the gas distributor 130.
  • D. Methods of Depositing Materials On Microfeature Workpieces
  • As noted above, other embodiments of the invention provide methods of processing microfeature workpieces. In the following discussion, reference is made to the particular microfeature workpiece processing systems 300, 302, and 400 shown in FIGS. 15-17. It should be understood, though, that reference to these particular processing systems and the workpiece holders used therein is solely for purposes of illustration and that the methods outlined below are not limited to any particular workpiece holder or processing system shown in the drawings or discussed in detail above. In addition, the following discussion focuses primarily on ALD and also touches on possible CVD applications. It should be recognized that the processes outlined below should not be limited to these specific deposition processes. Indeed, aspects of the methods outlined below may have utility in applications in which a process other than material deposition, e.g., selective etching, may be carried out.
  • In accordance with one embodiment, a method of processing microfeature workpieces may include positioning a microfeature workpiece holder 100 in a process chamber 325 (using the processing system 300 as an example). The workpiece holder 100 may support a plurality of workpieces W in a spaced-apart relationship to define a process space S between each pair of adjacent workpieces W. The microfeature workpiece holder 100 may be positioned in the process chamber by placing the holder 100 on a platform 326. In one embodiment, the gas fitting 360 may be coupled to the gas inlet 140 of the gas distributor 130 at this time. Once the holder 100 is in place on the platform 326, the platform 326 may be moved toward the wall 322 until the seals 324 substantially seal the enclosure 320 to define the process chamber 325.
  • This embodiment also includes delivering at least a first process gas and a second process gas to the process chamber 325. In one embodiment, the first process gas, e.g., a first precursor A, may be delivered to the process chamber 325 by opening the first regulator valve 354 a and the main valve 362. This will allow the first gas to flow into the gas distributor 130 and outwardly into the process chamber 325 via the outlets 138. As noted above, these outlets 138 will deliver a flow of the process gas transversely into the process spaces S between the workpieces W.
  • The second process gas may be delivered to the process chamber 325 either simultaneously with delivery of the first process gas (e.g., for CVD) or at a different time. If the processing system 300 of FIG. 15 is used to deposit a material via ALD, for example, a flow of the first precursor gas A from the first supply 352 a may be terminated by closing the valve 354 a. A flow of purge gas from the third gas supply 352 c may be delivered into the process spaces S by opening the associated regulator valve 154 c. The regulator valve 154 c may be closed and a flow of the second precursor gas B from the second gas supply 352 b may then be introduced via the nozzles 138. By appropriate control of the valves 354 and 362 and the vacuum 340, the controller 370 may be used to deposit a reaction product via an ALD process such as that discussed above in connection with FIGS. 1 and 2.
  • Delivering process gases transversely into the process space S between the workpieces W via the outlets 138 can fairly rapidly change the gas present in the process space S. In the conventional system of FIG. 3, one of the primary mechanisms for gas exchange in the spaces between the workpieces W is diffusion. Delivering a transverse flow of gas in accordance with embodiments of the present invention can deliver a more uniform application of the precursors to be chemisorbed or reacted on the surface of the workpiece W. Delivering the purge gas through the outlets 138 will also provide a more active scrubbing of the gas in the process spaces S, helping clear the process spaces S of any excess precursor gas fairly quickly. This can materially speed up the purge phases of the process illustrated in FIG. 2.
  • The processing system 300 of FIG. 15 introduces process gases through a single inlet 140 and the gas distributor 130 of the workpiece holder 100. The processing system 302 of FIG. 16 allows each of the process gases (gas1-3) to be introduced into the process chamber 325 through a separate gas delivery conduit 134 a, 134 b, or 134 c. This can be particularly useful in both ALD and CVD applications. By separating the pathways for delivery of the reaction precursors into separate conduits 134, less care need be taken to purge the gas delivery system of the first precursor before introducing a second precursor through the same gas delivery system. In the context of CVD, the gas can be introduced separately and allowed to mix in the process space S and react in the immediate vicinity of the workpiece W. This may facilitate use of more highly reactive precursors, which may be problematic if the precursors are introduced together through a common gas delivery path.
  • In another specific embodiment, one of the process gases may be introduced through the gas distributor 130 of the workpiece holder 100, but the second process gas may be delivered through a delivery conduit independent of the gas distributor 130. In the context of the microfeature workpiece processing system 400 of FIG. 17, for example, the precursor gases may be introduced from separate individual gas supplies 452 a and 452 b through the gas delivery nozzle 458. This will deliver the precursors to the process spaces S between the workpieces W in a fashion analogous to that in the ALD reactor 10 of FIG. 3. In the purge stages illustrated in FIG. 2, though, the purge gas (gas3) may be introduced through the gas distributor 130 either in addition to or instead of introducing the purge gas through the nozzle 458. Delivering the purge gas through the outlets 138 of the workpiece holder gas distributor 130 will help positively scrub the processing spaces S, fairly rapidly sweeping away any excess precursor.
  • Unless the context clearly requires otherwise, throughout the description and the claims, the words “comprise,” “comprising,” and the like are to be construed in an inclusive sense as opposed to an exclusive or exhaustive sense, that is to say, in a sense of “including, but not limited to.” Words using the singular or plural number also include the plural or singular number, respectively. When the claims use the word “or” in reference to a list of two or more items, that word covers all of the following interpretations of the word: any of the items in the list, all of the items in the list, and any combination of the items in the list.
  • The above-detailed descriptions of embodiments of the invention are not intended to be exhaustive or to limit the invention to the precise form disclosed above. While specific embodiments of, and examples for, the invention are described above for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those skilled in the relevant art will recognize. For example, whereas steps are presented in a given order, alternative embodiments may perform steps in a different order. The various embodiments described herein can be combined to provide further embodiments.
  • In general, the terms used in the following claims should not be construed to limit the invention to the specific embodiments disclosed in the specification, unless the above-detailed description explicitly defines such terms. While certain aspects of the invention are presented below in certain claim forms, the inventors contemplate the various aspects of the invention in any number of claim forms. Accordingly, the inventors reserve the right to add additional claims after filing the application to pursue such additional claim forms for other aspects of the invention.

Claims (10)

1-43. (canceled)
44. A method of processing microfeature workpieces, comprising:
positioning a microfeature workpiece holder in a process chamber, the microfeature workpiece holder supporting first, second and third microfeature workpieces in a spaced-apart relationship to define a first process space between the first and second microfeature workpieces and define a second process space between the second and third microfeature workpieces;
delivering a first process gas to the microfeature workpiece holder, the microfeature workpiece holder carrying a gas distributor that delivers a first flow of the first process gas transversely into the first process space and delivers a second flow of the first process gas transversely into the second process space;
delivering a second process gas to the process chamber; and
removing the microfeature workpiece holder and the microfeature workpieces from the process chamber.
45. The method of claim 44 positioning the microfeature workpiece holder in the process chamber comprises placing the microfeature workpiece holder in an enclosure and substantially sealing the enclosure to define the process chamber.
46. The method of claim 44 wherein delivering the second process gas to the process chamber comprises delivering the second process gas directly to the process chamber through a delivery conduit independent of the gas distributor.
47. The method of claim 44 wherein delivering the second process gas to the process chamber comprises delivering the second process gas to the microfeature workpiece holder and delivering a first flow of the second process gas transversely into the first process space via the gas distributor and delivering a second flow of the second process gas transversely into the second process space via the gas distributor.
48. The method of claim 44 wherein the gas distributor includes a first gas delivery conduit and an independent second gas delivery conduit, and wherein delivering the first process gas to the microfeature workpiece holder comprises delivering the first process gas to the first conduit and delivering the second process gas to the process chamber comprises delivering the second process gas to the second gas delivery conduit.
49. The method of claim 48 wherein the second gas delivery conduit delivers a first flow of the second process gas transversely into the first process space and delivers a second flow of the second process gas transversely into the second process space.
50. The method of claim 44 wherein the gas distributor includes a gas delivery conduit, and wherein the first flow of the first process gas is delivered through the gas delivery conduit to a first outlet that directs the first process gas into the first process space and the second flow of the first process gas is delivered through the gas delivery conduit to a second outlet that directs the first process gas into the second process space.
51. The method of claim 50 wherein the gas distributor includes a first gas delivery conduit and a second gas delivery conduit, and wherein:
the first flow of the first process gas is delivered through the gas delivery conduit to a first outlet that directs the first process gas into the first process space;
the second flow of the first process gas is delivered through the gas delivery conduit to a second outlet that directs the first process gas into the second process space; and
delivering the second process gas to the process chamber comprises:
delivering a first flow of the second process gas through the second gas delivery conduit to a third outlet that directs the second process gas transversely into the first process space; and
delivering a second flow of the second process gas through the second gas delivery conduit to a fourth outlet that directs the second process gas transversely into the second process space.
52. A method of depositing a material on microfeature workpieces, comprising:
positioning a microfeature workpiece holder in a process chamber, the microfeature workpiece holder supporting first, second, and third microfeature workpieces in a spaced-apart relationship to define a first process space between the first and second microfeature workpieces and define a second process space between the second and third microfeature workpieces;
delivering a first precursor gas to the process chamber to deposit a quantity of the first precursor gas on a surface of each of the first, second, and third microfeature workpieces;
delivering a purge gas to the microfeature workpiece holder, the microfeature workpiece holder carrying a gas distributor that delivers a first flow of the purge gas transversely into the first process space and delivers a second flow of the purge gas transversely into the second process space;
delivering a second precursor gas to the process chamber, the second precursor gas reacting with the quantity of the first precursor gas to form a layer of material on the surfaces of the first, second, and third microfeature workpieces; and
removing the microfeature workpiece holder and the microfeature workpieces from the process chamber.
US11/416,866 2003-08-21 2006-05-03 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces Abandoned US20060198955A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/416,866 US20060198955A1 (en) 2003-08-21 2006-05-03 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/646,607 US7235138B2 (en) 2003-08-21 2003-08-21 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US11/416,866 US20060198955A1 (en) 2003-08-21 2006-05-03 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/646,607 Division US7235138B2 (en) 2003-08-21 2003-08-21 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces

Publications (1)

Publication Number Publication Date
US20060198955A1 true US20060198955A1 (en) 2006-09-07

Family

ID=34194571

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/646,607 Expired - Fee Related US7235138B2 (en) 2003-08-21 2003-08-21 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US11/416,866 Abandoned US20060198955A1 (en) 2003-08-21 2006-05-03 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/646,607 Expired - Fee Related US7235138B2 (en) 2003-08-21 2003-08-21 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces

Country Status (9)

Country Link
US (2) US7235138B2 (en)
EP (1) EP1660698B1 (en)
JP (1) JP2007503126A (en)
KR (1) KR100943163B1 (en)
CN (1) CN100537843C (en)
AT (1) ATE397679T1 (en)
DE (1) DE602004014284D1 (en)
TW (1) TWI404821B (en)
WO (1) WO2005021831A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050150861A1 (en) * 2004-01-13 2005-07-14 Kwang-Myung Lee Etching apparatus and etching method
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
WO2018022137A1 (en) * 2016-07-28 2018-02-01 Applied Materials, Inc. Gas purge system and method for outgassing control

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
FR2922681A1 (en) 2007-10-23 2009-04-24 Soitec Silicon On Insulator METHOD FOR DETACHING A SUBSTRATE
US10041169B2 (en) 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
KR101071937B1 (en) * 2009-08-10 2011-10-11 이승룡 Nitrogen gas injection apparatus
TW201139712A (en) * 2010-05-12 2011-11-16 Hon Hai Prec Ind Co Ltd Sputtering device
CN102242344A (en) * 2010-05-13 2011-11-16 鸿富锦精密工业(深圳)有限公司 Sputtering apparatus
KR101223489B1 (en) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 Apparatus for Processing Substrate
TWI562204B (en) 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
KR101971613B1 (en) * 2011-09-27 2019-04-24 엘지이노텍 주식회사 Deposition apparatus
US9493874B2 (en) * 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
KR101682473B1 (en) * 2013-10-18 2016-12-05 삼성전자주식회사 Fume purging chamber and manufacturing apparatus for semiconductor devices including the same
CN103668125A (en) * 2013-12-31 2014-03-26 武汉工程大学 Substrate table suitable for being used in tubular plasma film deposition device
CN104046960B (en) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 A kind of gas distributor being applied to film deposition techniques
KR20160026572A (en) 2014-09-01 2016-03-09 삼성전자주식회사 Apparatus for processing a substrate
JP6475135B2 (en) * 2015-09-29 2019-02-27 株式会社Kokusai Electric Semiconductor device manufacturing method, gas supply method, substrate processing apparatus, and substrate holder
KR101688620B1 (en) * 2015-12-24 2016-12-21 피코앤테라(주) Wafer storage container
US10256126B2 (en) * 2016-09-22 2019-04-09 Globalfoundries Inc. Gas flow process control system and method using crystal microbalance(s)
JP6773880B2 (en) * 2017-02-23 2020-10-21 株式会社Kokusai Electric Substrate processing equipment, semiconductor equipment manufacturing methods, computer programs and processing containers
DE102018120580A1 (en) * 2018-08-23 2020-02-27 Infineon Technologies Ag DEVICE AND METHOD FOR DEPOSITING A LAYER AT ATMOSPHERIC PRESSURE
CN110970344A (en) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR20200062625A (en) * 2018-11-27 2020-06-04 삼성전자주식회사 Apparatus for semiconductor treatment and system for semiconductor treatment

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634212A (en) * 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4018949A (en) * 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4438724A (en) * 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4509456A (en) * 1981-07-28 1985-04-09 Veb Zentrum Fur Forschung Und Technologie Mikroelektronik Apparatus for guiding gas for LP CVD processes in a tube reactor
US4894132A (en) * 1987-10-21 1990-01-16 Mitsubishi Denki Kabushiki Kaisha Sputtering method and apparatus
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5409129A (en) * 1990-12-28 1995-04-25 Hokkai Can Co., Ltd. Welded cans
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5498292A (en) * 1994-06-16 1996-03-12 Kishimoto Sangyo Co., Ltd. Heating device used for a gas phase growing mechanism or heat treatment mechanism
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5599513A (en) * 1989-11-13 1997-02-04 Showa Denko K.K. Gas distribution plate for use with fluidized-bed gas-phase polymerizer
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US5729896A (en) * 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US5866986A (en) * 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US5865417A (en) * 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5885425A (en) * 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
US6032923A (en) * 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6174366B1 (en) * 1993-12-23 2001-01-16 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6190459B1 (en) * 1998-01-07 2001-02-20 Tokyo Electron Limited Gas treatment apparatus
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6207937B1 (en) * 1998-05-11 2001-03-27 Semitool, Inc. Temperature control system for a thermal reactor
US6206967B1 (en) * 1997-12-02 2001-03-27 Applied Materials, Inc. Low resistivity W using B2H6 nucleation step
US6334928B1 (en) * 1998-01-30 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor processing system and method of using the same
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6347602B2 (en) * 1998-06-04 2002-02-19 Tokyo Electron Limited Plasma processing apparatus
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US20030000473A1 (en) * 1999-01-18 2003-01-02 Chae Yun-Sook Method of delivering gas into reaction chamber and shower head used to deliver gas
US20030003697A1 (en) * 2000-06-08 2003-01-02 Micron Techology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US20030003730A1 (en) * 2001-02-13 2003-01-02 Micron Technology, Inc. Sequential pulse deposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6507007B2 (en) * 2000-02-01 2003-01-14 Asm America, Inc. System of controlling the temperature of a processing chamber
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6509280B2 (en) * 2001-02-22 2003-01-21 Samsung Electronics Co., Ltd. Method for forming a dielectric layer of a semiconductor device
US6508268B1 (en) * 1998-11-13 2003-01-21 Ckd Corporation Vacuum pressure control apparatus
US20030023338A1 (en) * 2001-07-27 2003-01-30 Applied Materials, Inc. Atomic layer deposition apparatus
US20030024477A1 (en) * 2001-08-02 2003-02-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20030027428A1 (en) * 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US20030031794A1 (en) * 1997-12-24 2003-02-13 Kunihiro Tada Method of forming titanium film by CVD
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20040000270A1 (en) * 2002-06-26 2004-01-01 Carpenter Craig M. Methods and apparatus for vapor processing of micro-device workpieces
US6673196B1 (en) * 1999-09-02 2004-01-06 Tokyo Electron Limited Plasma processing apparatus
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040007188A1 (en) * 2000-08-22 2004-01-15 Novellus Systems, Inc. Gas-purged vacuum valve
US6686594B2 (en) * 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6704913B2 (en) * 2001-01-26 2004-03-09 Applied Materials Inc. In situ wafer heat for reduced backside contamination
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US20050028734A1 (en) * 2003-02-11 2005-02-10 Carpenter Craig M. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US20050039880A1 (en) * 2001-12-26 2005-02-24 Scott Alexander Robin Walter Computer cooling apparatus
US20050039686A1 (en) * 2003-08-21 2005-02-24 Zheng Lingyi A. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US20050045100A1 (en) * 2003-03-03 2005-03-03 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US20050045102A1 (en) * 2003-08-28 2005-03-03 Zheng Lingyi A. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050059261A1 (en) * 2003-09-17 2005-03-17 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US6869500B2 (en) * 2001-09-25 2005-03-22 Samsung Electronics Co., Ltd. Method for processing a wafer and apparatus for performing the same
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US6991684B2 (en) * 2000-09-29 2006-01-31 Tokyo Electron Limited Heat-treating apparatus and heat-treating method

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) 1897-03-23 Roller-bearing
FR1500185A (en) 1966-08-08 1967-11-03 Ct De Rech S Du Fer Blanc Electrolytic tinning process of a steel strip
GB1260300A (en) 1968-04-24 1972-01-12 Plessey Co Ltd IMPROVEMENTS IN OR RELATING TO THE PRODUCTION OF VAPOUR-DEPOSITED Nb3Sn CONDUCTOR MATERIAL
US3618919A (en) 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3630881A (en) 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US4289061A (en) 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
US4242182A (en) 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
NL184695C (en) 1978-12-04 1989-10-02 Philips Nv BATH FOR THE STREAMLESS DEPOSIT OF TIN ON SUBSTRATES.
JPS5747706A (en) 1980-09-04 1982-03-18 Toshio Hirai Lump of silicon nitride containing ti and its manufacture
US4545136A (en) 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
US4826579A (en) 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4397753A (en) 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
US4593644A (en) 1983-10-26 1986-06-10 Rca Corporation Continuous in-line deposition system
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4681777A (en) 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
US4966646A (en) 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
JPH0668962B2 (en) 1987-12-21 1994-08-31 株式会社東芝 Vacuum device and method of performing process using the same
DE3830249A1 (en) 1988-09-06 1990-03-15 Schott Glaswerke PLASMA PROCESS FOR COATING LEVEL SUBSTRATES
US4949669A (en) 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5020476A (en) * 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US4977106A (en) 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5223113A (en) 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5325020A (en) 1990-09-28 1994-06-28 Abtox, Inc. Circular waveguide plasma microwave sterilizer apparatus
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5232749A (en) 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
DE69213340T2 (en) 1991-05-30 1997-03-27 Hitachi Ltd Valve and its use in a device made of semiconductor material
JPH081923B2 (en) 1991-06-24 1996-01-10 ティーディーケイ株式会社 Clean transfer method and device
JP3238432B2 (en) 1991-08-27 2001-12-17 東芝機械株式会社 Multi-chamber type single wafer processing equipment
US5172849A (en) 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
US5626936A (en) 1993-09-09 1997-05-06 Energy Pillow, Inc. Phase change insulation system
JP3394293B2 (en) 1993-09-20 2003-04-07 株式会社日立製作所 Method for transporting sample and method for manufacturing semiconductor device
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
JPH07176490A (en) * 1993-12-21 1995-07-14 Seiko Epson Corp Cvd apparatus
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
KR960002534A (en) 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
KR100191291B1 (en) 1994-06-24 1999-06-15 하마다 야스유키(코가 노리스케) Seal assembly for heat treatment furnace using an atmospheric gas hydrogen gas
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3473715B2 (en) * 1994-09-30 2003-12-08 信越半導体株式会社 Quartz glass wafer boat
JP3417751B2 (en) 1995-02-13 2003-06-16 株式会社東芝 Method for manufacturing semiconductor device
JP3246708B2 (en) 1995-05-02 2002-01-15 東京エレクトロン株式会社 Trap device and unreacted process gas exhaust mechanism using the same
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5640751A (en) 1995-07-17 1997-06-24 Thermionics Laboratories, Inc. Vacuum flange
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US5536317A (en) 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a quartz crystal thickness/rate controller
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JP3768575B2 (en) 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド CVD apparatus and chamber cleaning method
US5956613A (en) 1995-12-27 1999-09-21 Lsi Logic Corporation Method for improvement of TiN CVD film quality
US6663713B1 (en) * 1996-01-08 2003-12-16 Applied Materials Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
JP3386651B2 (en) * 1996-04-03 2003-03-17 株式会社東芝 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5792700A (en) 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5833888A (en) 1996-12-31 1998-11-10 Atmi Ecosys Corporation Weeping weir gas/liquid interface structure
US5846275A (en) 1996-12-31 1998-12-08 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US6062256A (en) 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US6328803B2 (en) * 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US5994181A (en) 1997-05-19 1999-11-30 United Microelectronics Corp. Method for forming a DRAM cell electrode
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
CA2312777A1 (en) 1997-12-05 1999-06-17 Robert A. Ditizio Plasma reactor with a deposition shield
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
JP3567070B2 (en) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3830670B2 (en) * 1998-09-03 2006-10-04 三菱電機株式会社 Semiconductor manufacturing equipment
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
JP2000256856A (en) * 1999-03-11 2000-09-19 Tokyo Electron Ltd Treating device, vacuum exhaust system for treating device, vacuum cvd device, vacuum exhaust system for vacuum cvd device and trapping device
GB2371250A (en) * 1999-06-11 2002-07-24 Larami Ltd Bladder water gun with shaped stream discharge orifices
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6294394B1 (en) * 1999-07-01 2001-09-25 Voyan Technology Ramp rate limiter to control stress during ramping
RU2158324C1 (en) * 1999-11-02 2000-10-27 Закрытое акционерное общество "Панджшер-Холдинг" Method for manufacturing polycrystalline silicone in the form of large-area plates and chamber for silicone deposition
US6277937B1 (en) * 2000-02-17 2001-08-21 Dupont Dow Elastomers, L.L.C. Process for producing fluorelastomers
KR100360401B1 (en) * 2000-03-17 2002-11-13 삼성전자 주식회사 Process tube having a slit type process gas injection portion and a waste gas exhaust portion of multi hole type and apparatus for semiconductor fabricating
JP2001274107A (en) * 2000-03-28 2001-10-05 Nec Kyushu Ltd Diffusion furnace
JP4357715B2 (en) * 2000-07-24 2009-11-04 東京エレクトロン株式会社 Temperature calibration method for heat treatment equipment
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
JP3924483B2 (en) * 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
JP2002353208A (en) * 2001-05-28 2002-12-06 Fujitsu Ltd Method and equipment for fabricating semiconductor device
US6435865B1 (en) * 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050020476A1 (en) * 2003-06-12 2005-01-27 The Procter & Gamble Company Softening-through-the-wash composition and process of manufacture
US20050017404A1 (en) * 2003-07-21 2005-01-27 Youngs John D. Method of molding a vehicle trim component

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634212A (en) * 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4018949A (en) * 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4509456A (en) * 1981-07-28 1985-04-09 Veb Zentrum Fur Forschung Und Technologie Mikroelektronik Apparatus for guiding gas for LP CVD processes in a tube reactor
US4438724A (en) * 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4894132A (en) * 1987-10-21 1990-01-16 Mitsubishi Denki Kabushiki Kaisha Sputtering method and apparatus
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
US5599513A (en) * 1989-11-13 1997-02-04 Showa Denko K.K. Gas distribution plate for use with fluidized-bed gas-phase polymerizer
US5409129A (en) * 1990-12-28 1995-04-25 Hokkai Can Co., Ltd. Welded cans
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US6174366B1 (en) * 1993-12-23 2001-01-16 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips
US5498292A (en) * 1994-06-16 1996-03-12 Kishimoto Sangyo Co., Ltd. Heating device used for a gas phase growing mechanism or heat treatment mechanism
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5885425A (en) * 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
US5866986A (en) * 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5865417A (en) * 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5729896A (en) * 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6206967B1 (en) * 1997-12-02 2001-03-27 Applied Materials, Inc. Low resistivity W using B2H6 nucleation step
US20030031794A1 (en) * 1997-12-24 2003-02-13 Kunihiro Tada Method of forming titanium film by CVD
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6190459B1 (en) * 1998-01-07 2001-02-20 Tokyo Electron Limited Gas treatment apparatus
US6032923A (en) * 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
US6334928B1 (en) * 1998-01-30 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor processing system and method of using the same
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
US6207937B1 (en) * 1998-05-11 2001-03-27 Semitool, Inc. Temperature control system for a thermal reactor
US6347602B2 (en) * 1998-06-04 2002-02-19 Tokyo Electron Limited Plasma processing apparatus
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6508268B1 (en) * 1998-11-13 2003-01-21 Ckd Corporation Vacuum pressure control apparatus
US20030000473A1 (en) * 1999-01-18 2003-01-02 Chae Yun-Sook Method of delivering gas into reaction chamber and shower head used to deliver gas
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6673196B1 (en) * 1999-09-02 2004-01-06 Tokyo Electron Limited Plasma processing apparatus
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6507007B2 (en) * 2000-02-01 2003-01-14 Asm America, Inc. System of controlling the temperature of a processing chamber
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030003697A1 (en) * 2000-06-08 2003-01-02 Micron Techology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US20040007188A1 (en) * 2000-08-22 2004-01-15 Novellus Systems, Inc. Gas-purged vacuum valve
US6991684B2 (en) * 2000-09-29 2006-01-31 Tokyo Electron Limited Heat-treating apparatus and heat-treating method
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6704913B2 (en) * 2001-01-26 2004-03-09 Applied Materials Inc. In situ wafer heat for reduced backside contamination
US20030003730A1 (en) * 2001-02-13 2003-01-02 Micron Technology, Inc. Sequential pulse deposition
US6509280B2 (en) * 2001-02-22 2003-01-21 Samsung Electronics Co., Ltd. Method for forming a dielectric layer of a semiconductor device
US20030027428A1 (en) * 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US20030023338A1 (en) * 2001-07-27 2003-01-30 Applied Materials, Inc. Atomic layer deposition apparatus
US20030024477A1 (en) * 2001-08-02 2003-02-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US6869500B2 (en) * 2001-09-25 2005-03-22 Samsung Electronics Co., Ltd. Method for processing a wafer and apparatus for performing the same
US6686594B2 (en) * 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US20050039880A1 (en) * 2001-12-26 2005-02-24 Scott Alexander Robin Walter Computer cooling apparatus
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040000270A1 (en) * 2002-06-26 2004-01-01 Carpenter Craig M. Methods and apparatus for vapor processing of micro-device workpieces
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050022739A1 (en) * 2002-07-08 2005-02-03 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US20050028734A1 (en) * 2003-02-11 2005-02-10 Carpenter Craig M. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050045100A1 (en) * 2003-03-03 2005-03-03 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US20050039686A1 (en) * 2003-08-21 2005-02-24 Zheng Lingyi A. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US20050045102A1 (en) * 2003-08-28 2005-03-03 Zheng Lingyi A. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050059261A1 (en) * 2003-09-17 2005-03-17 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
US20050150861A1 (en) * 2004-01-13 2005-07-14 Kwang-Myung Lee Etching apparatus and etching method
US8361274B2 (en) * 2004-01-13 2013-01-29 Samsung Electronics Co., Ltd Etching apparatus and etching method
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2018022137A1 (en) * 2016-07-28 2018-02-01 Applied Materials, Inc. Gas purge system and method for outgassing control

Also Published As

Publication number Publication date
TW200523393A (en) 2005-07-16
KR20060121814A (en) 2006-11-29
DE602004014284D1 (en) 2008-07-17
ATE397679T1 (en) 2008-06-15
WO2005021831A1 (en) 2005-03-10
EP1660698A1 (en) 2006-05-31
US20050039686A1 (en) 2005-02-24
TWI404821B (en) 2013-08-11
CN1863939A (en) 2006-11-15
JP2007503126A (en) 2007-02-15
EP1660698B1 (en) 2008-06-04
CN100537843C (en) 2009-09-09
US7235138B2 (en) 2007-06-26
KR100943163B1 (en) 2010-02-22

Similar Documents

Publication Publication Date Title
US7235138B2 (en) Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US6926775B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US8906456B2 (en) Apparatus and method for high-throughput chemical vapor deposition
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
US7056806B2 (en) Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7699932B2 (en) Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7923069B2 (en) Multi-station deposition apparatus and method
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7588804B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7584942B2 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION