US20060194438A1 - Method of forming a nanocluster charge storage device - Google Patents

Method of forming a nanocluster charge storage device Download PDF

Info

Publication number
US20060194438A1
US20060194438A1 US10/876,820 US87682004A US2006194438A1 US 20060194438 A1 US20060194438 A1 US 20060194438A1 US 87682004 A US87682004 A US 87682004A US 2006194438 A1 US2006194438 A1 US 2006194438A1
Authority
US
United States
Prior art keywords
layer
forming
material layer
gate
overlying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/876,820
Other versions
US7091130B1 (en
Inventor
Rajesh Rao
Ramachandran Muralidhar
Robert Steimle
Gowrishankar Chindalore
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Xinguodu Tech Co Ltd
NXP BV
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Assigned to PREESCALE SEMICONDUCTOR, INC. reassignment PREESCALE SEMICONDUCTOR, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MURALIDHAR, RAMACHANDRAN, CHINDALORE, GOWRISHANKAR, RAO, RAJESH A., STEIMLE, ROBERT F.
Priority to US10/876,820 priority Critical patent/US7091130B1/en
Priority to CNB2005800210850A priority patent/CN100435286C/en
Priority to PCT/US2005/016252 priority patent/WO2006007069A2/en
Priority to EP05782558A priority patent/EP1759405A4/en
Priority to JP2007518062A priority patent/JP2008504679A/en
Priority to TW094119327A priority patent/TWI375318B/en
Publication of US7091130B1 publication Critical patent/US7091130B1/en
Application granted granted Critical
Publication of US20060194438A1 publication Critical patent/US20060194438A1/en
Assigned to CITIBANK, N.A. AS COLLATERAL AGENT reassignment CITIBANK, N.A. AS COLLATERAL AGENT SECURITY AGREEMENT Assignors: FREESCALE ACQUISITION CORPORATION, FREESCALE ACQUISITION HOLDINGS CORP., FREESCALE HOLDINGS (BERMUDA) III, LTD., FREESCALE SEMICONDUCTOR, INC.
Assigned to CITIBANK, N.A., AS COLLATERAL AGENT reassignment CITIBANK, N.A., AS COLLATERAL AGENT SECURITY AGREEMENT Assignors: FREESCALE SEMICONDUCTOR, INC.
Assigned to CITIBANK, N.A., AS NOTES COLLATERAL AGENT reassignment CITIBANK, N.A., AS NOTES COLLATERAL AGENT SECURITY AGREEMENT Assignors: FREESCALE SEMICONDUCTOR, INC.
Assigned to CITIBANK, N.A., AS NOTES COLLATERAL AGENT reassignment CITIBANK, N.A., AS NOTES COLLATERAL AGENT SECURITY AGREEMENT Assignors: FREESCALE SEMICONDUCTOR, INC.
Assigned to FREESCALE SEMICONDUCTOR, INC. reassignment FREESCALE SEMICONDUCTOR, INC. PATENT RELEASE Assignors: CITIBANK, N.A., AS COLLATERAL AGENT
Assigned to FREESCALE SEMICONDUCTOR, INC. reassignment FREESCALE SEMICONDUCTOR, INC. PATENT RELEASE Assignors: CITIBANK, N.A., AS COLLATERAL AGENT
Assigned to FREESCALE SEMICONDUCTOR, INC. reassignment FREESCALE SEMICONDUCTOR, INC. PATENT RELEASE Assignors: CITIBANK, N.A., AS COLLATERAL AGENT
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS Assignors: CITIBANK, N.A.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS Assignors: CITIBANK, N.A.
Assigned to NORTH STAR INNOVATIONS INC. reassignment NORTH STAR INNOVATIONS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FREESCALE SEMICONDUCTOR, INC.
Assigned to NXP, B.V., F/K/A FREESCALE SEMICONDUCTOR, INC. reassignment NXP, B.V., F/K/A FREESCALE SEMICONDUCTOR, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Assigned to NXP B.V. reassignment NXP B.V. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE PATENTS 8108266 AND 8062324 AND REPLACE THEM WITH 6108266 AND 8060324 PREVIOUSLY RECORDED ON REEL 037518 FRAME 0292. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS. Assignors: CITIBANK, N.A.
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NORTH STAR INNOVATIONS INC.
Assigned to SHENZHEN XINGUODU TECHNOLOGY CO., LTD. reassignment SHENZHEN XINGUODU TECHNOLOGY CO., LTD. CORRECTIVE ASSIGNMENT TO CORRECT THE TO CORRECT THE APPLICATION NO. FROM 13,883,290 TO 13,833,290 PREVIOUSLY RECORDED ON REEL 041703 FRAME 0536. ASSIGNOR(S) HEREBY CONFIRMS THE THE ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS.. Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. 323.01(C) ASSIGNMENT OR CHANGE OF NAME IMPROPERLY FILED AND RECORDED BY ANOTHER PERSON AGAINST OWNER'S PATENT Assignors: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 11759915 AND REPLACE IT WITH APPLICATION 11759935 PREVIOUSLY RECORDED ON REEL 037486 FRAME 0517. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS. Assignors: CITIBANK, N.A.
Assigned to NXP B.V. reassignment NXP B.V. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 11759915 AND REPLACE IT WITH APPLICATION 11759935 PREVIOUSLY RECORDED ON REEL 040928 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE RELEASE OF SECURITY INTEREST. Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Assigned to NXP, B.V. F/K/A FREESCALE SEMICONDUCTOR, INC. reassignment NXP, B.V. F/K/A FREESCALE SEMICONDUCTOR, INC. CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION 11759915 AND REPLACE IT WITH APPLICATION 11759935 PREVIOUSLY RECORDED ON REEL 040925 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE RELEASE OF SECURITY INTEREST. Assignors: MORGAN STANLEY SENIOR FUNDING, INC.
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42332Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Definitions

  • the present invention relates to semiconductor devices, and, more particularly, to such devices having nanoclusters.
  • Nanoclusters e.g. of silicon, aluminum, gold, or germanium
  • the nanoclusters are located between two dielectric layers, a bottom dielectric and a control dielectric.
  • Examples of such transistors include thin film storage transistors.
  • a memory typically includes an array of such transistors.
  • nanocluster types includes doped and undoped semiconductor nanoclusters such as silicon nanocrystals, germanium nanocrystals and their alloys.
  • Other examples of nanocluster types include various conductive structures such as metal nanoclusters (e.g., gold nanoclusters and aluminum nanoclusters), and metal alloy nanoclusters. In some examples, nanoclusters are from 10-100 Angstroms in size.
  • Some memories that have charge storage transistors with nanoclusters are implemented on integrated circuits that also include high voltage transistors in the circuitry used for charging and discharging the charge storage locations of the charge storage transistors. Charging or discharging the charge storage locations is used to store one or more bits of information, and may be referred to as programming or erasing.
  • These high voltage transistors typically include a relatively thick gate oxide. This gate oxide may be formed under severe oxidizing conditions. This oxidizing ambient may penetrate the control dielectric of the charge storage transistors thereby undesirably oxidizing the nanocrystals and undesirably increasing the bottom dielectric thickness. Accordingly, an improved method for making a device with nanoclusters is desirable.
  • FIGS. 1-16 of the drawings illustrate a series of partial side views of a semiconductor device during various stages of manufacture of an integrated circuit according to a first embodiment of the present invention.
  • FIGS. 1-10 and 16 - 23 of the drawings illustrate a series of partial side views of a semiconductor device during various stages of manufacture of an integrated circuit according to a second embodiment of the present invention.
  • FIGS. 1-16 show partial side views of a semiconductor wafer during stages in the manufacture of a memory including nanoclusters according to a first embodiment of the present invention.
  • the presently disclosed embodiment utilizes an intermediate dual polysilicon-nitride control electrode stack including a first formed polysilicon-nitride structure and a second formed polysilicon-nitride structure.
  • the second formed polysilicon-nitride structure is removed while periphery device control electrodes are patterned, leaving first formed polysilicon-nitride control electrode structure for the memory cell devices.
  • Such a technique allows protection of a top portion of a nanocluster oxide layer, thereby preserving thickness and quality of such oxide layer.
  • FIG. 1 shows a semiconductor device 10 .
  • Semiconductor device 10 is an integrated circuit die.
  • Semiconductor device 10 includes substrate 12 which is part of an overall wafer at the presently illustrated stage of manufacture.
  • Semiconductor device 10 also includes various dopant wells 14 , 18 and 20 which form part of the functional circuitry of semiconductor device 10 .
  • Substrate also includes various pre-formed shallow trench isolation structures (not shown) to separate different devices and to laterally separate the wells discussed herein.
  • Semiconductor device 10 also includes a bottom oxide layer 22 .
  • NVM well 18 forms part of storage cell circuitry of a non-volatile memory array.
  • NVM well 18 is a p-well in which an array of storage cells will reside.
  • periphery devices include only high voltage (HV) devices (e.g., cell charge/discharge devices), in the embodiments discussed herein, periphery devices include various devices outside the NVM storage cell array and may include HV devices, integrated circuit die input/output ( 1 / 0 ) devices, and low (LV) voltage devices (e.g., logic devices).
  • HV high voltage
  • LV low
  • High voltage (HV) well 14 forms part of circuitry (e.g., high voltage transistors) for programming and erasing cells of the NVM array.
  • the illustrated HV well 14 is an n-well.
  • Semiconductor device may alternatively or additionally include an HV p-well within a deep n-type isolation well.
  • I/O well 20 forms part of the I/O circuitry of semiconductor device 10 .
  • the illustrated I/O well 20 is an n-well.
  • Semiconductor device may alternatively or additionally include an I/O p-well within a deep n-type isolation well.
  • I/O well 20 is a dual gate oxide (DGO) well.
  • DGO dual gate oxide
  • Silicon dioxide layer 22 provides a tunnel dielectric layer.
  • Other dielectrics may be used for oxide layer 22 such as silicon oxynitride, hafnium oxide, aluminum oxide, lanthanum oxide, or lanthanum silicate.
  • Dielectric layer 22 has been formed over substrate 12 , for example, by oxidation or chemical vapor deposition. In one embodiment, bottom dielectric has thickness of 5 nanometers, but may be of other thicknesses in other embodiments.
  • a layer of nanoclusters 24 (e.g. of silicon, aluminum, gold, germanium, or a silicon and germanium alloy or other types of conductive material or doped or undoped semiconductive material) is formed over oxide layer 22 by, e.g., chemical vapor deposition techniques, aerosol deposition techniques, spin on coating techniques, or self assembly techniques such as annealing a thin film to form nanoclusters.
  • the nanoclusters 24 are silicon nanocrystals.
  • the nanoclusters have a planar density of 1 ⁇ 10 ⁇ 12 cm ⁇ 2 with a size of 5 to 7 nanometers.
  • nanoclusters are from 10-100 Angstroms in size. However the nanoclusters in other embodiments may be of other sizes and/or other densities.
  • nanoclusters 24 are separated by average distance generally equal to an average size of clusters. The average distance in one such embodiment is greater than 4 nanometers. Although nanoclusters 24 are shown as having a uniform size and distribution, nanoclusters 24 will have nonuniform sizes and a nonuniform distribution in actual practice. Nanoclusters 24 will be utilized for implementing charge storage locations in transistors (see FIG. 16 ) of a non-volatile memory of semiconductor device 10 .
  • a layer of dielectric material e.g. silicon dioxide, silicon oxynitride, hafnium oxide, aluminum oxide, lanthanum oxide, and lanthanum silicate
  • a silicon dioxide layer is deposited over the nanoclusters.
  • other dielectrics such as silicon oxynitride, hafnium oxide, aluminum oxide, lanthanum oxide, or lanthanum silicate may be used for layer 26 .
  • an oxide-nitride-oxide (ONO) stack of silicon dioxide, silicon nitride, and silicon dioxide may be used for layer 26 .
  • dielectric layer 26 has a thickness of approximately 5-15 nanometers, but may be of other thicknesses in other embodiments.
  • the bottom dielectric 22 , nanoclusters 24 , and control dielectric 26 may be formed by ion implantation (e.g. silicon or germanium) into a layer of dielectric material (not shown) followed by the annealing of the ions to form nanocrystals in the layer of dielectric material.
  • bottom dielectric 22 , nanoclusters 24 and control dielectric 26 may be formed by recrystallization of a silicon rich oxide layer between two layers of dielectric material to form the nanoclusters.
  • the nanoclusters may be implemented in multiple layers located above the bottom dielectric.
  • the nanoclusters are formed by depositing a thin amorphous layer of nanocluster material (e.g. 1-5 nanometers) wherein the resultant structure is annealed in a subsequent annealing process.
  • a doped polysilicon layer 28 is formed over dielectric layer 26 .
  • a portion of polysilicon layer 28 will serve as a gate electrode of an NVM bit cell.
  • the polysilicon layer may be in situ doped (during deposition) or by implantation (after deposition). Other gate electrode materials may be used such as metals.
  • an anti-reflective coating ARC
  • silicon nitride layer 30 provides the anti-reflective coating.
  • a masking layer 32 (e.g., a photoresist) is formed over nitride layer 30 .
  • Masking layer 32 protects the gate stack over NVM well 18 and exposes portions of layers 30 , 28 , 26 , 24 and 22 from other areas of semiconductor device 10 .
  • Nitride layer 30 , polysilicon layer 28 , dielectric layer 26 and nanocluster layer 24 are subsequently removed. Part of layer 22 is also subsequently removed.
  • reactive ion etching is used to remove layers 30 , 28 , 26 , 24 and 22 .
  • tunnel dielectric layer 22 is silicon dioxide
  • the removal may be performed via a wet etch using dilute hydrofluoric acid.
  • the high voltage device oxide layer 34 is formed.
  • HV oxide layer 34 may be grown by oxidation in oxygen or steam.
  • One exemplary oxide layer 34 is silicon dioxide having a thickness between 5 and 15 nanometers.
  • Oxide layer 35 is concomitantly grown over nitride layer 30 , typically having a smaller thickness.
  • the nitride layer 30 serves as a diffusion barrier and protects the underlying nanoclusters 24 , the polysilicon layer 26 , and tunnel dielectric 22 from deleterious oxidation.
  • Such oxidation if allowed to occur, can adversely influence the NVM device performance since programming and erasing of the nanoclusters is very sensitive to the dielectric layer 22 thickness and the nanocluster size.
  • the low voltage device wells 37 for general logic circuitry are formed by implantation into substrate 12 .
  • a conventional implantation process follows the opening of the low voltage areas by a masking step.
  • the HV oxide layer 34 serves as a sacrificial oxide for the low voltage well implants.
  • the logic well is activated typically by a rapid thermal annealing process.
  • masking layer 36 (e.g., a photoresist) is formed over HV oxide layer 34 to protect portions of the HV oxide layer over the HV device well 14 and to expose other portions of the HV oxide layer.
  • exposed portions of the HV oxide layer 34 are removed via a wet etch using dilute hydrofluoric acid.
  • Oxide layer 35 is removed concomitantly with exposed portions of layer 34 .
  • the masking layer 36 is also removed.
  • I/O device oxide layer 38 is formed. Although other methods may be used, oxide layer 38 is typically grown by oxidation in oxygen. Other oxygen compounds such as N 2 O may be used.
  • One exemplary oxide layer 38 is silicon dioxide.
  • I/O oxide layer 38 is generally slightly thinner than HV oxide layer 34 , having a thickness between 4 and 8 nanometers.
  • Thin oxide layer 39 is concomitantly grown over nitride layer 30 .
  • HV oxide layer 34 is naturally thickened during the growth of I/O oxide layer 38 .
  • the nitride layer 30 again serves as a diffusion barrier and protects the underlying nanoclusters 24 and tunnel dielectric 22 from deleterious oxidation. Such oxidation, if allowed to occur, can adversely influence the NVM device performance since programming and erasing of the nanoclusters is very sensitive to the dielectric layer 22 thickness and the nanocluster size.
  • masking layer 40 (e.g., a photoresist) is formed over I/O oxide layer 38 to protect portions of the HV and I/O oxide layers 34 and 38 over respective HV and I/O device wells 14 and 20 , and to expose other portions of the I/O oxide layer.
  • the exposed portions of I/O oxide layer 38 are removed using, for example, a wet etch of dilute hydrofluoric acid. Concomitantly, thin oxide layer 39 over nitride layer 30 is also removed.
  • LV oxide 42 is formed. Although other methods may be used, oxide layer 42 is typically grown by oxidation in oxygen, N 2 O or NO. One exemplary oxide layer 42 is silicon dioxide. LV oxide layer 42 is generally slightly thinner than HV oxide layer 34 and I/O oxide layer 38 , having a thickness between 1.5 and 3 nanometers. A very thin oxide layer 43 may be concomitantly grown over nitride layer 30 . HV oxide layer 34 and I/O oxide layer 38 may be naturally thickened during the growth of LV oxide layer 42 . During this oxidation step, the nitride layer 30 again serves as a diffusion barrier and protects the underlying nanoclusters 24 and tunnel dielectric 22 from any further oxidation.
  • nitride layer 30 again serves as a diffusion barrier and protects the underlying nanoclusters 24 and tunnel dielectric 22 from any further oxidation.
  • a doped polysilicon layer 44 is formed over substrate 12 .
  • polysilicon layer 44 is deposited over LV oxide layer 42 , HV oxide layer 34 , I/O oxide layer 38 and incidental oxide layer 43 .
  • Portions of polysilicon layer 44 will serve as gate electrodes of HV, LV and I/O devices.
  • the two layers are approximately the same thickness. In other embodiments, different materials with appropriate thicknesses may be used for periphery and NVM array gate electrodes.
  • Polysilicon layer 44 may be in situ doped (during deposition) or by implantation (after deposition). Other gate electrode materials may be used such as metals.
  • an anti-reflective coating ARC
  • silicon nitride layer 46 provides the anti-reflective coating.
  • masking layer 48 (e.g., a photoresist) is formed on nitride layer 46 over periphery devices and serves to pattern the gates for such devices, after which the exposed portions of layers 44 and 46 are removed using, for example, an anisotropic plasma etch.
  • this gate patterning step portions of polysilicon layer 44 and nitride layer 46 overlying the NVM areas are removed while the gate electrodes (e.g., portions of layer 44 ) of the LV, HV and 1 /O devices are allowed to remain.
  • Using a reactive ion etch selective to the layers 43 and 30 results in a substantially complete removal of the gate electrode material layer 44 and ARC layer 46 from over the NVM array area while simultaneously patterning the gate electrodes for the I/O, HV and LV devices.
  • masking layer 48 is removed.
  • a masking layer 50 (e.g., a photoresist) is formed over periphery device areas corresponding to HV well 14 , I/O well 20 and LV well 37 and other areas.
  • the masking layer serves to pattern the gate electrodes for NVM array devices and to protect the periphery portions of semiconductor device 10 .
  • various portions of layers exposed by masking layer 50 are removed (e.g., via a nonselective, anisotropic, timed, plasma etch). For example, exposed portions thin oxide layer 43 , nitride ARC layer 30 , gate electrode layer 28 , control dielectric 26 and nanocluster layer 24 are removed. Part of tunnel dielectric layer 22 is also removed.
  • masking layer 50 is removed. Any remaining exposed portions of low voltage oxide layer 42 , high voltage oxide layer 34 , NVM tunnel dielectric 22 and I/O oxide layer 38 are removed by using wet etch processes. Very thin oxide 43 over the NVM ARC layer 30 is also removed. In an embodiment where all the oxide layers 34 , 38 and 42 are silicon dioxide, a dilute hydrofluoric acid wet clean can be employed for this purpose.
  • the NVM cell and periphery devices are completed.
  • standard CMOS processing techniques are used to form source/drain extensions, side-wall spacers and source/drain regions.
  • 60 and 62 represent source/drain regions and extension of an HV device
  • 64 and 66 represent source/drain regions and extension of an NVM cell
  • 68 and 70 represent source/drain regions and extension of an I/O device
  • 72 and 74 represent source/drain regions and extension of an LV device.
  • Side-wall spacers 52 correspond to an HV device
  • side-wall spacers 54 correspond to an NVM cell device
  • side-wall spacers 56 correspond to an I/O device
  • side-wall spacers 58 correspond to an LV device.
  • a doped polysilicon layer 44 may be formed over substrate 12 as illustrated in FIG. 17 .
  • polysilicon layer 44 is deposited over LV oxide layer 42 , HV oxide layer 34 , I/O oxide layer 38 and incidental oxide layer 43 . Portions of polysilicon layer 44 will serve as gate electrodes of HV, LV and I/O devices.
  • an anti-reflective coating (ARC) is not required at this stage because subsequent etching is for large area and not for a critical dimension.
  • a masking layer 80 (e.g., a photoresist) is formed and patterned over the HV, I/O and LV areas and exposing the NVM well area.
  • the polysilicon layer 44 , thin oxide layer 43 and nitride layer 30 are etched over the NVM area using, for example, a dry etch, a wet etch or combination thereof. In one embodiment, the etch is stopped as a change in chemistry of the materials being etched is detected.
  • masking layer 80 is removed (e.g., via a plasma ash process or a piranha resist strip), and an ARC layer 82 is conformally deposited over polysilicon layers 44 and 28 .
  • silicon nitride is used to provide the anti-reflective coating.
  • masking layer 84 is formed over the HV, I/O, LV and NVM areas.
  • a dry etch is performed to remove ARC layer 82 and the underlying polysilicon layers 44 and 28 , thereby exposing dielectric layers 26 , 34 , 38 and 42 .
  • masking layer 84 is removed (e.g., as discussed above with regard to masking layer 80 ), and the formation of the gate electrodes is continued by removing (e.g., etching) the exposed portions of dielectric layers 26 , 34 , 38 and 42 and layer 24 .
  • processing continues in a similar fashion as described above with regard to FIG. 16 .
  • This alternative embodiment provides the advantage that only one of two masks has critical dimensions which provides cost and manufacturing advantages.
  • a method of forming a nanocluster charge storage device is provided.
  • a substrate is provided.
  • the substrate has a first dopant well associated with the nanocluster charge storage device and a second dopant well associated with a semiconductor device not having nanoclusters.
  • a first gate stack is formed overlying the first dopant well and having a first conductive gate material layer that forms a gate electrode in the first gate stack.
  • the first conductive gate material layer overlies a plurality of nanoclusters embedded in a first gate dielectric layer.
  • the first conductive gate material layer underlies a portion of a second conductive gate material layer.
  • a second gate stack is formed overlying the second dopant well using a portion of the second conductive gate material layer overlying the second dopant well as a gate electrode in the second gate stack. A portion of the second conductive gate material layer that overlies the first conductive gate material layer is removed.
  • the portion of the second conductive gate material layer that overlies the first conductive gate material layer is removed by masking all areas away from the first dopant well and selectively etching the second conductive gate material layer.
  • the first conductive gate material layer and the second conductive gate material layer are formed using doped polysilicon, a metal or a metal alloy.
  • the first conductive gate material layer is implemented with a material that is different from the second conductive gate material layer.
  • the forming of the first gate dielectric layer is by forming a gate oxide layer and a second gate oxide layer overlying and surrounding the nanocluster layer.
  • the first gate dielectric and the first conductive gate material layer are formed overlying both the first dopant well and the second dopant well. Selectively etching from areas overlying the second dopant well of the first conductive gate material layer occurs, the first gate dielectric layer and the nanocluster layer using a combination of a wet etch and a dry etch.
  • the second gate stack is formed by forming a second gate dielectric layer and the second conductive gate material layer overlying a portion of the second dopant well.
  • the second conductive gate material layer overlies the second gate dielectric layer.
  • the second gate dielectric layer is formed of silicon dioxide or silicon oxynitride.
  • a nitride layer is formed in the first gate stack and overlies the first conductive gate material and is between a portion of the first conductive gate material and the second conductive gate material.
  • An oxide layer is formed overlying and in physical contact with the nitride layer. The nitride layer and oxide layer function as an etch stop layer when removing the second conductive gate material. The nitride layer also functions as an antireflective coating when forming the gate electrode in the first gate stack.
  • the first gate dielectric layer is formed of an oxide or an oxynitride of a compound containing at least one of hafnium, lanthanum, aluminum and silicon.
  • the plurality of nanoclusters embedded in the first gate dielectric layer overlying the first dopant well and the second dopant well are formed by forming a layer of doped or undoped semiconductor nanocrystals, metal nanocrystals, nanocrystals of two or more doped or undoped semiconductors, or metal alloy nanocrystals.
  • a first source and a first drain are formed around the first gate stack and within the first dopant well to form the charge storage device as a nonvolatile memory (NVM) transistor.
  • a second source and a second drain are formed around the second gate stack and within the second dopant well to form a periphery transistor.
  • a semiconductor device is formed from the second gate stack.
  • the semiconductor device enables charging and discharging of the nanocluster charge storage device.
  • a method includes the following steps: providing a substrate; forming a first dopant well and a second dopant well in the substrate; forming a layer of nanoclusters embedded in a first gate dielectric overlying the first dopant well and the second dopant well; forming a first conductive gate material layer overlying the layer of nanoclusters; forming a nitride layer overlying the first conductive gate material layer; forming a storage stack overlying the first dopant well by patterning and removing the nitride layer, the first conductive gate material layer, and the layer of nanoclusters from areas other than overlying the first dopant well; forming a second gate dielectric overlying the second dopant well, the second gate dielectric having no nanoclusters; forming a second conductive gate material layer overlying the second gate dielectric and the storage stack; forming an anti-reflective coating layer overlying the second conductive gate material layer; patterning the second conductive gate
  • the method further includes the following steps: forming a first source and a first drain around the first gate stack and within the second dopant well to form a transistor; and forming a second source and a second-drain around the second gate stack and within the first dopant well to complete formation of the charge storage device.
  • the method further includes the step of forming the first conductive gate material layer of doped polysilicon, metal or a metal alloy.
  • the method further includes the step of forming the second conductive gate material layer ( 44 ) of doped polysilicon, metal or a metal alloy
  • the method further includes the step of forming the layer of nanoclusters embedded in the first gate dielectric overlying the first dopant well and the second dopant well by forming a layer of doped or undoped semiconductor nanocrystals, metal nanocrystals, nanocrystals of two or more doped or undoped semiconductors, or metal alloy nanocrystals.
  • the method further includes the step of forming the first gate dielectric of an oxide or an oxynitride of a compound containing at least one of hafnium, lanthanum, aluminum and silicon.
  • the method further includes the step of forming the second gate dielectric of silicon dioxide or silicon oxynitride.
  • a method of forming a nanocluster charge storage device includes the following steps: providing a substrate having a memory dopant well associated with the nanocluster charge storage device and a periphery dopant well associated with a semiconductor device not having nanoclusters; forming a layer of nanoclusters embedded in a first gate dielectric overlying the memory dopant well; forming a first gate material layer overlying the layer of nanoclusters; patterning the layer of nanoclusters and the first gate material layer to exist only overlying the memory dopant well; forming a second gate material layer overlying the periphery dopant well and also overlying the layer of nanoclusters and the first gate material layer after formation of the first gate material layer; forming a periphery device gate stack by removing the second gate material layer from areas other than a predetermined periphery area overlying the periphery dopant well; and subsequently forming a nanocluster charge storage device gate stack by patterning the layer
  • the method further includes forming an etch stop layer directly overlying the first gate material layer for endpoint detection during removal of the second gate material layer overlying the first gate material layer.
  • a method of forming a nanocluster charge storage device includes: providing a substrate having a memory dopant well associated with the nanocluster charge storage device and a periphery dopant well associated with a semiconductor device not having nanoclusters; forming a layer of nanoclusters embedded in a first gate dielectric overlying the memory dopant well; forming a first gate material layer overlying the layer of nanoclusters; patterning the layer of nanoclusters and the first gate material layer to exist only overlying the memory dopant well; forming a second gate material layer overlying the periphery dopant well and also overlying the layer of nanoclusters and the first gate material layer after formation of the first gate material layer; removing the second gate material layer from areas other than a predetermined periphery area overlying the periphery dopant well; and using a mask to selectively form at a substantially same time a periphery device gate stack and a nanocluster charge storage gate.
  • Many of the devices described herein may be conceptualized as having a control terminal which controls the flow of current between a first current handling terminal and a second current handling terminal.
  • a control terminal which controls the flow of current between a first current handling terminal and a second current handling terminal.
  • One example of such a device is a transistor.
  • An appropriate condition on the control terminal of a transistor causes a current to flow from/to the first current handling terminal and to/from the second current handling terminal.
  • FETs field effect transistors
  • FETs field effect transistors
  • the drain is interchangeable with the source. This is because the layout and semiconductor processing of the transistor is frequently symmetrical.
  • the claim reads on the apparatus or method regardless of whether the apparatus or method includes another such similar feature.
  • This use of the word “a” as a nonlimiting, introductory article to a feature of a claim is adopted herein by Applicants as being identical to the interpretation adopted by many courts in the past, notwithstanding any anomalous or precedential case law to the contrary that may be found.
  • a claim element is described in the claims below as including or comprising an aforementioned feature (e.g., “the” feature), it is intended that the element not be limited to one and only one of the feature described merely by the incidental use of the definite article.

Abstract

A plurality of memory cell devices is formed by using an intermediate dual polysilicon-nitride control electrode stack overlying nanoclusters. The stack includes a first-formed polysilicon-nitride layer and a second-formed polysilicon-containing layer. The second-formed polysilicon-containing layer is removed from areas containing the plurality of memory cells. In one form the second-formed polysilicon-containing layer also contains a nitride portion which is also removed, thereby leaving the first-formed polysilicon-nitride layer for the memory cell devices. In another form the second-formed ploysilicon-containing layer does not contain nitride and a nitride portion of the first-formed polysilicon-nitride layer is also removed. In the latter form a subsequent nitride layer is formed over the remaining polysilicon layer. In both forms a top portion of the device is protected from oxidation, thereby preserving size and quality of underlying nanoclusters. Gate electrodes of devices peripheral to the memory cell devices also use the second-formed polysilicon-containing layer.

Description

    CROSS-RELATED APPLICATION
  • This application is related to copending U.S. patent application Ser. No. ______ (Attorney Docket No. SC13087TP) by Robert F. Steimle entitled “Method of Forming A Nanocluster Charge Storage Device” filed simultaneously herewith and assigned to the assignee of record herein.
  • BACKGROUND
  • 1. Field
  • The present invention relates to semiconductor devices, and, more particularly, to such devices having nanoclusters.
  • 2. Description of the Related Art
  • Some devices such as memories (e.g. non volatile memories) utilize discrete charge storage elements called nanoclusters (e.g. of silicon, aluminum, gold, or germanium) for storing charge in a charge storage location of a transistor. In some examples, the nanoclusters are located between two dielectric layers, a bottom dielectric and a control dielectric. Examples of such transistors include thin film storage transistors. A memory typically includes an array of such transistors. Examples of nanocluster types includes doped and undoped semiconductor nanoclusters such as silicon nanocrystals, germanium nanocrystals and their alloys. Other examples of nanocluster types include various conductive structures such as metal nanoclusters (e.g., gold nanoclusters and aluminum nanoclusters), and metal alloy nanoclusters. In some examples, nanoclusters are from 10-100 Angstroms in size.
  • Some memories that have charge storage transistors with nanoclusters are implemented on integrated circuits that also include high voltage transistors in the circuitry used for charging and discharging the charge storage locations of the charge storage transistors. Charging or discharging the charge storage locations is used to store one or more bits of information, and may be referred to as programming or erasing. These high voltage transistors typically include a relatively thick gate oxide. This gate oxide may be formed under severe oxidizing conditions. This oxidizing ambient may penetrate the control dielectric of the charge storage transistors thereby undesirably oxidizing the nanocrystals and undesirably increasing the bottom dielectric thickness. Accordingly, an improved method for making a device with nanoclusters is desirable.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention may be better understood, and its numerous objects, features, and advantages made apparent to those skilled in the art, by referencing the accompanying drawings.
  • FIGS. 1-16 of the drawings illustrate a series of partial side views of a semiconductor device during various stages of manufacture of an integrated circuit according to a first embodiment of the present invention.
  • FIGS. 1-10 and 16-23 of the drawings illustrate a series of partial side views of a semiconductor device during various stages of manufacture of an integrated circuit according to a second embodiment of the present invention.
  • The use of the same reference symbols in different drawings indicates similar or identical items. Skilled artisans appreciate that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some of the elements in the figures may be exaggerated relative to other elements to help improve the understanding of the embodiments of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT(S)
  • The following discussion is intended to provide a detailed description of at least one example of the invention and should not be taken to be limiting of the invention itself. Rather, any number of variations may fall within the scope of the invention which is properly defined in the claims following this description.
  • FIGS. 1-16 show partial side views of a semiconductor wafer during stages in the manufacture of a memory including nanoclusters according to a first embodiment of the present invention. As will be described later, the presently disclosed embodiment utilizes an intermediate dual polysilicon-nitride control electrode stack including a first formed polysilicon-nitride structure and a second formed polysilicon-nitride structure. The second formed polysilicon-nitride structure is removed while periphery device control electrodes are patterned, leaving first formed polysilicon-nitride control electrode structure for the memory cell devices. Such a technique allows protection of a top portion of a nanocluster oxide layer, thereby preserving thickness and quality of such oxide layer.
  • FIG. 1 shows a semiconductor device 10. Semiconductor device 10 is an integrated circuit die. Semiconductor device 10 includes substrate 12 which is part of an overall wafer at the presently illustrated stage of manufacture. Semiconductor device 10 also includes various dopant wells 14, 18 and 20 which form part of the functional circuitry of semiconductor device 10. Substrate also includes various pre-formed shallow trench isolation structures (not shown) to separate different devices and to laterally separate the wells discussed herein. Semiconductor device 10 also includes a bottom oxide layer 22.
  • Dopant wells 14, 18 and 20 may take various forms. Non-volatile memory (NVM) well 18 forms part of storage cell circuitry of a non-volatile memory array. In the illustrated embodiment, NVM well 18 is a p-well in which an array of storage cells will reside. Although in some contexts periphery devices include only high voltage (HV) devices (e.g., cell charge/discharge devices), in the embodiments discussed herein, periphery devices include various devices outside the NVM storage cell array and may include HV devices, integrated circuit die input/output (1/0) devices, and low (LV) voltage devices (e.g., logic devices). High voltage (HV) well 14 forms part of circuitry (e.g., high voltage transistors) for programming and erasing cells of the NVM array. The illustrated HV well 14 is an n-well. Semiconductor device may alternatively or additionally include an HV p-well within a deep n-type isolation well. I/O well 20 forms part of the I/O circuitry of semiconductor device 10. The illustrated I/O well 20 is an n-well. Semiconductor device may alternatively or additionally include an I/O p-well within a deep n-type isolation well. In one embodiment, I/O well 20 is a dual gate oxide (DGO) well.
  • Silicon dioxide layer 22 provides a tunnel dielectric layer. Other dielectrics may be used for oxide layer 22 such as silicon oxynitride, hafnium oxide, aluminum oxide, lanthanum oxide, or lanthanum silicate. Dielectric layer 22 has been formed over substrate 12, for example, by oxidation or chemical vapor deposition. In one embodiment, bottom dielectric has thickness of 5 nanometers, but may be of other thicknesses in other embodiments.
  • Referring to FIG. 2, a layer of nanoclusters 24 (e.g. of silicon, aluminum, gold, germanium, or a silicon and germanium alloy or other types of conductive material or doped or undoped semiconductive material) is formed over oxide layer 22 by, e.g., chemical vapor deposition techniques, aerosol deposition techniques, spin on coating techniques, or self assembly techniques such as annealing a thin film to form nanoclusters. In one embodiment, the nanoclusters 24 are silicon nanocrystals. In one embodiment where the nanoclusters are utilized in a non volatile memory, the nanoclusters have a planar density of 1×10ˆ12 cmˆ2 with a size of 5 to 7 nanometers. In some embodiments, nanoclusters are from 10-100 Angstroms in size. However the nanoclusters in other embodiments may be of other sizes and/or other densities. In one embodiment, nanoclusters 24 are separated by average distance generally equal to an average size of clusters. The average distance in one such embodiment is greater than 4 nanometers. Although nanoclusters 24 are shown as having a uniform size and distribution, nanoclusters 24 will have nonuniform sizes and a nonuniform distribution in actual practice. Nanoclusters 24 will be utilized for implementing charge storage locations in transistors (see FIG. 16) of a non-volatile memory of semiconductor device 10.
  • After nanoclusters 24 are deposited, a layer of dielectric material (e.g. silicon dioxide, silicon oxynitride, hafnium oxide, aluminum oxide, lanthanum oxide, and lanthanum silicate) is formed over nanocrystals 24 (e.g., by chemical vapor deposition) to form a control dielectric layer 26. In one embodiment, a silicon dioxide layer is deposited over the nanoclusters. Alternately, other dielectrics such as silicon oxynitride, hafnium oxide, aluminum oxide, lanthanum oxide, or lanthanum silicate may be used for layer 26. In another embodiment an oxide-nitride-oxide (ONO) stack of silicon dioxide, silicon nitride, and silicon dioxide may be used for layer 26. In one embodiment, dielectric layer 26 has a thickness of approximately 5-15 nanometers, but may be of other thicknesses in other embodiments.
  • In some embodiments, the bottom dielectric 22, nanoclusters 24, and control dielectric 26 may be formed by ion implantation (e.g. silicon or germanium) into a layer of dielectric material (not shown) followed by the annealing of the ions to form nanocrystals in the layer of dielectric material. In other embodiments, bottom dielectric 22, nanoclusters 24 and control dielectric 26 may be formed by recrystallization of a silicon rich oxide layer between two layers of dielectric material to form the nanoclusters. In other embodiments, the nanoclusters may be implemented in multiple layers located above the bottom dielectric. In other embodiments, the nanoclusters are formed by depositing a thin amorphous layer of nanocluster material (e.g. 1-5 nanometers) wherein the resultant structure is annealed in a subsequent annealing process.
  • Referring to FIG. 3, a doped polysilicon layer 28 is formed over dielectric layer 26. A portion of polysilicon layer 28 will serve as a gate electrode of an NVM bit cell. The polysilicon layer may be in situ doped (during deposition) or by implantation (after deposition). Other gate electrode materials may be used such as metals. After gate electrode 28 is deposited, an anti-reflective coating (ARC) is deposited. In the illustrated embodiment, silicon nitride layer 30 provides the anti-reflective coating.
  • Referring to FIG. 4, a masking layer 32 (e.g., a photoresist) is formed over nitride layer 30. Masking layer 32 protects the gate stack over NVM well 18 and exposes portions of layers 30, 28, 26, 24 and 22 from other areas of semiconductor device 10. Nitride layer 30, polysilicon layer 28, dielectric layer 26 and nanocluster layer 24 are subsequently removed. Part of layer 22 is also subsequently removed. In one embodiment, reactive ion etching is used to remove layers 30, 28, 26, 24 and 22.
  • Referring to FIG. 5, masking layer 32 has been removed to expose the nitride, and the remainder of the exposed portions of tunnel dielectric layer 22 have been removed to expose the substrate. In an embodiment in which tunnel dielectric layer 22 is silicon dioxide, the removal may be performed via a wet etch using dilute hydrofluoric acid.
  • Referring to FIG. 6, the high voltage device oxide layer 34 is formed. For example HV oxide layer 34 may be grown by oxidation in oxygen or steam. One exemplary oxide layer 34 is silicon dioxide having a thickness between 5 and 15 nanometers. Oxide layer 35 is concomitantly grown over nitride layer 30, typically having a smaller thickness. During this aggressive oxidation step, the nitride layer 30 serves as a diffusion barrier and protects the underlying nanoclusters 24, the polysilicon layer 26, and tunnel dielectric 22 from deleterious oxidation. Such oxidation, if allowed to occur, can adversely influence the NVM device performance since programming and erasing of the nanoclusters is very sensitive to the dielectric layer 22 thickness and the nanocluster size.
  • Subsequently, the low voltage device wells 37 for general logic circuitry are formed by implantation into substrate 12. A conventional implantation process follows the opening of the low voltage areas by a masking step. The HV oxide layer 34 serves as a sacrificial oxide for the low voltage well implants. The logic well is activated typically by a rapid thermal annealing process.
  • After formation of the logic wells 37, masking layer 36 (e.g., a photoresist) is formed over HV oxide layer 34 to protect portions of the HV oxide layer over the HV device well 14 and to expose other portions of the HV oxide layer.
  • Referring to FIG. 7, exposed portions of the HV oxide layer 34 are removed via a wet etch using dilute hydrofluoric acid. Oxide layer 35 is removed concomitantly with exposed portions of layer 34. After the exposed portions of the HV oxide layers 34 and 35 are removed, the masking layer 36 is also removed.
  • Referring to FIG. 8, I/O device oxide layer 38 is formed. Although other methods may be used, oxide layer 38 is typically grown by oxidation in oxygen. Other oxygen compounds such as N2O may be used. One exemplary oxide layer 38 is silicon dioxide. I/O oxide layer 38 is generally slightly thinner than HV oxide layer 34, having a thickness between 4 and 8 nanometers. Thin oxide layer 39 is concomitantly grown over nitride layer 30. HV oxide layer 34 is naturally thickened during the growth of I/O oxide layer 38. During this oxidation step, the nitride layer 30 again serves as a diffusion barrier and protects the underlying nanoclusters 24 and tunnel dielectric 22 from deleterious oxidation. Such oxidation, if allowed to occur, can adversely influence the NVM device performance since programming and erasing of the nanoclusters is very sensitive to the dielectric layer 22 thickness and the nanocluster size.
  • Referring to FIG. 9, masking layer 40 (e.g., a photoresist) is formed over I/O oxide layer 38 to protect portions of the HV and I/O oxide layers 34 and 38 over respective HV and I/ O device wells 14 and 20, and to expose other portions of the I/O oxide layer. Next, the exposed portions of I/O oxide layer 38 are removed using, for example, a wet etch of dilute hydrofluoric acid. Concomitantly, thin oxide layer 39 over nitride layer 30 is also removed.
  • Referring to FIG. 10, photoresist layer 40 is removed from over HV oxide layer 34 and I/O oxide layer 38. Low voltage (LV) oxide 42 is formed. Although other methods may be used, oxide layer 42 is typically grown by oxidation in oxygen, N2O or NO. One exemplary oxide layer 42 is silicon dioxide. LV oxide layer 42 is generally slightly thinner than HV oxide layer 34 and I/O oxide layer 38, having a thickness between 1.5 and 3 nanometers. A very thin oxide layer 43 may be concomitantly grown over nitride layer 30. HV oxide layer 34 and I/O oxide layer 38 may be naturally thickened during the growth of LV oxide layer 42. During this oxidation step, the nitride layer 30 again serves as a diffusion barrier and protects the underlying nanoclusters 24 and tunnel dielectric 22 from any further oxidation.
  • Referring to FIG. 11, a doped polysilicon layer 44 is formed over substrate 12. In the illustrated embodiment, polysilicon layer 44 is deposited over LV oxide layer 42, HV oxide layer 34, I/O oxide layer 38 and incidental oxide layer 43. Portions of polysilicon layer 44 will serve as gate electrodes of HV, LV and I/O devices. When polysilicon is used as the gate electrode for the periphery and NVM array devices, typically, the two layers are approximately the same thickness. In other embodiments, different materials with appropriate thicknesses may be used for periphery and NVM array gate electrodes. Polysilicon layer 44 may be in situ doped (during deposition) or by implantation (after deposition). Other gate electrode materials may be used such as metals. After gate electrode 44 is deposited, an anti-reflective coating (ARC) is deposited. In the illustrated embodiment, silicon nitride layer 46 provides the anti-reflective coating.
  • Referring to FIG. 12, masking layer 48 (e.g., a photoresist) is formed on nitride layer 46 over periphery devices and serves to pattern the gates for such devices, after which the exposed portions of layers 44 and 46 are removed using, for example, an anisotropic plasma etch. During this gate patterning step, portions of polysilicon layer 44 and nitride layer 46 overlying the NVM areas are removed while the gate electrodes (e.g., portions of layer 44) of the LV, HV and 1/O devices are allowed to remain. Using a reactive ion etch selective to the layers 43 and 30 results in a substantially complete removal of the gate electrode material layer 44 and ARC layer 46 from over the NVM array area while simultaneously patterning the gate electrodes for the I/O, HV and LV devices.
  • Referring to FIG. 13, masking layer 48 is removed. A masking layer 50 (e.g., a photoresist) is formed over periphery device areas corresponding to HV well 14, I/O well 20 and LV well 37 and other areas. The masking layer serves to pattern the gate electrodes for NVM array devices and to protect the periphery portions of semiconductor device 10.
  • Referring to FIG. 14, various portions of layers exposed by masking layer 50 are removed (e.g., via a nonselective, anisotropic, timed, plasma etch). For example, exposed portions thin oxide layer 43, nitride ARC layer 30, gate electrode layer 28, control dielectric 26 and nanocluster layer 24 are removed. Part of tunnel dielectric layer 22 is also removed.
  • Referring to FIG. 15, masking layer 50 is removed. Any remaining exposed portions of low voltage oxide layer 42, high voltage oxide layer 34, NVM tunnel dielectric 22 and I/O oxide layer 38 are removed by using wet etch processes. Very thin oxide 43 over the NVM ARC layer 30 is also removed. In an embodiment where all the oxide layers 34, 38 and 42 are silicon dioxide, a dilute hydrofluoric acid wet clean can be employed for this purpose.
  • Referring to FIG. 16, the NVM cell and periphery devices are completed. Subsequent to the formation of all of the gate electrodes as described in FIG. 15, standard CMOS processing techniques are used to form source/drain extensions, side-wall spacers and source/drain regions. As illustrated, 60 and 62 represent source/drain regions and extension of an HV device, 64 and 66 represent source/drain regions and extension of an NVM cell, 68 and 70 represent source/drain regions and extension of an I/O device, and 72 and 74 represent source/drain regions and extension of an LV device. Side-wall spacers 52 correspond to an HV device, side-wall spacers 54 correspond to an NVM cell device, side-wall spacers 56 correspond to an I/O device, and side-wall spacers 58 correspond to an LV device.
  • In another embodiment, after the LV oxide 42 is formed as illustrated in FIG. 10, a doped polysilicon layer 44 may be formed over substrate 12 as illustrated in FIG. 17. In the illustrated embodiment, polysilicon layer 44 is deposited over LV oxide layer 42, HV oxide layer 34, I/O oxide layer 38 and incidental oxide layer 43. Portions of polysilicon layer 44 will serve as gate electrodes of HV, LV and I/O devices. In this embodiment, an anti-reflective coating (ARC) is not required at this stage because subsequent etching is for large area and not for a critical dimension.
  • Referring to FIG. 18, a masking layer 80 (e.g., a photoresist) is formed and patterned over the HV, I/O and LV areas and exposing the NVM well area. In FIG. 19, the polysilicon layer 44, thin oxide layer 43 and nitride layer 30 are etched over the NVM area using, for example, a dry etch, a wet etch or combination thereof. In one embodiment, the etch is stopped as a change in chemistry of the materials being etched is detected. In FIG. 20, masking layer 80 is removed (e.g., via a plasma ash process or a piranha resist strip), and an ARC layer 82 is conformally deposited over polysilicon layers 44 and 28. In the illustrated embodiment, silicon nitride is used to provide the anti-reflective coating. In FIG. 21, masking layer 84 is formed over the HV, I/O, LV and NVM areas. In FIG. 22, a dry etch is performed to remove ARC layer 82 and the underlying polysilicon layers 44 and 28, thereby exposing dielectric layers 26, 34, 38 and 42. In FIG. 23, masking layer 84 is removed (e.g., as discussed above with regard to masking layer 80), and the formation of the gate electrodes is continued by removing (e.g., etching) the exposed portions of dielectric layers 26, 34, 38 and 42 and layer 24. After removal of ARC layer 82, processing continues in a similar fashion as described above with regard to FIG. 16. This alternative embodiment provides the advantage that only one of two masks has critical dimensions which provides cost and manufacturing advantages.
  • The above description is intended to describe at least one embodiment of the invention. The above description is not intended to define the scope of the invention. Rather, the scope of the invention is defined in the claims below. Thus, other embodiments of the invention include other variations, modifications, additions, and/or improvements to the above description.
  • In one embodiment, a method of forming a nanocluster charge storage device is provided. A substrate is provided. The substrate has a first dopant well associated with the nanocluster charge storage device and a second dopant well associated with a semiconductor device not having nanoclusters. A first gate stack is formed overlying the first dopant well and having a first conductive gate material layer that forms a gate electrode in the first gate stack. The first conductive gate material layer overlies a plurality of nanoclusters embedded in a first gate dielectric layer. The first conductive gate material layer underlies a portion of a second conductive gate material layer. A second gate stack is formed overlying the second dopant well using a portion of the second conductive gate material layer overlying the second dopant well as a gate electrode in the second gate stack. A portion of the second conductive gate material layer that overlies the first conductive gate material layer is removed.
  • In another form the portion of the second conductive gate material layer that overlies the first conductive gate material layer is removed by masking all areas away from the first dopant well and selectively etching the second conductive gate material layer. In a further embodiment, the first conductive gate material layer and the second conductive gate material layer are formed using doped polysilicon, a metal or a metal alloy. In yet a further embodiment, the first conductive gate material layer is implemented with a material that is different from the second conductive gate material layer.
  • In another further embodiment, the forming of the first gate dielectric layer is by forming a gate oxide layer and a second gate oxide layer overlying and surrounding the nanocluster layer. The first gate dielectric and the first conductive gate material layer are formed overlying both the first dopant well and the second dopant well. Selectively etching from areas overlying the second dopant well of the first conductive gate material layer occurs, the first gate dielectric layer and the nanocluster layer using a combination of a wet etch and a dry etch.
  • In another further embodiment, the second gate stack is formed by forming a second gate dielectric layer and the second conductive gate material layer overlying a portion of the second dopant well. The second conductive gate material layer overlies the second gate dielectric layer. In yet a further embodiment, the second gate dielectric layer is formed of silicon dioxide or silicon oxynitride.
  • In another further embodiment, a nitride layer is formed in the first gate stack and overlies the first conductive gate material and is between a portion of the first conductive gate material and the second conductive gate material. An oxide layer is formed overlying and in physical contact with the nitride layer. The nitride layer and oxide layer function as an etch stop layer when removing the second conductive gate material. The nitride layer also functions as an antireflective coating when forming the gate electrode in the first gate stack.
  • In another further embodiment, the first gate dielectric layer is formed of an oxide or an oxynitride of a compound containing at least one of hafnium, lanthanum, aluminum and silicon.
  • In another further embodiment, the plurality of nanoclusters embedded in the first gate dielectric layer overlying the first dopant well and the second dopant well are formed by forming a layer of doped or undoped semiconductor nanocrystals, metal nanocrystals, nanocrystals of two or more doped or undoped semiconductors, or metal alloy nanocrystals.
  • In another further embodiment, a first source and a first drain are formed around the first gate stack and within the first dopant well to form the charge storage device as a nonvolatile memory (NVM) transistor. A second source and a second drain are formed around the second gate stack and within the second dopant well to form a periphery transistor.
  • In another further embodiment, a semiconductor device is formed from the second gate stack. The semiconductor device enables charging and discharging of the nanocluster charge storage device.
  • In another embodiment, a method includes the following steps: providing a substrate; forming a first dopant well and a second dopant well in the substrate; forming a layer of nanoclusters embedded in a first gate dielectric overlying the first dopant well and the second dopant well; forming a first conductive gate material layer overlying the layer of nanoclusters; forming a nitride layer overlying the first conductive gate material layer; forming a storage stack overlying the first dopant well by patterning and removing the nitride layer, the first conductive gate material layer, and the layer of nanoclusters from areas other than overlying the first dopant well; forming a second gate dielectric overlying the second dopant well, the second gate dielectric having no nanoclusters; forming a second conductive gate material layer overlying the second gate dielectric and the storage stack; forming an anti-reflective coating layer overlying the second conductive gate material layer; patterning the second conductive gate material layer to form a first gate stack having the second conductive gate material layer as a gate electrode thereof while removing the second conductive gate material layer from the storage stack; and forming a second gate stack overlying the first dopant well by removing a portion of the storage stack, the second gate stack using the first conductive gate material layer as a gate electrode of a charge storage device having nanoclusters.
  • In a further embodiment, the method further includes the following steps: forming a first source and a first drain around the first gate stack and within the second dopant well to form a transistor; and forming a second source and a second-drain around the second gate stack and within the first dopant well to complete formation of the charge storage device.
  • In another further embodiment, the method further includes the step of forming the first conductive gate material layer of doped polysilicon, metal or a metal alloy.
  • In another further embodiment, the method further includes the step of forming the second conductive gate material layer (44) of doped polysilicon, metal or a metal alloy
  • In another further embodiment, the method further includes the step of forming the layer of nanoclusters embedded in the first gate dielectric overlying the first dopant well and the second dopant well by forming a layer of doped or undoped semiconductor nanocrystals, metal nanocrystals, nanocrystals of two or more doped or undoped semiconductors, or metal alloy nanocrystals.
  • In another further embodiment, the method further includes the step of forming the first gate dielectric of an oxide or an oxynitride of a compound containing at least one of hafnium, lanthanum, aluminum and silicon.
  • In another further embodiment, the method further includes the step of forming the second gate dielectric of silicon dioxide or silicon oxynitride.
  • In another embodiment, a method of forming a nanocluster charge storage device includes the following steps: providing a substrate having a memory dopant well associated with the nanocluster charge storage device and a periphery dopant well associated with a semiconductor device not having nanoclusters; forming a layer of nanoclusters embedded in a first gate dielectric overlying the memory dopant well; forming a first gate material layer overlying the layer of nanoclusters; patterning the layer of nanoclusters and the first gate material layer to exist only overlying the memory dopant well; forming a second gate material layer overlying the periphery dopant well and also overlying the layer of nanoclusters and the first gate material layer after formation of the first gate material layer; forming a periphery device gate stack by removing the second gate material layer from areas other than a predetermined periphery area overlying the periphery dopant well; and subsequently forming a nanocluster charge storage device gate stack by patterning the layer of nanoclusters and the first gate material layer overlying the memory dopant well, wherein the charge storage device gate stack is formed after formation of the periphery device gate stack even though the first gate material layer is formed prior to the second gate material layer.
  • In a further embodiment, the method further includes forming an etch stop layer directly overlying the first gate material layer for endpoint detection during removal of the second gate material layer overlying the first gate material layer.
  • In another embodiment, a method of forming a nanocluster charge storage device includes: providing a substrate having a memory dopant well associated with the nanocluster charge storage device and a periphery dopant well associated with a semiconductor device not having nanoclusters; forming a layer of nanoclusters embedded in a first gate dielectric overlying the memory dopant well; forming a first gate material layer overlying the layer of nanoclusters; patterning the layer of nanoclusters and the first gate material layer to exist only overlying the memory dopant well; forming a second gate material layer overlying the periphery dopant well and also overlying the layer of nanoclusters and the first gate material layer after formation of the first gate material layer; removing the second gate material layer from areas other than a predetermined periphery area overlying the periphery dopant well; and using a mask to selectively form at a substantially same time a periphery device gate stack and a nanocluster charge storage gate.
  • Many of the devices described herein may be conceptualized as having a control terminal which controls the flow of current between a first current handling terminal and a second current handling terminal. One example of such a device is a transistor. An appropriate condition on the control terminal of a transistor causes a current to flow from/to the first current handling terminal and to/from the second current handling terminal. Also, although field effect transistors (FETs) are frequently discussed as having a drain, a gate, and a source, in most such devices the drain is interchangeable with the source. This is because the layout and semiconductor processing of the transistor is frequently symmetrical.
  • Because the above detailed description is exemplary, when “one embodiment” is described, it is an exemplary embodiment. Accordingly, the use of the word “one” in this context is not intended to indicate that one and only one embodiment may have a described feature. Rather, many other embodiments may, and often do, have the described feature of the exemplary “one embodiment.” Thus, as used above, when the invention is described in the context of one embodiment, that one embodiment is one of many possible embodiments of the invention.
  • Notwithstanding the above caveat regarding the use of the words “one embodiment” in the detailed description, it will be understood by those within the art that if a specific number of an introduced claim element is intended in the below claims, such an intent will be explicitly recited in the claim, and in the absence of such recitation no such limitation is present or intended. For example, in the claims below, when a claim element is described as having “one” feature, it is intended that the element be limited to one and only one of the feature described. Furthermore, when a claim element is described in the claims below as including or comprising “a” feature, it is not intended that the element be limited to one and only one of the feature described. Rather, for example, the claim including “a” feature reads upon an apparatus or method including one or more of the feature in question. That is, because the apparatus or method in question includes a feature, the claim reads on the apparatus or method regardless of whether the apparatus or method includes another such similar feature. This use of the word “a” as a nonlimiting, introductory article to a feature of a claim is adopted herein by Applicants as being identical to the interpretation adopted by many courts in the past, notwithstanding any anomalous or precedential case law to the contrary that may be found. Similarly, when a claim element is described in the claims below as including or comprising an aforementioned feature (e.g., “the” feature), it is intended that the element not be limited to one and only one of the feature described merely by the incidental use of the definite article.
  • Furthermore, the use of introductory phrases such as “at least one” and “one or more” in the claims should not be construed to imply that the introduction of another claim element by the indefinite articles “a” or “an” limits any particular claim containing such introduced claim element to inventions containing only one such element, even when the same claim includes the introductory phrases “one or more” or “at least one” and indefinite articles such as “a” or “an.” The same holds true for the use of definite articles.
  • Based on the teachings herein, those skilled in the art will readily implement the steps necessary to provide the structures and the methods disclosed herein, and will understand that the process parameters, materials, dimensions, and sequence of steps are given by way of example only and can be varied to achieve the desired structure as well as modifications that are within the scope of the invention. Variations and modifications of the embodiments disclosed herein may be made based on the description set forth herein, without departing from the spirit and scope of the invention as set forth in the following claims.
  • While particular embodiments of the present invention have been shown and described, it will be obvious to those skilled in the art that, based upon the teachings herein, various modifications, alternative constructions, and equivalents may be used without departing from the invention claimed herein. Consequently, the appended claims encompass within their scope all such changes, modifications, etc. as are within the true spirit and scope of the invention. Furthermore, it is to be understood that the invention is solely defined by the appended claims. The above description is not intended to present an exhaustive list of embodiments of the invention. Unless expressly stated otherwise, each example presented herein is a nonlimiting or nonexclusive example, whether or not the terms nonlimiting, nonexclusive or similar terms are contemporaneously expressed with each example. Although an attempt has been made to outline some exemplary embodiments and exemplary variations thereto, other embodiments and/or variations are within the scope of the invention as defined in the claims below.

Claims (22)

1. A method of forming a nanocluster charge storage device, comprising:
providing a substrate having a first dopant well associated with the nanocluster charge storage device and a second dopant well associated with a semiconductor device not having nanoclusters;
forming a first gate stack overlying the first dopant well and having a first conductive gate material layer that forms a gate electrode in the first gate stack, the first conductive gate material layer overlying a plurality of nanoclusters embedded in a first gate dielectric layer, the first conductive gate material layer underlying a portion of a second conductive gate material layer; and
forming a second gate stack overlying the second dopant well using a portion of the second conductive gate material layer overlying the second dopant well as a gate electrode in the second gate stack, a portion of the second conductive gate material layer that overlies the first conductive gate material layer is removed.
2. The method of claim 1 further comprising:
removing the portion of the second conductive gate material layer that overlies the first conductive gate material layer by masking all areas away from the first dopant well and selectively etching the second conductive gate material layer.
3. The method of claim 1 further comprising:
forming the first conductive gate material layer and the second conductive gate material layer using doped polysilicon, a metal or a metal alloy.
4. The method of claim 3 further comprising:
implementing the first conductive gate material layer with a material that is different from the second conductive gate material layer.
5. The method of claim 1 further comprising:
forming the first gate dielectric layer comprising forming a gate oxide layer and a second gate oxide layer overlying and surrounding the nanocluster layer, wherein the first gate dielectric and the first conductive gate material layer are formed overlying both the first dopant well and the second dopant well; and
selectively etching from areas overlying the second dopant well the first conductive gate material layer, the first gate dielectric layer and the nanocluster layer using a combination of a wet etch and a dry etch.
6. The method of claim 1 further comprising:
forming the second gate stack by forming a second gate dielectric layer and the second conductive gate material layer overlying a portion of the second dopant well, the second conductive gate material layer overlying the second gate dielectric layer.
7. The method of claim 6 further comprising:
forming the second gate dielectric layer of silicon dioxide or silicon oxynitride.
8. The method of claim 1 further comprising:
forming a nitride layer in the first gate stack and overlying the first conductive gate material and between a portion of the first conductive gate material and the second conductive gate material;
forming an oxide layer overlying and in physical contact with the nitride layer, the nitride layer and oxide layer functioning as an etch stop layer when removing the second conductive gate material and the nitride layer also functioning as an antireflective coating when forming the gate electrode in the first gate stack.
9. The method of claim 1 further comprising:
forming the first gate dielectric layer of an oxide or an oxynitride of a compound containing at least one of hafnium, lanthanum, aluminum and silicon.
10. The method of claim 1 further comprising:
forming the plurality of nanoclusters embedded in the first gate dielectric layer overlying the first dopant well and the second dopant well by forming a layer of doped or undoped semiconductor nanocrystals, metal nanocrystals, nanocrystals of two or more doped or undoped semiconductors, or metal alloy nanocrystals.
11. The method of claim I further comprising:
forming a first source and a first drain around the first gate stack and within the first dopant well to form the charge storage device as a nonvolatile memory (NVM) transistor; and
forming a second source and a second drain around the second gate stack and within the second dopant well to form a periphery transistor.
12. The method of claim 1 further comprising:
forming a semiconductor device from the second gate stack, the semiconductor device enabling charging and discharging of the nanocluster charge storage device.
13. A method comprising:
providing a substrate;
forming a first dopant well and a second dopant well in the substrate;
forming a layer of nanoclusters embedded in a first gate dielectric overlying the first dopant well and the second dopant well;
forming a first conductive gate material layer overlying the layer of nanoclusters;
forming a nitride layer overlying the first conductive gate material layer;
forming a storage stack overlying the first dopant well by patterning and removing the nitride layer, the first conductive gate material layer and the layer of nanoclusters from areas other than overlying the first dopant well;
forming a second gate dielectric overlying the second dopant well, the second gate dielectric having no nanoclusters;
forming a second conductive gate material layer overlying the second gate dielectric and the storage stack;
forming an anti-reflective coating layer overlying the second conductive gate material layer;
patterning the second conductive gate material layer to form a first gate stack having the second conductive gate material layer as a gate electrode thereof while removing the second conductive gate material layer from the storage stack; and
forming a second gate stack overlying the first dopant well by removing a portion of the storage stack, the second gate stack using the first conductive gate material layer as a gate electrode of a charge storage device having nanoclusters.
14. The method of claim 13 fixer comprising:
forming a first source and a first drain around the first gate stack and within the second dopant well to form a transistor; and
forming a second source and a second drain around the second gate stack and within the first dopant well to complete formation of the charge storage device.
15. The method of claim 13 further comprising:
forming the first conductive gate material layer of doped polysilicon, metal or a metal alloy.
16. The method of claim 13 further comprising:
forming the second conductive gate material layer (44) of doped polysilicon, metal or a metal alloy
17. The method of claim 13 further comprising:
forming the layer of nanoclusters embedded in the first gate dielectric overlying the first dopant well and the second dopant well by forming a layer of doped or undoped semiconductor nanocrystals, metal nanocrystals, nanocrystals of two or more doped or undoped semiconductors, or metal alloy nanocrystals.
18. The method of claim 12 further comprising:
forming the first gate dielectric of an oxide or an oxynitride of a compound containing at least one of hafnium, lanthanum, aluminum and silicon.
19. The method of claim 13 further comprising:
forming the second gate dielectric of silicon dioxide or silicon oxynitride.
20. A method of forming a nanocluster charge storage device, comprising:
providing a substrate having a memory dopant well associated with the nanocluster charge storage device and a periphery dopant well associated with a semiconductor device not having nanoclusters;
forming a layer of nanoclusters embedded in a first gate dielectric overlying the memory dopant well;
forming a first gate material layer overlying the layer of nanoclusters;
patterning the layer of nanoclusters and the first gate material layer to exist only overlying the memory dopant well;
forming a second gate material layer overlying the periphery dopant well and also overlying the layer of nanoclusters and the first gate material layer after formation of the first gate material layer;
forming a periphery device gate stack by removing the second gate material layer from areas other than a predetermined periphery area overlying the periphery dopant well; and
subsequently forming a nanocluster charge storage device gate stack by patterning the layer of nanoclusters and the first gate material layer overlying the memory dopant well, wherein the charge storage device gate stack is formed after formation of the periphery device gate stack even though the first gate material layer is formed prior to the second gate material layer.
21. The method of claim 20 further comprising:
forming an etch stop layer directly overlying the first gate material layer for endpoint detection during removal of the second gate material layer overlying the first gate material layer.
22. A method of forming a nanocluster charge storage device, comprising:
providing a substrate having a memory dopant well associated with the nanocluster charge storage device and a periphery dopant well associated with a semiconductor device not having nanoclusters;
forming a layer of nanoclusters embedded in a first gate dielectric overlying the memory dopant well;
forming a first gate material layer overlying the layer of nanoclusters;
patterning the layer of nanoclusters and the first gate material layer to exist only overlying the memory dopant well;
forming a second gate material layer overlying the periphery dopant well and also overlying the layer of nanoclusters and the first gate material layer after formation of the first gate material layer;
removing the second gate material layer from areas other than a predetermined periphery area overlying the periphery dopant well; and
using a mask to selectively form at a substantially same time a periphery device gate stack and a nanocluster charge storage gate.
US10/876,820 2004-06-25 2004-06-25 Method of forming a nanocluster charge storage device Active 2024-12-08 US7091130B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US10/876,820 US7091130B1 (en) 2004-06-25 2004-06-25 Method of forming a nanocluster charge storage device
JP2007518062A JP2008504679A (en) 2004-06-25 2005-05-11 Method of forming a nanocluster charge storage device
PCT/US2005/016252 WO2006007069A2 (en) 2004-06-25 2005-05-11 Method of forming a nanocluster charge storage device
EP05782558A EP1759405A4 (en) 2004-06-25 2005-05-11 Method of forming a nanocluster charge storage device
CNB2005800210850A CN100435286C (en) 2004-06-25 2005-05-11 Method of forming a nanocluster charge storage device
TW094119327A TWI375318B (en) 2004-06-25 2005-06-10 Method of forming a nanocluster charge storage device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/876,820 US7091130B1 (en) 2004-06-25 2004-06-25 Method of forming a nanocluster charge storage device

Publications (2)

Publication Number Publication Date
US7091130B1 US7091130B1 (en) 2006-08-15
US20060194438A1 true US20060194438A1 (en) 2006-08-31

Family

ID=35784282

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/876,820 Active 2024-12-08 US7091130B1 (en) 2004-06-25 2004-06-25 Method of forming a nanocluster charge storage device

Country Status (6)

Country Link
US (1) US7091130B1 (en)
EP (1) EP1759405A4 (en)
JP (1) JP2008504679A (en)
CN (1) CN100435286C (en)
TW (1) TWI375318B (en)
WO (1) WO2006007069A2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060131633A1 (en) * 2004-12-21 2006-06-22 Micron Technology, Inc. Integrated two device non-volatile memory
US20070152293A1 (en) * 2005-12-29 2007-07-05 Dongbu Electronics Co., Ltd. Method for manufacturing semiconductor device
US20080157171A1 (en) * 2006-12-29 2008-07-03 Prashant Majhi Dielectric barrier for nanocrystals
WO2008091737A1 (en) * 2007-01-26 2008-07-31 Freescale Semiconductor Inc. Method of making a semiconductor device having high voltage transistors, non-volatile memory transistors, and logic transistors
WO2008115266A2 (en) * 2006-10-30 2008-09-25 Atmel Corporation Growth of metallic nanodots using specific precursors
US7575978B2 (en) * 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8093680B1 (en) * 2006-09-14 2012-01-10 Spansion Llc Metal-insulator-metal-insulator-metal (MIMIM) memory device
CN102386142A (en) * 2010-08-31 2012-03-21 飞思卡尔半导体公司 Patterning a gate stack of a non-volatile memory (nvm) with simultaneous etch in non-nvm area
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8367506B2 (en) 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7361543B2 (en) * 2004-11-12 2008-04-22 Freescale Semiconductor, Inc. Method of forming a nanocluster charge storage device
KR100688504B1 (en) * 2004-11-15 2007-03-02 삼성전자주식회사 Manufacturing method of non-volatile device utilizing implantation process and device thereby
US7183159B2 (en) * 2005-01-14 2007-02-27 Freescale Semiconductor, Inc. Method of forming an integrated circuit having nanocluster devices and non-nanocluster devices
US7955935B2 (en) 2006-08-03 2011-06-07 Micron Technology, Inc. Non-volatile memory cell devices and methods
US7560769B2 (en) 2006-08-03 2009-07-14 Micron Technology, Inc. Non-volatile memory cell device and methods
FR2910176B1 (en) 2006-12-15 2009-10-23 Commissariat Energie Atomique METHOD FOR PRODUCING A DEVICE BASED ON NANOCRYSTALS COATED WITH A CVD-BASED NITRIDE LAYER
US8128626B2 (en) * 2007-04-24 2012-03-06 Flexfix, Llc System and method for delivery conformation and removal of intramedullary bone fixation devices
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US8614124B2 (en) 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US7871886B2 (en) * 2008-12-19 2011-01-18 Freescale Semiconductor, Inc. Nanocrystal memory with differential energy bands and method of formation
US7799634B2 (en) * 2008-12-19 2010-09-21 Freescale Semiconductor, Inc. Method of forming nanocrystals
US9102522B2 (en) 2009-04-24 2015-08-11 Cypress Semiconductor Corporation Method of ONO integration into logic CMOS flow
US8071453B1 (en) 2009-04-24 2011-12-06 Cypress Semiconductor Corporation Method of ONO integration into MOS flow
US8679912B2 (en) * 2012-01-31 2014-03-25 Freescale Semiconductor, Inc. Semiconductor device having different non-volatile memories having nanocrystals of differing densities and method therefor
US9230977B2 (en) 2013-06-21 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded flash memory device with floating gate embedded in a substrate
US8883624B1 (en) 2013-09-27 2014-11-11 Cypress Semiconductor Corporation Integration of a memory transistor into high-K, metal gate CMOS process flow
JP5732574B2 (en) * 2014-04-14 2015-06-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US9218978B1 (en) * 2015-03-09 2015-12-22 Cypress Semiconductor Corporation Method of ONO stack formation
CN107978606B (en) * 2017-11-20 2020-08-25 上海华力微电子有限公司 Embedded flash memory process integration method
TWI704648B (en) * 2019-11-20 2020-09-11 華邦電子股份有限公司 Method for manufacturing memory device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6320784B1 (en) * 2000-03-14 2001-11-20 Motorola, Inc. Memory cell and method for programming thereof
US6444545B1 (en) * 2000-12-19 2002-09-03 Motorola, Inc. Device structure for storing charge and method therefore
US20040135204A1 (en) * 2002-06-05 2004-07-15 Hongmei Wang Fully-depleted (FD) (SOI) MOSFET access transistor and method of fabrication
US20040212019A1 (en) * 2003-04-28 2004-10-28 Masaaki Shinohara Semiconductor device and a method of manufacturing the same
US20050098822A1 (en) * 2003-11-10 2005-05-12 Leo Mathew Transistor having three electrically isolated electrodes and method of formation
US6958265B2 (en) * 2003-09-16 2005-10-25 Freescale Semiconductor, Inc. Semiconductor device with nanoclusters
US7015090B2 (en) * 2002-04-17 2006-03-21 Renesas Technology Corp. Method of manufacturing a semiconductor device having trenches for isolation and capacitor formation trenches

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW420874B (en) * 1998-05-04 2001-02-01 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device
JP2000077618A (en) * 1998-06-15 2000-03-14 Mitsubishi Electric Corp Semiconductor device and its manufacture
DE69942862D1 (en) * 1999-12-06 2010-11-25 St Microelectronics Srl Non-volatile floating gate storage cell manufacturing process and control circuit
JP2002009168A (en) * 2000-06-19 2002-01-11 Nec Corp Semiconductor device and its manufacturing method
JP4096507B2 (en) * 2000-09-29 2008-06-04 富士通株式会社 Manufacturing method of semiconductor device
JP4322477B2 (en) * 2001-06-28 2009-09-02 株式会社東芝 Manufacturing method of semiconductor device
JP3993438B2 (en) * 2002-01-25 2007-10-17 株式会社ルネサステクノロジ Semiconductor device
JP2003218245A (en) * 2002-01-25 2003-07-31 Sony Corp Method of manufacturing non-volatile semiconductor memory device
US7115949B2 (en) * 2002-05-30 2006-10-03 Freescale Semiconductor, Inc. Method of forming a semiconductor device in a semiconductor layer and structure thereof
JP2004104009A (en) * 2002-09-12 2004-04-02 Fujitsu Ltd Semiconductor device and manufacturing method thereof

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320784B1 (en) * 2000-03-14 2001-11-20 Motorola, Inc. Memory cell and method for programming thereof
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6444545B1 (en) * 2000-12-19 2002-09-03 Motorola, Inc. Device structure for storing charge and method therefore
US7015090B2 (en) * 2002-04-17 2006-03-21 Renesas Technology Corp. Method of manufacturing a semiconductor device having trenches for isolation and capacitor formation trenches
US20040135204A1 (en) * 2002-06-05 2004-07-15 Hongmei Wang Fully-depleted (FD) (SOI) MOSFET access transistor and method of fabrication
US20040212019A1 (en) * 2003-04-28 2004-10-28 Masaaki Shinohara Semiconductor device and a method of manufacturing the same
US6958265B2 (en) * 2003-09-16 2005-10-25 Freescale Semiconductor, Inc. Semiconductor device with nanoclusters
US20050098822A1 (en) * 2003-11-10 2005-05-12 Leo Mathew Transistor having three electrically isolated electrodes and method of formation

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8242554B2 (en) 2004-12-21 2012-08-14 Micron Technology, Inc. Integrated two device non-volatile memory
US20060131633A1 (en) * 2004-12-21 2006-06-22 Micron Technology, Inc. Integrated two device non-volatile memory
US20100038701A1 (en) * 2004-12-21 2010-02-18 Micron Technology, Inc. Integrated two device non-volatile memory
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8288818B2 (en) 2005-07-20 2012-10-16 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) * 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US9496355B2 (en) 2005-08-04 2016-11-15 Micron Technology, Inc. Conductive nanoparticles
US20090090991A1 (en) * 2005-12-29 2009-04-09 Kee Joon Choi Method for Manufacturing Semiconductor Device
US20070152293A1 (en) * 2005-12-29 2007-07-05 Dongbu Electronics Co., Ltd. Method for manufacturing semiconductor device
US7476592B2 (en) * 2005-12-29 2009-01-13 Dongbu Electronics Co., Ltd. Method for manufacturing semiconductor device
US8093680B1 (en) * 2006-09-14 2012-01-10 Spansion Llc Metal-insulator-metal-insulator-metal (MIMIM) memory device
US7687349B2 (en) 2006-10-30 2010-03-30 Atmel Corporation Growth of silicon nanodots having a metallic coating using gaseous precursors
WO2008115266A2 (en) * 2006-10-30 2008-09-25 Atmel Corporation Growth of metallic nanodots using specific precursors
WO2008115266A3 (en) * 2006-10-30 2009-02-05 Atmel Corp Growth of metallic nanodots using specific precursors
US20080157171A1 (en) * 2006-12-29 2008-07-03 Prashant Majhi Dielectric barrier for nanocrystals
US7763511B2 (en) 2006-12-29 2010-07-27 Intel Corporation Dielectric barrier for nanocrystals
US7816211B2 (en) 2007-01-26 2010-10-19 Freescale Semiconductor, Inc. Method of making a semiconductor device having high voltage transistors, non-volatile memory transistors, and logic transistors
KR101364214B1 (en) * 2007-01-26 2014-02-21 프리스케일 세미컨덕터, 인크. Method of making a semiconductor device having high voltage transistors, non-volatile memory transistors, and logic transistors
WO2008091737A1 (en) * 2007-01-26 2008-07-31 Freescale Semiconductor Inc. Method of making a semiconductor device having high voltage transistors, non-volatile memory transistors, and logic transistors
US8367506B2 (en) 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US9064866B2 (en) 2007-06-04 2015-06-23 Micro Technology, Inc. High-k dielectrics with gold nano-particles
CN102386142A (en) * 2010-08-31 2012-03-21 飞思卡尔半导体公司 Patterning a gate stack of a non-volatile memory (nvm) with simultaneous etch in non-nvm area

Also Published As

Publication number Publication date
US7091130B1 (en) 2006-08-15
CN100435286C (en) 2008-11-19
JP2008504679A (en) 2008-02-14
CN101010785A (en) 2007-08-01
TW200612548A (en) 2006-04-16
WO2006007069A2 (en) 2006-01-19
TWI375318B (en) 2012-10-21
WO2006007069A3 (en) 2007-04-12
EP1759405A4 (en) 2008-11-12
EP1759405A2 (en) 2007-03-07

Similar Documents

Publication Publication Date Title
US7091130B1 (en) Method of forming a nanocluster charge storage device
US7361543B2 (en) Method of forming a nanocluster charge storage device
JP4901729B2 (en) Method for forming nanocluster charge storage device
US7118972B2 (en) Method of manufacture of a semiconductor device
US7348245B2 (en) Semiconductor device and a method of manufacturing the same
EP1399965B1 (en) Isolation of sonos devices
US7029976B1 (en) Method for SONOS EFLASH integrated circuit
US20060035432A1 (en) Method of fabricating non-volatile memory device having local SONOS gate structure
US20030211692A1 (en) Method of fabricating trap type nonvolatile memory device
US7713810B2 (en) Method for fabricating a layer arrangement, layer arrangement and memory arrangement
JP4783595B2 (en) Semiconductor device DRAM manufacturing method
JP3745297B2 (en) Method for manufacturing nonvolatile semiconductor memory device
JP5354907B2 (en) Semiconductor device having a nitrided oxide layer and method therefor
US6242773B1 (en) Self-aligning poly 1 ono dielectric for non-volatile memory
US8030165B2 (en) Poly gate etch method and device for sonos-based flash memory
US6696331B1 (en) Method of protecting a stacked gate structure during fabrication
US6605501B1 (en) Method of fabricating CMOS device with dual gate electrode
TWI555066B (en) Method of manufacturing a semiconductor device
JP2004103902A (en) Nonvolatile semiconductor storage device and its manufacturing method
US6943119B2 (en) Flash process for stacking poly etching
US7772639B2 (en) Charge-trap nonvolatile memory devices
KR20070023770A (en) Method of forming a nanocluster charge storage device
KR20070021271A (en) Method of forming a nanocluster charge storage device

Legal Events

Date Code Title Description
AS Assignment

Owner name: PREESCALE SEMICONDUCTOR, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAO, RAJESH A.;MURALIDHAR, RAMACHANDRAN;STEIMLE, ROBERT F.;AND OTHERS;REEL/FRAME:015525/0428;SIGNING DATES FROM 20040623 TO 20040625

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: CITIBANK, N.A. AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNORS:FREESCALE SEMICONDUCTOR, INC.;FREESCALE ACQUISITION CORPORATION;FREESCALE ACQUISITION HOLDINGS CORP.;AND OTHERS;REEL/FRAME:018855/0129

Effective date: 20061201

Owner name: CITIBANK, N.A. AS COLLATERAL AGENT,NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNORS:FREESCALE SEMICONDUCTOR, INC.;FREESCALE ACQUISITION CORPORATION;FREESCALE ACQUISITION HOLDINGS CORP.;AND OTHERS;REEL/FRAME:018855/0129

Effective date: 20061201

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: CITIBANK, N.A., AS COLLATERAL AGENT,NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNOR:FREESCALE SEMICONDUCTOR, INC.;REEL/FRAME:024397/0001

Effective date: 20100413

Owner name: CITIBANK, N.A., AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNOR:FREESCALE SEMICONDUCTOR, INC.;REEL/FRAME:024397/0001

Effective date: 20100413

AS Assignment

Owner name: CITIBANK, N.A., AS NOTES COLLATERAL AGENT, NEW YOR

Free format text: SECURITY AGREEMENT;ASSIGNOR:FREESCALE SEMICONDUCTOR, INC.;REEL/FRAME:030633/0424

Effective date: 20130521

AS Assignment

Owner name: CITIBANK, N.A., AS NOTES COLLATERAL AGENT, NEW YOR

Free format text: SECURITY AGREEMENT;ASSIGNOR:FREESCALE SEMICONDUCTOR, INC.;REEL/FRAME:031591/0266

Effective date: 20131101

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS

Free format text: PATENT RELEASE;ASSIGNOR:CITIBANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:037356/0143

Effective date: 20151207

Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS

Free format text: PATENT RELEASE;ASSIGNOR:CITIBANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:037356/0553

Effective date: 20151207

Owner name: FREESCALE SEMICONDUCTOR, INC., TEXAS

Free format text: PATENT RELEASE;ASSIGNOR:CITIBANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:037354/0225

Effective date: 20151207

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS;ASSIGNOR:CITIBANK, N.A.;REEL/FRAME:037486/0517

Effective date: 20151207

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS;ASSIGNOR:CITIBANK, N.A.;REEL/FRAME:037518/0292

Effective date: 20151207

AS Assignment

Owner name: NORTH STAR INNOVATIONS INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FREESCALE SEMICONDUCTOR, INC.;REEL/FRAME:037694/0264

Effective date: 20151002

AS Assignment

Owner name: NXP, B.V., F/K/A FREESCALE SEMICONDUCTOR, INC., NETHERLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:040925/0001

Effective date: 20160912

Owner name: NXP, B.V., F/K/A FREESCALE SEMICONDUCTOR, INC., NE

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:040925/0001

Effective date: 20160912

AS Assignment

Owner name: NXP B.V., NETHERLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:040928/0001

Effective date: 20160622

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE PATENTS 8108266 AND 8062324 AND REPLACE THEM WITH 6108266 AND 8060324 PREVIOUSLY RECORDED ON REEL 037518 FRAME 0292. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT AND ASSUMPTION OF SECURITY INTEREST IN PATENTS;ASSIGNOR:CITIBANK, N.A.;REEL/FRAME:041703/0536

Effective date: 20151207

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NORTH STAR INNOVATIONS INC.;REEL/FRAME:041717/0736

Effective date: 20161006

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12

AS Assignment

Owner name: SHENZHEN XINGUODU TECHNOLOGY CO., LTD., CHINA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE TO CORRECT THE APPLICATION NO. FROM 13,883,290 TO 13,833,290 PREVIOUSLY RECORDED ON REEL 041703 FRAME 0536. ASSIGNOR(S) HEREBY CONFIRMS THE THE ASSIGNMENT AND ASSUMPTION OF SECURITYINTEREST IN PATENTS.;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:048734/0001

Effective date: 20190217

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIWAN

Free format text: 323.01(C) ASSIGNMENT OR CHANGE OF NAME IMPROPERLY FILED AND RECORDED BY ANOTHER PERSON AGAINST OWNER'S PATENT;ASSIGNOR:TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.;REEL/FRAME:052459/0656

Effective date: 20190924

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVE APPLICATION11759915 AND REPLACE IT WITH APPLICATION 11759935 PREVIOUSLY RECORDED ON REEL 037486 FRAME 0517. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT AND ASSUMPTION OF SECURITYINTEREST IN PATENTS;ASSIGNOR:CITIBANK, N.A.;REEL/FRAME:053547/0421

Effective date: 20151207

AS Assignment

Owner name: NXP B.V., NETHERLANDS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVEAPPLICATION 11759915 AND REPLACE IT WITH APPLICATION11759935 PREVIOUSLY RECORDED ON REEL 040928 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE RELEASE OF SECURITYINTEREST;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:052915/0001

Effective date: 20160622

AS Assignment

Owner name: NXP, B.V. F/K/A FREESCALE SEMICONDUCTOR, INC., NETHERLANDS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REMOVEAPPLICATION 11759915 AND REPLACE IT WITH APPLICATION11759935 PREVIOUSLY RECORDED ON REEL 040925 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE RELEASE OF SECURITYINTEREST;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC.;REEL/FRAME:052917/0001

Effective date: 20160912