US20060160351A1 - Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer - Google Patents

Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer Download PDF

Info

Publication number
US20060160351A1
US20060160351A1 US11/385,400 US38540006A US2006160351A1 US 20060160351 A1 US20060160351 A1 US 20060160351A1 US 38540006 A US38540006 A US 38540006A US 2006160351 A1 US2006160351 A1 US 2006160351A1
Authority
US
United States
Prior art keywords
layer
trench
ild
ild film
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/385,400
Inventor
Jong-Hyon Ahn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US11/385,400 priority Critical patent/US20060160351A1/en
Publication of US20060160351A1 publication Critical patent/US20060160351A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly, to a metal interconnect layer of a semiconductor device and a method for forming the metal interconnect layer.
  • FIGS. 1 and 2 illustrate the configuration of metal interconnects formed by a conventional damascene process.
  • the conductive layers are formed of Cu.
  • a trench is formed in an interlevel dielectric (ILD) film, and a barrier layer 16 and a conductive layer 18 are deposited in sequence, filling the trench.
  • the semiconductor wafer 10 is subjected to chemical mechanical polishing.
  • Such processes are collectively called “damascene processes.”
  • a metal interconnect is formed by the conventional damascene process, a lifting of the conductive layer 18 in the trench may occur due to stress applied to the ILD film 12 during a subsequent thermal process.
  • FIG. 3 illustrates lifting of a metal interconnect in a trench. As the trench angle ( ⁇ ) in the ILD film becomes smaller, it is more likely that lifting of the conductive layer 18 will occur.
  • a metal interconnect layer of a semiconductor device includes a first upper portion having a first width and a second lower portion under the first upper portion.
  • the lower portion has a second width which is larger than the first width of the upper portion of the interconnect.
  • the metal interconnect layer can include a barrier layer deposited along an interlevel dielectric (ILD) film with a trench having a lower width and an upper width, the lower width being larger or wider than the upper width.
  • the interconnect layer can also include a conductive layer deposited over the barrier layer filling the trench, the conductive layer having a lower width and an upper width, the lower width being wider or larger than the upper width.
  • the ILD film may be formed of a single insulation layer of a material selected from the group consisting of undoped silicate glass (USG) layer, silicon oxide fluoride (SiOF) layer, tetraethylorthosilicate (TEOS) layer, spin-on glass (SOG) layer and borophosphosilicate glass (BPSG).
  • the thickness of an upper portion of the ILD film surrounding a portion of the trench with the upper width may be in the range of 20-70% of the thickness of the entire ILD film.
  • the ILD film comprises first and second insulation layers deposited in sequence, the trench being formed in the ILD film such that the portion of the trench formed in the first insulation layer is wider than the portion of the trench formed in the second insulation layer.
  • the thickness of the second insulation layer may be in the range of 20-70% of the thickness of the entire ILD film including the first and second insulation layers.
  • the second insulation layer may be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and the first insulation layer can be formed of a flowable oxide (FOX) layer or hydride organic siloxane polymer (HOSP) layer having a higher etch rate than the second insulation layer.
  • FOX flowable oxide
  • HOSP hydride organic siloxane polymer
  • the barrier layer can be formed of a Ta layer, a TaN layer, a Ti layer, a TiN layer or a bilayer of these layers.
  • the conductive layer can be formed of a Cu or a W layer.
  • the present invention provides a metal interconnect layer for a semiconductor device, which includes a first upper portion having a first width, a second middle portion having a second width and a third lower portion having a third width.
  • the third width is wider or larger than the first and second widths.
  • the metal interconnect layer comprises a barrier layer deposited along an ILD film with a trench having a lower width, a middle width and an upper width.
  • the middle width is wider or larger than the upper and lower widths.
  • the metal interconnect layer also includes a conductive layer deposited over the barrier layer filling the trench.
  • the conductive layer has a lower width, a middle width and an upper width, the middle width being wider or larger than the upper and lower widths.
  • the ILD film may be formed as a single layer with a material selected from the group consisting of USG layer, SiOF layer, TEOS layer, SOG layer and BPSG layer.
  • the thickness of a middle portion of the ILD film surrounding a portion of the trench with the larger middle width may be in the range of 20-50% of the thickness of the entire ILD film.
  • the ILD film comprises first, second and third insulation layers deposited in sequence, the trench being formed in the ILD film such that the portion of the trench formed in the second insulation layer is wider than the portions formed in the first and third insulation layers.
  • the thickness of the second insulation layer may be in the range of 20-50% of the thickness of the entire ILD film including the first, second and third insulation layers.
  • Each of the first and third insulation layers may be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and the second insulation layer can be formed of a FOX layer or HOSP layer having a higher etch rate than the first and third insulation layers.
  • a method for forming a metal interconnect layer of a semiconductor device there is provided a method for forming a metal interconnect layer of a semiconductor device.
  • an ILD film is formed over a semiconductor wafer.
  • a photoresist pattern, defining a metal interconnect region is formed on the ILD film.
  • a portion of the ILD film is etched using the photoresist pattern as an etch mask to form an initial relatively shallow trench, resulting in a polymer layer serving as an etch barrier being formed on the sidewalls of the shallow trench.
  • the remainder of the ILD film is etched using the photoresist pattern and the polymer layer on the shallow trench sidewalls as an etch mask, thereby resulting in a deeper trench whose lower width is larger than the upper width.
  • CMP chemical mechanical polishing
  • a hydrogen containing CF-based gas and an inert gas are used such that the polymer layer is formed on the initial trench sidewalls.
  • a non-hydrogen containing CF-based gas and an inert gas are used together with at oxygen and/or nitrogen.
  • the height of the initial trench with the polymer layer can be in the range of 20-70% of the thickness of the entire ILD film.
  • the ILD film can be formed of USG, SiOF, TEOS, SOG or BPSG.
  • the barrier layer can be formed of a Ta layer, a TaN layer, a Ti layer, a TiN layer and/or a bilayer of these layers.
  • the barrier layer acts as both a metal diffusion barrier and an adhesive layer between the ILD film and the conductive layer.
  • the conductive layer can be formed of Cu and/or W.
  • a method for forming a metal interconnect layer of a semiconductor device A first ILD film is formed over a semiconductor wafer. A second ILD film having a lower etch rate than the first ILD film is formed over the first ILD film. Then, a photoresist pattern, by which a metal interconnect region is defined, is formed over the second ILD film. The second ILD film and the first ILD film are etched in sequence using the photoresist pattern as an etch mask, to form a trench whose lower width in the first ILD film is larger than its upper width in the second ILD film.
  • a barrier layer is formed along the semiconductor wafer and in the trench, and a conductive layer is deposited over the barrier layer. Then, the semiconductor wafer with the conductive layer is polished by chemical mechanical polishing such that the conductive layer remains within only the trench surrounded by the first and second ILD films.
  • an ILD film is formed over a semiconductor wafer.
  • a photoresist pattern by which a metal interconnect region is defined, is formed on the ILD film.
  • An upper portion of the ILD film is etched using the photoresist pattern as an etch mask to form an initial trench having a first width, resulting in a polymer layer serving as an etch barrier on the sidewalls of the initial trench.
  • a middle portion of the ILD film is etched using the photoresist pattern and the polymer layer on the initial trench sidewalls as an etch mask, to deepen the trench to form a second portion of the trench whose width is larger than the width of the initial trench.
  • a polymer layer serving as an etch barrier is formed on the lower end of the etched middle portion of the ILD film.
  • the remaining lower portion of the ILD film is etched using the photoresist pattern and the polymer layers on the initial trench sidewalls and the sidewalls of the second portion of the trench as an etch mask, to further deepen the trench to form a third portion of the trench having a third width, the third width being smaller than the second width of the second portion of the trench.
  • a barrier layer is formed along the semiconductor wafer and in the deepened trench, and a conductive layer is deposited over the barrier layer.
  • the semiconductor wafer with the conductive layer is then polished by chemical and mechanical polishing such that the conductive layer remains within only the trench surrounded by the ILD film.
  • a method for forming a metal interconnect layer of a semiconductor device A first interlevel dielectric (ILD) film is formed over a semiconductor wafer. A second ILD film having a higher etch rate than the first ILD film is formed over the first ILD film, and a third ILD film having a lower etch rate than the second ILD film is formed over the second ILD film. A photoresist pattern, by which a metal interconnect region is defined, is formed over the third ILD film.
  • ILD interlevel dielectric
  • the third ILD film, the second ILD film, and the first ILD film are etched in sequence using the photoresist pattern as an etch mask, to form a trench whose middle width in the second ILD film is larger than the upper width in the third ILD film and the lower width in the first ILD film.
  • a barrier layer is formed along the semiconductor wafer and in the trench.
  • a conductive layer is deposited over the barrier layer; and the semiconductor wafer with the conductive layer is polished by chemical mechanical polishing such that the conductive layer remains within only the trench surrounded by the first, second and third ILD films.
  • FIGS. 1 and 2 are sectional views of metal interconnects formed by a conventional damascene process.
  • FIG. 3 is a perspective view of a conventional metal interconnect in which lifting of a metal interconnect layer occurs in a trench.
  • FIGS. 4 through 7 are schematic sectional views illustrating alternative embodiments of a metal interconnect layer of a semiconductor device according to the present invention.
  • FIGS. 8A through 8D are schematic sectional views illustrating successive steps of the formation of a metal interconnect layer of a semiconductor device according to an embodiment of the present invention.
  • FIGS. 9A through 9D are schematic sectional views illustrating a method for forming a metal interconnect layer of a semiconductor device according to another embodiment of the present invention.
  • FIGS. 10A through 10D are schematic sectional views illustrating a method for forming a metal interconnect layer of a semiconductor device according to still another embodiment of the present invention.
  • FIGS. 11A through 11D are sectional views illustrating a method for forming a metal interconnect layer of a semiconductor device according to yet still another preferred embodiment of the present invention.
  • the upper width of a metal interconnect layer is larger than the lower width of the metal interconnect layer, or the middle width of the metal interconnect layer is larger than the upper and lower width of the metal interconnect layer.
  • FIGS. 4 through 7 Preferred embodiments of a metal interconnect layer of a semiconductor device according to the present invention will be described with reference to FIGS. 4 through 7 .
  • FIG. 4 One embodiment of a metal interconnect layer of a semiconductor device according to the present invention is shown in FIG. 4 .
  • a trench whose lower width T 2 is larger than the upper width T 1 is formed in an interlevel dielectric (ILD) film 102 b deposited over a semiconductor wafer 100 , and a barrier layer 108 a is formed along the trench.
  • a conductive layer 110 a is deposited to fill the trench with the barrier layer 108 a .
  • the lower width of the resulting conductive layer 110 a is larger than the upper width thereof.
  • ILD interlevel dielectric
  • FIG. 5 Another embodiment of a metal interconnect layer of a semiconductor device according to the present invention is shown in FIG. 5 .
  • a trench whose lower width T 4 is larger than the upper width T 3 is formed in an interlevel dielectric (ILD) film 203 deposited over a semiconductor wafer 200 , and a barrier layer 206 a is formed along the semiconductor wafer 200 with the trench.
  • a conductive layer 208 a is deposited to fill the trench with the barrier layer 206 a .
  • the lower width of the resulting conductive layer 208 is larger than the upper width thereof.
  • the ILD film 203 includes a first insulation layer 201 a and a second insulation layer 202 a , which are stacked in sequence.
  • the relatively wide lower portion of the conductive layer 208 is located in the first insulation layer 201 a
  • the relatively narrow upper portion of the conductive layer 208 a is located in the second insulation layer 202 a
  • the thickness of the second insulation layer 202 a is about 20-70% of the thickness of the entire ILD film 203 .
  • the first insulation layer 201 a may be formed of a material layer such as a flowable oxide (FOX) layer or hydride organic siloxane polymer (HOSP) layer, having a higher etch rate and a smaller dielectric constant, compared with the second insulation layer 202 a .
  • FOX flowable oxide
  • HOSP hydride organic siloxane polymer
  • the second insulation layer 202 a may be formed of a material layer, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, having a lower etch rate than the first insulation layer 201 a .
  • the semiconductor wafer 200 , the barrier layer 206 a and the conductive layer 208 a are formed of the same materials as used in Embodiment 1 described previously.
  • the thickness of the middle portion of the ILD film 302 b with the widest middle portion of the conductive layer 310 a be about 20-70% of the thickness of the entire ILD film 302 b .
  • the ILD film 302 b may be formed of a single insulation layer such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer.
  • the semiconductor wafer 300 may be a substrate in which a silicon nitride layer is deposited over a predetermined lower layer such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer.
  • the barrier layer 308 a may be a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers.
  • the conductive layer 310 a may be formed of copper (Cu) or tungsten (W).
  • the ILD film 403 ′ includes a first insulation layer 401 a , a second insulation layer 402 a and a third insulation layer 403 a , which are stacked in sequence.
  • the widest middle portion of the conductive layer 408 a is located in the second insulation layer 402 a , and the relatively narrow lower and upper portions are located in the third and first insulation layers 403 a and 401 a , respectively.
  • FIGS. 8A through 8D An embodiment of the metal interconnect formation according to the present invention is illustrated in FIGS. 8A through 8D .
  • an ILD film 102 is formed over a semiconductor wafer 100 . It is preferable that the ILD film 102 be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer.
  • the semiconductor wafer 100 may be a substrate as a stack of an insulation layer, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer deposited in sequence over a predetermined underlying layer.
  • a photoresist pattern 104 is formed on the ILD film 102 by a photolighography process.
  • a portion of the ILD film 102 is etched using the photoresist pattern 104 as an etching mask to form a shallow trench 106 .
  • a polymer layer 105 acting as an etch barrier is spontaneously formed on the sidewalls of the shallow trench 106 . It is preferable that the height of the shallow trench 106 be about 20-70% of the thickness of the ILD film 102 .
  • a CF-based gas such as CHF 3 , CF 4 , C 4 F 8 or C 3 F 8 , and an inert gas such as Ar, He or Ne is used.
  • Non-hydrogen containing CF-based gases suppress deposition of a polymer layer on the trench sidewalls
  • hydrogen containing CF-based gases such as CHF 3 gas
  • the etching process is carried out using a hydrogen containing CF-based gas so that the polymer layer 105 is formed on the trench sidewalls.
  • the polymer layer 105 serves as an etch barrier during a subsequent etching process, thereby preventing lateral etching.
  • the ILD film 102 a with the shallow trench 106 is further etched using the photoresist pattern 104 and the polymer layer 105 on the shallow trench sidewalls, as an etching mask, thereby resulting in a trench 107 whose lower width T 2 is larger than the upper width T 1 .
  • the lower portion of the ILD film 102 a is etched with application of a lower bias voltage, compared with the etching of the upper portion for the shallow trench 106 .
  • the polymer layer 105 formed on the sidewalls of the shallow trench 106 acts as an etch barrier so that etching to only the lower portion of the ILD film 102 a is allowed without causing lateral etching of the upper portion of the ILD film 102 a .
  • the above-mentioned non-hydrogen containing CF-based gases and inert gases are used, thereby preventing polymer deposition.
  • Oxygen (O 2 ) and nitrogen (N 2 ) can prevent deposition of polymer: O 2 is more effective than N 2 , for example, the effect of preventing the deposition of polymer by O 2 at 1 sccm is equivalent to the effect by N 2 at 15 sccm. For this reason, a gas mixture of O 2 or N 2 , the CF-based gas, and inert gas is used in etching the lower portion of the ILD film 102 a . The amount of O 2 is controlled in the range of 50-75% by weight of the CF-based gas, such that no polymer layer is deposited on the shallow trench sidewalls.
  • O 2 produces CO or CO 2 by reaction with polymer
  • N 2 produces cyanide (CN) gas by reaction with polymer, thereby preventing deposition of polymer.
  • CN cyanide
  • the photoresist pattern 104 used in etching the ILD film 102 is removed by a known method, for example, by ashing. Then, a barrier layer 108 is deposited along the semiconductor wafer 100 and within the trench 107 .
  • the barrier layer 108 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD film 102 b and a conductive layer, for example, of a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers.
  • a conductive layer 110 is deposited over the semiconductor wafer 100 with the barrier layer 108 .
  • the conductive layer 110 be formed of Cu or W by electroplating, chemical vapor deposition (CVD) or sputtering, or by a combination of these methods.
  • CVD chemical vapor deposition
  • sputtering a portion of the conductive layer 110 can be deposited over the barrier layer 108 by sputtering, and then the other portion can be deposited by electroplating.
  • FIGS. 9A through 9D Another embodiment of the metal interconnect formation according to the present invention is illustrated by steps in FIGS. 9A through 9D .
  • a first ILD film 201 and a second ILD film 202 are deposited over a semiconductor wafer 200 in sequence.
  • the first ILD film 201 is formed of a material such as a FOX layer or HOSP layer, having a higher etch ratio and smaller resistance constant than those of the material for the second ILD film 202 .
  • the second ILD film 202 may be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer.
  • the thickness of the second ILD film 202 may be in the range of about 20-70% of the sum of the thicknesses of the first and second ILD films 201 and 202 .
  • the material used for the first ILD film 201 such as FOX layer or HOSP layer, has a smaller dielectric constant than the USG layer or SOG layer used as the second ILD film 201 , thereby lowering parasitic capacitance of the resulting semiconductor device.
  • the semiconductor wafer 200 may be a substrate in which an insulation layer such as USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer are deposited in sequence over a predetermined underlying layer.
  • a photoresist pattern 204 which defines a metal interconnect region is formed on the second ILD film 202 by a photolithography process.
  • the second and first ILD films 202 and 201 are etched in sequence using the photoresist pattern 204 as an etch mask, thereby resulting in a trench whose lower width T 4 in the first ILD film 201 a is larger than the upper width T 3 in the second ILD film 202 a . This is because the first ILD film 201 has a higher etch rate than the second ILD film 202 .
  • the photoresist pattern 204 is removed by a known method, for example, by ashing, and a barrier layer 206 is deposited along the semiconductor wafer 200 and within the trench.
  • the barrier layer 206 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD films 201 a and 202 a and a conductive layer, for example, a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers.
  • a conductive layer 208 is deposited over the semiconductor wafer 200 with the barrier layer 206 .
  • the conductive layer 208 be formed of Cu or W by electroplating, CVD or sputtering, or by a combination of these methods.
  • a portion of the conductive layer 208 can be deposited over the barrier layer 206 by sputtering, and then the other portion can be deposited by electroplating.
  • the semiconductor wafer 200 with the conductive layer 208 is polished by CMP such that the conductive layer 208 remains within only the trench 107 in the ILD films 201 and 202 , thereby resulting in a metal connection, as shown in FIG. 5 .
  • an ILD film 302 is formed over a semiconductor wafer 300 . It is preferable that the ILD film 302 be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer.
  • the semiconductor wafer 300 may be a substrate as a stack of an insulation layer, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer deposited in sequence over a predetermined underlying layer.
  • a photoresist pattern 304 that defines a metal interconnect region is formed on the ILD film 302 by a photolighography process.
  • the upper portion of the ILD film 302 is etched using the photoresist pattern 304 as an etch mask to form a first trench 306 .
  • a polymer layer 305 ′ acting as an etch barrier is spontaneously formed on the sidewalls of the first trench 306 .
  • CF-based gas such as CHF 3 , CF 4 , C 4 F 8 or C 3 F 8
  • an inert gas such as Ar, He or Ne is used.
  • Non-hydrogen containing CF-based gases suppress deposition of a polymer layer on the trench sidewalls
  • hydrogen containing CF-based gases such as CHF 3 gas
  • the etching process is carried out using a hydrogen containing CF-based gas so that the polymer layer 305 ′ results on the trench sidewalls.
  • the polymer layer 305 ′ serves as an etch barrier during a subsequent etching process, thereby preventing lateral etching.
  • the middle portion of the ILD film 302 a is etched using the photoresist pattern 304 and the polymer layer 305 ′ on the upper sidewalls of the ILD film 302 a as an etch mask, thereby resulting in a second trench whose width T 6 is larger than the upper width T 5 .
  • the polymer layer 305 ′ formed on the upper sidewalls of the ILD film 302 a acts as an etch barrier so that only etching downward is allowed without causing lateral etching of the upper portion of the ILD film 302 b .
  • lateral etching occurs in the middle portion of the ILD film 302 b with non-hydrogen containing etching gas.
  • a hydrogen-containing CF-based gas such as CHF 3 gas
  • a polymer layer 305 ′′ acting as an etch barrier, which prevents downward etching is deposited at the end of the middle portion of a second trench.
  • the second trench having width T 6 larger than the width T 5 of the first trench 306 is formed in the middle portion of the ILD film 302 b .
  • the remaining lower portion of the ILD film 302 b is etched using the photoresist pattern 304 , and the polymer layers 305 ′ and 305 ′′ deposited on the sidewalls of the first and second trenches, as an etch mask, thereby resulting in a third trench 307 in the lower portion of the ILD film 302 b , having a width T 7 smaller than the width T 6 of the second trench.
  • the polymer layers 305 ′ and 305 ′′ deposited on the sidewalls of the first and second trenches act as an etch mask, so that only downward occurs.
  • the thickness of the middle portion of the ILD film 302 b with the thickness T 6 is in the range of 20-50%% of the thickness of the entire ILD film 302 b . Deposition of polymer can be suppressed during etching process, as described in the first embodiment.
  • the photoresist pattern 304 used in etching the ILD film 302 b is removed by a known method, for example, by ashing.
  • a barrier layer 308 is deposited along the semiconductor wafer 300 and within the trench.
  • the barrier layer 308 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD film 302 b and a conductive layer, for example, of a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers.
  • a conductive layer 310 is deposited over the semiconductor wafer 300 with the barrier layer 308 .
  • the conductive layer 310 be formed of Cu or W by electroplating, CVD or sputtering, or by a combination of these methods. For example, a portion of the conductive layer 310 can be deposited over the barrier layer 308 by sputtering, and then the other portion can be deposited by electroplating.
  • the semiconductor wafer 300 with the conductive layer 310 is polished by CMP such that the conductive layer 208 remains within only the trench surrounded by the ILD film 302 b , thereby resulting in a metal connection, as shown in FIG. 6 .
  • FIGS. 11A through 11D Another embodiment of the metal interconnect formation according to the present invention is illustrated by steps in FIGS. 11A through 11D .
  • a first ILD film 401 and a second ILD film 402 are deposited over a semiconductor wafer 400 in sequence.
  • the first ILD film 401 be formed of a material, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, having a lower etch ratio than that of the material for the second ILD film 402 .
  • the second ILD film 402 may be formed of a material, such as a FOX layer or HOSP layer, having a higher etching ratio and smaller dielectric constant than those of the material for the first ILD film 401 .
  • a third ILD film 403 is formed over the second ILD film 402 with a material having a lower etch ratio than the second ILD film 402 . It is preferable that the third ILD film 403 is formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer.
  • the thickness of the second ILD film 402 may be in the range of about 20-50% of the sum of the thicknesses of the first, second and third ILD films 401 , 402 and 403 .
  • the semiconductor wafer 400 may be a substrate in which an insulation layer such as USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer are deposited in sequence over a predetermined underlying layer.
  • a photoresist pattern 404 which defines a metal interconnect region is formed on the third ILD film 403 by a photolithography process.
  • the third, second and first ILD films 403 , 402 and 401 are etched in sequence using the photoresist pattern 404 as an etch mask, thereby resulting in a trench whose middle width T 9 in the second ILD film 402 a is larger than the upper width T 8 in the third ILD film 403 a and the lower width T 10 in the first ILD film 401 a .
  • the middle width T 9 of the trench can be largest because the second ILD film 402 has a higher etch ratio compared with the third and first ILD films 403 and 401 .
  • the photoresist pattern 404 used is removed by a known method, for example, by ashing, and a barrier layer 406 is deposited along the semiconductor wafer 400 with the trench.
  • the barrier layer 406 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD films 401 a , 402 a and 403 a , and a conductive layer, for example, of a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers.
  • a conductive layer 408 is deposited over the barrier layer 406 .
  • the conductive layer 408 is formed of Cu or W by electroplating, CVD or sputtering, or by a combination of these methods.
  • a portion of the conductive layer 408 can be deposited over the barrier layer 406 by sputtering, and then the other portion can be deposited by electroplating.
  • the semiconductor wafer 400 with the conductive layer 408 is polished by CMP such that the conductive layer 408 remains within only the trench 107 surrounded by the first, second and third ILD films 401 a , 402 a and 403 a , thereby resulting in a metal connection, as shown in FIG. 7 .
  • the metal interconnect structure of a semiconductor device and the metal interconnect formation according to the present invention can prevent lifting of metal interconnect.
  • the inventive metal interconnect structure is wider at the lower portion than the upper portion, or at the middle portion than the upper and lower portions, so that lifting of the metal interconnect caused by stress applied to the ILD film during a subsequent thermal process can be suppressed.
  • the ILD film is formed of an insulation material having a low dielectric constant, parasitic capacitance of the semiconductor device becomes low.

Abstract

A metal interconnect layer of a semiconductor device, and a method for forming a metal interconnect layer of a semiconductor device are provided. The lower portion of a metal interconnect layer is wider than the upper portion of the metal interconnect layer. In another interconnect structure in accordance with the invention, the middle portion of the metal interconnect layer is wider than the upper and lower portions of the metal interconnect layer.

Description

    RELATED APPLICATIONS
  • This application is a divisional of U.S. application Ser. No. 10/738,727, filed on Dec. 17, 2003, which is a divisional of U.S. application Ser. No. 09/879,556, filed on Jun. 12, 2001, now abandoned, which relies for priority upon Korean Patent Application No. 2000-64557, filed in the Korean Intellectual Property Office on Nov. 1, 2000, the contents of which are herein incorporated by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly, to a metal interconnect layer of a semiconductor device and a method for forming the metal interconnect layer.
  • 2. Description of the Related Art
  • For higher integration density and rapid operation of integrated circuit chips, semiconductor integration techniques have been advanced. The size of chips has been decreased with a smaller design rule to satisfy the need for high integration level. To increase the operation speed of chips, performance of transistors has been enhanced by reducing the parasitic resistance and parasitic capacitance of the transistors.
  • With regard to interconnection techniques for semiconductor devices, it is significant to design a high-performance transistor with a minimum resistance and parasitic capacitance, such that an RC level (resistance×capacitance) of a semiconductor device having the capacitor is low. The resistivity of copper (Cu), 1.8 μΩ-cm, is lower than that of aluminum (Al), 2.7 μΩ-cm. Due to the low resistance of Cu, there is a thickness reduction effect when a metal interconnect layer is made of Cu. For this reason, use of Cu as a material for metal interconnect has proliferated to reduce interconnection resistance and parasitic capacitance with a 0.18 μm or less design rule.
  • FIGS. 1 and 2 illustrate the configuration of metal interconnects formed by a conventional damascene process. For the metal interconnects shown in FIGS. 1 and 2, the conductive layers are formed of Cu. In particular, a trench is formed in an interlevel dielectric (ILD) film, and a barrier layer 16 and a conductive layer 18 are deposited in sequence, filling the trench. Then, the semiconductor wafer 10 is subjected to chemical mechanical polishing. Such processes are collectively called “damascene processes.” When a metal interconnect is formed by the conventional damascene process, a lifting of the conductive layer 18 in the trench may occur due to stress applied to the ILD film 12 during a subsequent thermal process. This problem is serious when the upper width of a trench is larger than the lower width, as shown in FIG. 2. When such lifting of a metal connection occurs in a trench, the contact between a via and the metal interconnect is unsatisfactory, so that normal operation of semiconductor chips is impossible.
  • FIG. 3 illustrates lifting of a metal interconnect in a trench. As the trench angle (θ) in the ILD film becomes smaller, it is more likely that lifting of the conductive layer 18 will occur.
  • SUMMARY OF THE INVENTION
  • To solve the above problems, it is an object of the present invention to provide a metal interconnect layer of a semiconductor device that essentially does not lift from a trench.
  • It is another object of the present invention to provide a method for forming a metal interconnect layer of a semiconductor device, which can prevent lifting of the metal interconnect layer from a trench.
  • According to an aspect of the present invention, there is provided a metal interconnect layer of a semiconductor device. The interconnect layer includes a first upper portion having a first width and a second lower portion under the first upper portion. The lower portion has a second width which is larger than the first width of the upper portion of the interconnect.
  • The metal interconnect layer can include a barrier layer deposited along an interlevel dielectric (ILD) film with a trench having a lower width and an upper width, the lower width being larger or wider than the upper width. The interconnect layer can also include a conductive layer deposited over the barrier layer filling the trench, the conductive layer having a lower width and an upper width, the lower width being wider or larger than the upper width.
  • In one embodiment, the ILD film may be formed of a single insulation layer of a material selected from the group consisting of undoped silicate glass (USG) layer, silicon oxide fluoride (SiOF) layer, tetraethylorthosilicate (TEOS) layer, spin-on glass (SOG) layer and borophosphosilicate glass (BPSG). The thickness of an upper portion of the ILD film surrounding a portion of the trench with the upper width may be in the range of 20-70% of the thickness of the entire ILD film.
  • In another embodiment, the ILD film comprises first and second insulation layers deposited in sequence, the trench being formed in the ILD film such that the portion of the trench formed in the first insulation layer is wider than the portion of the trench formed in the second insulation layer. The thickness of the second insulation layer may be in the range of 20-70% of the thickness of the entire ILD film including the first and second insulation layers. The second insulation layer may be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and the first insulation layer can be formed of a flowable oxide (FOX) layer or hydride organic siloxane polymer (HOSP) layer having a higher etch rate than the second insulation layer.
  • The barrier layer can be formed of a Ta layer, a TaN layer, a Ti layer, a TiN layer or a bilayer of these layers. The conductive layer can be formed of a Cu or a W layer.
  • In another aspect, the present invention provides a metal interconnect layer for a semiconductor device, which includes a first upper portion having a first width, a second middle portion having a second width and a third lower portion having a third width. The third width is wider or larger than the first and second widths.
  • In one embodiment, the metal interconnect layer comprises a barrier layer deposited along an ILD film with a trench having a lower width, a middle width and an upper width. The middle width is wider or larger than the upper and lower widths. The metal interconnect layer also includes a conductive layer deposited over the barrier layer filling the trench. The conductive layer has a lower width, a middle width and an upper width, the middle width being wider or larger than the upper and lower widths.
  • The ILD film may be formed as a single layer with a material selected from the group consisting of USG layer, SiOF layer, TEOS layer, SOG layer and BPSG layer. The thickness of a middle portion of the ILD film surrounding a portion of the trench with the larger middle width may be in the range of 20-50% of the thickness of the entire ILD film.
  • In another embodiment, the ILD film comprises first, second and third insulation layers deposited in sequence, the trench being formed in the ILD film such that the portion of the trench formed in the second insulation layer is wider than the portions formed in the first and third insulation layers. The thickness of the second insulation layer may be in the range of 20-50% of the thickness of the entire ILD film including the first, second and third insulation layers. Each of the first and third insulation layers may be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and the second insulation layer can be formed of a FOX layer or HOSP layer having a higher etch rate than the first and third insulation layers.
  • According to another aspect of the present invention, there is provided a method for forming a metal interconnect layer of a semiconductor device. In accordance with the method, an ILD film is formed over a semiconductor wafer. A photoresist pattern, defining a metal interconnect region is formed on the ILD film. A portion of the ILD film is etched using the photoresist pattern as an etch mask to form an initial relatively shallow trench, resulting in a polymer layer serving as an etch barrier being formed on the sidewalls of the shallow trench. Then, the remainder of the ILD film is etched using the photoresist pattern and the polymer layer on the shallow trench sidewalls as an etch mask, thereby resulting in a deeper trench whose lower width is larger than the upper width. Then, the photoresist pattern is removed. A barrier layer is formed along the semiconductor wafer and in the trench, and then a conductive layer is deposited over the barrier layer. The conductive layer is then polished by chemical mechanical polishing (CMP), such that the conductive layer remains within only the trench.
  • In one embodiment, in etching a portion of the ILD film to form the initial relatively shallow trench, a hydrogen containing CF-based gas and an inert gas are used such that the polymer layer is formed on the initial trench sidewalls. In etching the remainder of the ILD film to deepen the trench, a non-hydrogen containing CF-based gas and an inert gas are used together with at oxygen and/or nitrogen.
  • The height of the initial trench with the polymer layer can be in the range of 20-70% of the thickness of the entire ILD film.
  • The ILD film can be formed of USG, SiOF, TEOS, SOG or BPSG. The barrier layer can be formed of a Ta layer, a TaN layer, a Ti layer, a TiN layer and/or a bilayer of these layers. The barrier layer acts as both a metal diffusion barrier and an adhesive layer between the ILD film and the conductive layer. The conductive layer can be formed of Cu and/or W.
  • In another aspect, there is provided in accordance with the invention another method for forming a metal interconnect layer of a semiconductor device. A first ILD film is formed over a semiconductor wafer. A second ILD film having a lower etch rate than the first ILD film is formed over the first ILD film. Then, a photoresist pattern, by which a metal interconnect region is defined, is formed over the second ILD film. The second ILD film and the first ILD film are etched in sequence using the photoresist pattern as an etch mask, to form a trench whose lower width in the first ILD film is larger than its upper width in the second ILD film. After removing the photoresist pattern, a barrier layer is formed along the semiconductor wafer and in the trench, and a conductive layer is deposited over the barrier layer. Then, the semiconductor wafer with the conductive layer is polished by chemical mechanical polishing such that the conductive layer remains within only the trench surrounded by the first and second ILD films.
  • In another aspect, there is provided in accordance with the invention another method for forming a metal interconnect layer of a semiconductor device. An ILD film is formed over a semiconductor wafer. A photoresist pattern, by which a metal interconnect region is defined, is formed on the ILD film. An upper portion of the ILD film is etched using the photoresist pattern as an etch mask to form an initial trench having a first width, resulting in a polymer layer serving as an etch barrier on the sidewalls of the initial trench. A middle portion of the ILD film is etched using the photoresist pattern and the polymer layer on the initial trench sidewalls as an etch mask, to deepen the trench to form a second portion of the trench whose width is larger than the width of the initial trench. Then, a polymer layer serving as an etch barrier is formed on the lower end of the etched middle portion of the ILD film. The remaining lower portion of the ILD film is etched using the photoresist pattern and the polymer layers on the initial trench sidewalls and the sidewalls of the second portion of the trench as an etch mask, to further deepen the trench to form a third portion of the trench having a third width, the third width being smaller than the second width of the second portion of the trench. After removing the photoresist pattern, a barrier layer is formed along the semiconductor wafer and in the deepened trench, and a conductive layer is deposited over the barrier layer. The semiconductor wafer with the conductive layer is then polished by chemical and mechanical polishing such that the conductive layer remains within only the trench surrounded by the ILD film.
  • In still another aspect, there is provided in accordance with the invention another method for forming a metal interconnect layer of a semiconductor device. A first interlevel dielectric (ILD) film is formed over a semiconductor wafer. A second ILD film having a higher etch rate than the first ILD film is formed over the first ILD film, and a third ILD film having a lower etch rate than the second ILD film is formed over the second ILD film. A photoresist pattern, by which a metal interconnect region is defined, is formed over the third ILD film. Following this, the third ILD film, the second ILD film, and the first ILD film are etched in sequence using the photoresist pattern as an etch mask, to form a trench whose middle width in the second ILD film is larger than the upper width in the third ILD film and the lower width in the first ILD film. After removing the photoresist pattern, a barrier layer is formed along the semiconductor wafer and in the trench. Then, a conductive layer is deposited over the barrier layer; and the semiconductor wafer with the conductive layer is polished by chemical mechanical polishing such that the conductive layer remains within only the trench surrounded by the first, second and third ILD films.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention.
  • FIGS. 1 and 2 are sectional views of metal interconnects formed by a conventional damascene process.
  • FIG. 3 is a perspective view of a conventional metal interconnect in which lifting of a metal interconnect layer occurs in a trench.
  • FIGS. 4 through 7 are schematic sectional views illustrating alternative embodiments of a metal interconnect layer of a semiconductor device according to the present invention.
  • FIGS. 8A through 8D are schematic sectional views illustrating successive steps of the formation of a metal interconnect layer of a semiconductor device according to an embodiment of the present invention.
  • FIGS. 9A through 9D are schematic sectional views illustrating a method for forming a metal interconnect layer of a semiconductor device according to another embodiment of the present invention.
  • FIGS. 10A through 10D are schematic sectional views illustrating a method for forming a metal interconnect layer of a semiconductor device according to still another embodiment of the present invention.
  • FIGS. 11A through 11D are sectional views illustrating a method for forming a metal interconnect layer of a semiconductor device according to yet still another preferred embodiment of the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
  • The present invention will now be described more fully with reference to the accompanying drawings, in which preferred embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as being limited to the embodiments set forth herein. In the drawings, the thickness of layers and regions are exaggerated for clarity. It will also be understood that when a layer is referred to as being “on” another layer or substrate, it can be directly on the other layer or substrate, or intervening layers may also be present.
  • In the preferred embodiments described below, the upper width of a metal interconnect layer is larger than the lower width of the metal interconnect layer, or the middle width of the metal interconnect layer is larger than the upper and lower width of the metal interconnect layer. As a result, the problem of lifting of a metal interconnect layer formed by a conventional damascene process can be solved.
  • Preferred embodiments of a metal interconnect layer of a semiconductor device according to the present invention will be described with reference to FIGS. 4 through 7.
  • Embodiment 1
  • One embodiment of a metal interconnect layer of a semiconductor device according to the present invention is shown in FIG. 4. Referring to FIG. 4, in the metal connection configuration, a trench whose lower width T2 is larger than the upper width T1 is formed in an interlevel dielectric (ILD) film 102 b deposited over a semiconductor wafer 100, and a barrier layer 108 a is formed along the trench. A conductive layer 110 a is deposited to fill the trench with the barrier layer 108 a. As a result, the lower width of the resulting conductive layer 110 a is larger than the upper width thereof. It is preferable that the thickness of the upper portion of the ILD film 102 b with the relatively narrow conductive layer is about 20-70% of the thickness of the entire ILD film 102 b. The ILD film 102 b may be formed of a single insulation layer, such as an undoped silicate glass (USG) layer, silicon oxide fluoride (SiOF) layer, tetraethylorthosilicate (TEOS) layer, spin-on glass (SOG) layer or borophosphosilicate glass (BPSG) layer. The semiconductor wafer 100 may be a substrate as a stack of an insulation layer, such as USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer formed in sequence over a predetermined underlying layer. The barrier layer 108 a may be a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers. The conductive layer 110 a may be formed of copper (Cu) or tungsten (W).
  • Embodiment 2
  • Another embodiment of a metal interconnect layer of a semiconductor device according to the present invention is shown in FIG. 5. Referring to FIG. 5, a trench whose lower width T4 is larger than the upper width T3 is formed in an interlevel dielectric (ILD) film 203 deposited over a semiconductor wafer 200, and a barrier layer 206 a is formed along the semiconductor wafer 200 with the trench. A conductive layer 208 a is deposited to fill the trench with the barrier layer 206 a. As a result, the lower width of the resulting conductive layer 208 is larger than the upper width thereof. The ILD film 203 includes a first insulation layer 201 a and a second insulation layer 202 a, which are stacked in sequence. The relatively wide lower portion of the conductive layer 208 is located in the first insulation layer 201 a, and the relatively narrow upper portion of the conductive layer 208 a is located in the second insulation layer 202 a. It is preferable that the thickness of the second insulation layer 202 a is about 20-70% of the thickness of the entire ILD film 203. The first insulation layer 201 a may be formed of a material layer such as a flowable oxide (FOX) layer or hydride organic siloxane polymer (HOSP) layer, having a higher etch rate and a smaller dielectric constant, compared with the second insulation layer 202 a. The second insulation layer 202 a may be formed of a material layer, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, having a lower etch rate than the first insulation layer 201 a. In the present embodiment, the semiconductor wafer 200, the barrier layer 206 a and the conductive layer 208 a are formed of the same materials as used in Embodiment 1 described previously.
  • Embodiment 3
  • Still another embodiment of a metal interconnect layer of a semiconductor device according to the present invention is shown in FIG. 6. Referring to FIG. 6, a trench whose middle width T6 is larger than the upper and lower widths T5 and T7 is formed in an interlevel dielectric (ILD) film 302 b deposited over a semiconductor wafer 300, and a barrier layer 308 a is formed along the semiconductor wafer 300 with the trench. A conductive layer 310 a is deposited to fill the trench with the barrier layer 308 a. As a result, the middle width of the resulting conductive layer 310 a is larger than the upper and lower widths thereof. It is preferable that the thickness of the middle portion of the ILD film 302 b with the widest middle portion of the conductive layer 310 a be about 20-70% of the thickness of the entire ILD film 302 b. The ILD film 302 b may be formed of a single insulation layer such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer. The semiconductor wafer 300 may be a substrate in which a silicon nitride layer is deposited over a predetermined lower layer such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer. The barrier layer 308 a may be a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers. The conductive layer 310 a may be formed of copper (Cu) or tungsten (W).
  • Embodiment 4
  • Another embodiment of a metal interconnect layer of a semiconductor device according to the present invention is shown in FIG. 7. Referring to FIG. 7, a trench whose middle width T9 is larger than the upper and lower widths T8 and T10 is formed in an interlevel dielectric (ILD) film 403′ deposited over a semiconductor wafer 400, and a barrier layer 406 a is formed along the semiconductor wafer 400 with the trench. A conductive layer 408 a is deposited to fill the trench with the barrier layer 406 a. As a result, the middle width of the resulting conductive layer 408 a is larger than the upper and lower widths thereof. The ILD film 403′ includes a first insulation layer 401 a, a second insulation layer 402 a and a third insulation layer 403 a, which are stacked in sequence. The widest middle portion of the conductive layer 408 a is located in the second insulation layer 402 a, and the relatively narrow lower and upper portions are located in the third and first insulation layers 403 a and 401 a, respectively. It is preferable that the thickness of the second insulation layer 402 a be about 20-50% of the thickness of the entire ILD film 403′. The first and third insulation layers 401 a and 403 a are formed of a material layer, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, having a lower etch rate than the second insulation layer 402 a. The second insulation layer 402 a is formed of a material layer such as a flowable oxide (FOX) layer or hydride organic siloxane polymer (HOSP) layer, having a higher etch rate and a smaller dielectric constant, compared with the first and third insulation layers 401 a and 403 a. In the present embodiment, the semiconductor wafer 400, the barrier layer 406 a and the conductive layer 408 a are formed of the same materials as used in Embodiment 3 described previously.
  • A method for forming a metal interconnect layer of a semiconductor device according to the present invention will be described by means of the following embodiments.
  • Method Embodiment 1
  • An embodiment of the metal interconnect formation according to the present invention is illustrated in FIGS. 8A through 8D. Referring to FIG. 8A, an ILD film 102 is formed over a semiconductor wafer 100. It is preferable that the ILD film 102 be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer. The semiconductor wafer 100 may be a substrate as a stack of an insulation layer, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer deposited in sequence over a predetermined underlying layer.
  • Referring to FIG. 8B, a photoresist pattern 104 is formed on the ILD film 102 by a photolighography process. A portion of the ILD film 102 is etched using the photoresist pattern 104 as an etching mask to form a shallow trench 106. A polymer layer 105 acting as an etch barrier is spontaneously formed on the sidewalls of the shallow trench 106. It is preferable that the height of the shallow trench 106 be about 20-70% of the thickness of the ILD film 102. For a general etching process, a CF-based gas such as CHF3, CF4, C4F8 or C3F8, and an inert gas such as Ar, He or Ne is used. Non-hydrogen containing CF-based gases suppress deposition of a polymer layer on the trench sidewalls, whereas hydrogen containing CF-based gases, such as CHF3 gas, facilitates the deposition of the polymer layer. For this reason, in the present embodiment, the etching process is carried out using a hydrogen containing CF-based gas so that the polymer layer 105 is formed on the trench sidewalls. The polymer layer 105 serves as an etch barrier during a subsequent etching process, thereby preventing lateral etching.
  • Referring to FIG. 8C, the ILD film 102 a with the shallow trench 106 is further etched using the photoresist pattern 104 and the polymer layer 105 on the shallow trench sidewalls, as an etching mask, thereby resulting in a trench 107 whose lower width T2 is larger than the upper width T1. The lower portion of the ILD film 102 a is etched with application of a lower bias voltage, compared with the etching of the upper portion for the shallow trench 106. During the etching to the lower portion of the ILD film 102 a, irregular reflection of etchant species occurs on the exposed surface of the semiconductor wafer 100, causing the lower portion of the ILD film 102 a to be etched in the lateral direction. As a result, the trench 107 whose lower width T2 is larger than the upper width T1 is formed.
  • The polymer layer 105 formed on the sidewalls of the shallow trench 106 acts as an etch barrier so that etching to only the lower portion of the ILD film 102 a is allowed without causing lateral etching of the upper portion of the ILD film 102 a. For the etching to the lower portion of the ILD film 102 a, the above-mentioned non-hydrogen containing CF-based gases and inert gases are used, thereby preventing polymer deposition. Oxygen (O2) and nitrogen (N2) can prevent deposition of polymer: O2 is more effective than N2, for example, the effect of preventing the deposition of polymer by O2 at 1 sccm is equivalent to the effect by N2 at 15 sccm. For this reason, a gas mixture of O2 or N2, the CF-based gas, and inert gas is used in etching the lower portion of the ILD film 102 a. The amount of O2 is controlled in the range of 50-75% by weight of the CF-based gas, such that no polymer layer is deposited on the shallow trench sidewalls. O2 produces CO or CO2 by reaction with polymer, and N2 produces cyanide (CN) gas by reaction with polymer, thereby preventing deposition of polymer. As a result, a trench 107 whose lower width T2 is larger than the upper width T1 is formed.
  • Referring to FIG. 8D, the photoresist pattern 104 used in etching the ILD film 102 is removed by a known method, for example, by ashing. Then, a barrier layer 108 is deposited along the semiconductor wafer 100 and within the trench 107. The barrier layer 108 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD film 102 b and a conductive layer, for example, of a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers. Then, a conductive layer 110 is deposited over the semiconductor wafer 100 with the barrier layer 108. It is preferable that the conductive layer 110 be formed of Cu or W by electroplating, chemical vapor deposition (CVD) or sputtering, or by a combination of these methods. For example, a portion of the conductive layer 110 can be deposited over the barrier layer 108 by sputtering, and then the other portion can be deposited by electroplating.
  • The semiconductor wafer 100 with the conductive layer 108 is polished by chemical mechanical polishing (CMP) such that the conductive layer 108 remains within only the trench 107 surrounded by the ILD film 102 b, thereby resulting in a metal connection, as shown in FIG. 4.
  • Method Embodiment 2
  • Another embodiment of the metal interconnect formation according to the present invention is illustrated by steps in FIGS. 9A through 9D. Referring to FIG. 9A, a first ILD film 201 and a second ILD film 202 are deposited over a semiconductor wafer 200 in sequence. It is preferable that the first ILD film 201 is formed of a material such as a FOX layer or HOSP layer, having a higher etch ratio and smaller resistance constant than those of the material for the second ILD film 202. The second ILD film 202 may be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer. The thickness of the second ILD film 202 may be in the range of about 20-70% of the sum of the thicknesses of the first and second ILD films 201 and 202. The material used for the first ILD film 201, such as FOX layer or HOSP layer, has a smaller dielectric constant than the USG layer or SOG layer used as the second ILD film 201, thereby lowering parasitic capacitance of the resulting semiconductor device. The semiconductor wafer 200 may be a substrate in which an insulation layer such as USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer are deposited in sequence over a predetermined underlying layer.
  • Referring to FIG. 9B, a photoresist pattern 204 which defines a metal interconnect region is formed on the second ILD film 202 by a photolithography process. Referring to FIG. 9C, the second and first ILD films 202 and 201 are etched in sequence using the photoresist pattern 204 as an etch mask, thereby resulting in a trench whose lower width T4 in the first ILD film 201 a is larger than the upper width T3 in the second ILD film 202 a. This is because the first ILD film 201 has a higher etch rate than the second ILD film 202.
  • Referring to FIG. 9D, the photoresist pattern 204 is removed by a known method, for example, by ashing, and a barrier layer 206 is deposited along the semiconductor wafer 200 and within the trench. The barrier layer 206 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD films 201 a and 202 a and a conductive layer, for example, a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers. Then, a conductive layer 208 is deposited over the semiconductor wafer 200 with the barrier layer 206. It is preferable that the conductive layer 208 be formed of Cu or W by electroplating, CVD or sputtering, or by a combination of these methods. For example, a portion of the conductive layer 208 can be deposited over the barrier layer 206 by sputtering, and then the other portion can be deposited by electroplating.
  • The semiconductor wafer 200 with the conductive layer 208 is polished by CMP such that the conductive layer 208 remains within only the trench 107 in the ILD films 201 and 202, thereby resulting in a metal connection, as shown in FIG. 5.
  • Method Embodiment 3
  • Another embodiment of the metal interconnect formation according to the present invention is illustrated by steps in FIGS. 10A through 10D. Referring to FIG. 10A, an ILD film 302 is formed over a semiconductor wafer 300. It is preferable that the ILD film 302 be formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer. The semiconductor wafer 300 may be a substrate as a stack of an insulation layer, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer deposited in sequence over a predetermined underlying layer.
  • Referring to FIG. 10B, a photoresist pattern 304 that defines a metal interconnect region is formed on the ILD film 302 by a photolighography process. The upper portion of the ILD film 302 is etched using the photoresist pattern 304 as an etch mask to form a first trench 306. During the etching to the upper portion of the ILD film 302, a polymer layer 305′ acting as an etch barrier is spontaneously formed on the sidewalls of the first trench 306. For a general etching process, CF-based gas such as CHF3, CF4, C4F8 or C3F8, and an inert gas such as Ar, He or Ne is used. Non-hydrogen containing CF-based gases suppress deposition of a polymer layer on the trench sidewalls, whereas hydrogen containing CF-based gases, such as CHF3 gas, facilitates the deposition of polymer layer. For this reason, in the present embodiment, the etching process is carried out using a hydrogen containing CF-based gas so that the polymer layer 305′ results on the trench sidewalls. The polymer layer 305′ serves as an etch barrier during a subsequent etching process, thereby preventing lateral etching.
  • Referring to FIG. 10C, the middle portion of the ILD film 302 a is etched using the photoresist pattern 304 and the polymer layer 305′ on the upper sidewalls of the ILD film 302 a as an etch mask, thereby resulting in a second trench whose width T6 is larger than the upper width T5. The polymer layer 305′ formed on the upper sidewalls of the ILD film 302 a acts as an etch barrier so that only etching downward is allowed without causing lateral etching of the upper portion of the ILD film 302 b. At the same time, lateral etching occurs in the middle portion of the ILD film 302 b with non-hydrogen containing etching gas. As the trench depth extends close to the end of the middle portion, a hydrogen-containing CF-based gas, such as CHF3 gas, is supplied, so that a polymer layer 305″ acting as an etch barrier, which prevents downward etching, is deposited at the end of the middle portion of a second trench. As a result, the second trench having width T6 larger than the width T5 of the first trench 306 is formed in the middle portion of the ILD film 302 b. Following this, the remaining lower portion of the ILD film 302 b is etched using the photoresist pattern 304, and the polymer layers 305′ and 305″ deposited on the sidewalls of the first and second trenches, as an etch mask, thereby resulting in a third trench 307 in the lower portion of the ILD film 302 b, having a width T7 smaller than the width T6 of the second trench. The polymer layers 305′ and 305″ deposited on the sidewalls of the first and second trenches act as an etch mask, so that only downward occurs. In this case, it is preferable that the thickness of the middle portion of the ILD film 302 b with the thickness T6 is in the range of 20-50%% of the thickness of the entire ILD film 302 b. Deposition of polymer can be suppressed during etching process, as described in the first embodiment.
  • Referring to FIG. 10D, the photoresist pattern 304 used in etching the ILD film 302 b is removed by a known method, for example, by ashing. Then, a barrier layer 308 is deposited along the semiconductor wafer 300 and within the trench. The barrier layer 308 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD film 302 b and a conductive layer, for example, of a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers. Then, a conductive layer 310 is deposited over the semiconductor wafer 300 with the barrier layer 308. It is preferable that the conductive layer 310 be formed of Cu or W by electroplating, CVD or sputtering, or by a combination of these methods. For example, a portion of the conductive layer 310 can be deposited over the barrier layer 308 by sputtering, and then the other portion can be deposited by electroplating.
  • The semiconductor wafer 300 with the conductive layer 310 is polished by CMP such that the conductive layer 208 remains within only the trench surrounded by the ILD film 302 b, thereby resulting in a metal connection, as shown in FIG. 6.
  • Method Embodiment 4
  • Another embodiment of the metal interconnect formation according to the present invention is illustrated by steps in FIGS. 11A through 11D. Referring to FIG. 11A, a first ILD film 401 and a second ILD film 402 are deposited over a semiconductor wafer 400 in sequence. It is preferable that the first ILD film 401 be formed of a material, such as a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, having a lower etch ratio than that of the material for the second ILD film 402. The second ILD film 402 may be formed of a material, such as a FOX layer or HOSP layer, having a higher etching ratio and smaller dielectric constant than those of the material for the first ILD film 401. Since the FOX layer or HOSP layer used to form the second ILD film 402 has a smaller dielectric constant than that of the USG layer or SOG layer used to form the first ILD film 401, parasitic capacitance of the resulting semiconductor device decreases. Following this, a third ILD film 403 is formed over the second ILD film 402 with a material having a lower etch ratio than the second ILD film 402. It is preferable that the third ILD film 403 is formed of a USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer. The thickness of the second ILD film 402 may be in the range of about 20-50% of the sum of the thicknesses of the first, second and third ILD films 401, 402 and 403. The semiconductor wafer 400 may be a substrate in which an insulation layer such as USG layer, SiOF layer, TEOS layer, SOG layer or BPSG layer, and a silicon nitride layer are deposited in sequence over a predetermined underlying layer.
  • Referring to FIG. 11B, a photoresist pattern 404 which defines a metal interconnect region is formed on the third ILD film 403 by a photolithography process. Referring to FIG. 11C, the third, second and first ILD films 403, 402 and 401 are etched in sequence using the photoresist pattern 404 as an etch mask, thereby resulting in a trench whose middle width T9 in the second ILD film 402 a is larger than the upper width T8 in the third ILD film 403 a and the lower width T10 in the first ILD film 401 a. The middle width T9 of the trench can be largest because the second ILD film 402 has a higher etch ratio compared with the third and first ILD films 403 and 401.
  • Referring to FIG. 11D, the photoresist pattern 404 used is removed by a known method, for example, by ashing, and a barrier layer 406 is deposited along the semiconductor wafer 400 with the trench. The barrier layer 406 may be formed of a material layer capable of acting as both a metal-diffusion barrier and an adhesive layer between the ILD films 401 a, 402 a and 403 a, and a conductive layer, for example, of a Ta layer, TaN layer, Ti layer, TiN layer or a bilayer of these material layers. Then, a conductive layer 408 is deposited over the barrier layer 406. It is preferable that the conductive layer 408 is formed of Cu or W by electroplating, CVD or sputtering, or by a combination of these methods. For example, a portion of the conductive layer 408 can be deposited over the barrier layer 406 by sputtering, and then the other portion can be deposited by electroplating.
  • The semiconductor wafer 400 with the conductive layer 408 is polished by CMP such that the conductive layer 408 remains within only the trench 107 surrounded by the first, second and third ILD films 401 a, 402 a and 403 a, thereby resulting in a metal connection, as shown in FIG. 7.
  • As previously mentioned, the metal interconnect structure of a semiconductor device and the metal interconnect formation according to the present invention can prevent lifting of metal interconnect. The inventive metal interconnect structure is wider at the lower portion than the upper portion, or at the middle portion than the upper and lower portions, so that lifting of the metal interconnect caused by stress applied to the ILD film during a subsequent thermal process can be suppressed. In addition, since the ILD film is formed of an insulation material having a low dielectric constant, parasitic capacitance of the semiconductor device becomes low.
  • While this invention has been particularly shown and described with references to preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the following claims.

Claims (14)

1. A method for forming a metal interconnect layer of a semiconductor device, the method comprising the steps of:
(a) forming an interlevel dielectric (ILD) film over a semiconductor wafer;
(b) forming a photoresist pattern on the ILD film, the photoresist pattern defining a metal interconnect region;
(c) etching an upper portion of the ILD film using the photoresist pattern as an etch mask to form an initial trench having a first width, resulting in a polymer layer serving as an etch barrier on the sidewalls of the initial trench;
(d) etching an middle portion of the ILD film using the photoresist pattern and the polymer layer on the initial trench sidewalls as an etch mask, to deepen the trench to form a second portion of the trench, the second portion of the trench having a second width wider than first width of the initial trench;
(e) forming a polymer layer serving as an etch barrier on the lower end of the etched middle portion of the ILD film;
(f) etching the remaining lower portion of the ILD film using the photoresist pattern and the polymer layers on the initial trench sidewalls and the sidewalls of the second portion of the trench as an etch mask, to further deepen the trench to form a third portion of the trench having a third width, the third width being smaller than the second width of the second portion of the trench;
(g) removing the photoresist pattern;
(h) forming a barrier layer along the semiconductor wafer and in the deepened trench;
(i) depositing a conductive layer over the barrier layer; and
(j) polishing the semiconductor wafer with the conductive layer by chemical and mechanical polishing such that the conductive layer remains within only the deepened trench surrounded by the ILD film.
2. The method of claim 1, wherein, in steps (c) and (e), a hydrogen containing CF-based gas and an inert gas are used to cause the polymer layer on the initial trench sidewalls and the lower end of the second portion of the trench.
3. The method of claim 1, wherein, in steps (d) and (f), a non-hydrogen containing CF-based gas and an inert gas are used together with at least one of oxygen and nitrogen.
4. The method of claim 1, wherein the thickness of the middle portion of the ILD film is in the range of 20-50% of the thickness of the entire ILD film.
5. The method of claim 1, wherein the ILD film is formed of at least one of a undoped silicate glass (USG) layer, silicon oxide fluoride (SiOF) layer, tetraethylorthosilicate (TEOS) layer, spin-on glass (SOG) layer and a borophosphosilicate glass (BPSG).
6. The method of claim 1, wherein the barrier layer is formed of at least one of a Ta layer, TaN layer, Ti layer, TiN layer and a bilayer of these layers, acting as both a metal-diffusion barrier and an adhesive layer between the ILD film and the conductive layer.
7. The method of claim 1, wherein the conductive layer is formed of at least one of a Cu and a W layer.
8. A method for forming a metal interconnect layer of a semiconductor device, the method comprising:
forming a first interlevel dielectric (ILD) film over a semiconductor wafer;
forming a second ILD film over the first ILD film, the second ILD film having a higher etch rate than the first ILD film;
forming a third ILD film over the second ILD film, the third ILD film having a lower etch rate than the second ILD film;
forming a photoresist pattern over the third ILD film, the photoresist pattern defining a metal interconnect region;
etching the third ILD film, the second ILD film, and the first ILD film in sequence using the photoresist pattern as an etch mask, to form a trench having a lower width, a middle width and an upper width, the middle width in the second ILD film being wider than the upper width in the third ILD film and the lower width in the first ILD film;
removing the photoresist pattern;
forming a barrier layer along the semiconductor wafer and in the trench;
depositing a conductive layer over the barrier layer; and
polishing the semiconductor wafer with the conductive layer by chemical mechanical polishing such that the conductive layer remains within only the trench surrounded by the first, second and third ILD films.
9. The method of claim 8, wherein the thickness of the second ILD film is in the range of 20-50% of the sum of the thicknesses of the first, second and third ILD films.
10. The method of claim 8, wherein each of the first and third ILD films is formed of at least one of an undoped silicate glass (USG) layer, silicon oxide fluoride (SiOF) layer, tetraethylorthosilicate (TEOS) layer, spin-on glass (SOG) layer and a borophosphosilicate glass (BPSG); and the second ILD film is formed of at least one of a flowable oxide (FOX) layer and a hydride organic siloxane polymer (HOSP) layer such that the second ILD film has a higher etch rate than the first and third ILD films.
11. The method of claim 8, wherein the barrier layer is formed of at least one of a Ta layer, TaN layer, Ti layer, TiN layer and a bilayer of these layers, acting as both a metal-diffusion barrier and an adhesive layer between the first, second and third ILD films, and the conductive layer.
12. The method of claim 8, wherein the conductive layer is formed of at least one of a Cu and a W layer.
13. A method for forming a metal interconnect layer of a semiconductor device, the method comprising:
forming an interlevel dielectric (ILD) film over a semiconductor wafer;
forming a photoresist pattern on the ILD film, the photoresist pattern defining a metal interconnect region;
etching a portion of the ILD film using the photoresist pattern as an etch mask to form an initial trench, a polymer layer serving as an etch barrier on the sidewalls of the initial trench;
etching the remainder of the ILD film using the photoresist pattern and the polymer layer on the initial trench sidewalls as an etch mask, to deepen the trench, the resulting deeper trench having a lower width and an upper width, the lower width being wider than the upper width;
removing the photoresist pattern;
forming a barrier layer on the semiconductor wafer and in the deeper trench;
depositing a conductive layer over the barrier layer; and
polishing the conductive layer by chemical mechanical polishing (CMP), such that the conductive layer remains within only the deeper trench.
14. A method for forming a metal interconnect layer of a semiconductor device, the method comprising:
forming a first interlevel dielectric (ILD) film over a semiconductor wafer;
forming a second ILD film over the first ILD film, the second ILD film having a lower etch rate than the first ILD film;
forming a photoresist pattern over the second ILD film, the photoresist pattern defining a metal interconnect region;
etching the second ILD film and the first ILD film in sequence using the photoresist pattern as an etch mask, to form a trench having a lower width in the first ILD film and an upper width in the second ILD film, the lower width being wider than the upper width;
removing the photoresist pattern;
forming a barrier layer along the semiconductor wafer and in the trench;
depositing a conductive layer over the barrier layer; and
polishing the semiconductor wafer with the conductive layer by chemical mechanical polishing such that the conductive layer remains within only the trench surrounded by the first and second ILD films.
US11/385,400 2000-11-01 2006-03-21 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer Abandoned US20060160351A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/385,400 US20060160351A1 (en) 2000-11-01 2006-03-21 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR10-2000-0064557A KR100366635B1 (en) 2000-11-01 2000-11-01 Metal layer of semiconductor device and manufacturing method thereof
KR00-64557 2000-11-01
US09/879,556 US20020050649A1 (en) 2000-11-01 2001-06-12 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer
US10/738,727 US7045896B2 (en) 2000-11-01 2003-12-17 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer
US11/385,400 US20060160351A1 (en) 2000-11-01 2006-03-21 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/738,727 Division US7045896B2 (en) 2000-11-01 2003-12-17 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer

Publications (1)

Publication Number Publication Date
US20060160351A1 true US20060160351A1 (en) 2006-07-20

Family

ID=19696601

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/879,556 Abandoned US20020050649A1 (en) 2000-11-01 2001-06-12 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer
US10/738,727 Expired - Lifetime US7045896B2 (en) 2000-11-01 2003-12-17 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer
US11/385,400 Abandoned US20060160351A1 (en) 2000-11-01 2006-03-21 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/879,556 Abandoned US20020050649A1 (en) 2000-11-01 2001-06-12 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer
US10/738,727 Expired - Lifetime US7045896B2 (en) 2000-11-01 2003-12-17 Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer

Country Status (4)

Country Link
US (3) US20020050649A1 (en)
JP (1) JP4230689B2 (en)
KR (1) KR100366635B1 (en)
TW (1) TW507323B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080102639A1 (en) * 2006-10-30 2008-05-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
CN103839874A (en) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 Metal interconnection structure and manufacturing method thereof

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100413828B1 (en) * 2001-12-13 2004-01-03 삼성전자주식회사 Semiconductor device and method of making the same
JP4850392B2 (en) * 2004-02-17 2012-01-11 三洋電機株式会社 Manufacturing method of semiconductor device
KR100607408B1 (en) * 2004-07-21 2006-08-02 삼성전자주식회사 Method of appraising of confidence a semiconductor wafer
TWI303864B (en) * 2004-10-26 2008-12-01 Sanyo Electric Co Semiconductor device and method for making the same
JP4443379B2 (en) * 2004-10-26 2010-03-31 三洋電機株式会社 Manufacturing method of semiconductor device
JP4873517B2 (en) * 2004-10-28 2012-02-08 オンセミコンダクター・トレーディング・リミテッド Semiconductor device and manufacturing method thereof
US7485967B2 (en) * 2005-03-10 2009-02-03 Sanyo Electric Co., Ltd. Semiconductor device with via hole for electric connection
US7425507B2 (en) * 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
JP2007067216A (en) 2005-08-31 2007-03-15 Sanyo Electric Co Ltd Semiconductor device and manufacturing method thereof, and circuit board and manufacturing method thereof
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
KR100778851B1 (en) * 2005-12-28 2007-11-22 동부일렉트로닉스 주식회사 Method For Fabricating Metal-Insulator-Metal Capacitor In Semiconductor Device
KR100715600B1 (en) * 2005-12-28 2007-05-10 동부일렉트로닉스 주식회사 Method of fabricating the fine pattern
KR101380875B1 (en) 2007-11-05 2014-04-03 삼성디스플레이 주식회사 Metal line and method of forming the same
US8314026B2 (en) * 2011-02-17 2012-11-20 Freescale Semiconductor, Inc. Anchored conductive via and method for forming
US9627318B2 (en) 2014-06-16 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with footing region
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9620510B2 (en) * 2014-12-19 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Stacked metal layers with different thicknesses
WO2017095398A1 (en) * 2015-12-02 2017-06-08 Intel Corporation Anchored through-silicon vias
JP2018049856A (en) * 2016-09-20 2018-03-29 ルネサスエレクトロニクス株式会社 Semiconductor device
US10141260B1 (en) 2017-05-26 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and method for forming the same
US10886226B2 (en) * 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10950497B2 (en) * 2018-11-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Electrical connection for semiconductor devices
CN113539945B (en) * 2020-04-16 2023-09-29 长鑫存储技术有限公司 Semiconductor structure and forming method thereof

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528066A (en) * 1984-07-06 1985-07-09 Ibm Corporation Selective anisotropic reactive ion etching process for polysilicide composite structures
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5364817A (en) * 1994-05-05 1994-11-15 United Microelectronics Corporation Tungsten-plug process
US5408130A (en) * 1992-08-31 1995-04-18 Motorola, Inc. Interconnection structure for conductive layers
US5442236A (en) * 1992-03-14 1995-08-15 Kabushiki Kaisha Toshiba Semiconductor device having a multilayered wiring structure with dummy wiring
US5554565A (en) * 1996-02-26 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Modified BP-TEOS tungsten-plug contact process
US5851923A (en) * 1996-01-18 1998-12-22 Micron Technology, Inc. Integrated circuit and method for forming and integrated circuit
US5858834A (en) * 1996-02-28 1999-01-12 Nec Corporation Method for forming cylindrical capacitor lower plate in semiconductor device
US5874357A (en) * 1995-12-29 1999-02-23 Lg Semicon Co., Ltd. Method of forming wiring structure of semiconductor device
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6046100A (en) * 1996-12-12 2000-04-04 Applied Materials, Inc. Method of fabricating a fabricating plug and near-zero overlap interconnect line
US6114231A (en) * 1996-05-06 2000-09-05 United Microelectronics Corp. Wafer structure for securing bonding pads on integrated circuit chips and a method for fabricating the same
US6235638B1 (en) * 1999-02-16 2001-05-22 Micron Technology, Inc. Simplified etching technique for producing multiple undercut profiles
US6291353B1 (en) * 1999-08-19 2001-09-18 International Business Machines Corporation Lateral patterning
US6355567B1 (en) * 1999-06-30 2002-03-12 International Business Machines Corporation Retrograde openings in thin films
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6566274B1 (en) * 2001-11-28 2003-05-20 Unaxis Balzer Limited Lithography process for transparent substrates
US6589863B1 (en) * 1998-12-25 2003-07-08 Nec Electronics Corp. Semiconductor device and manufacturing method thereof
US6737748B2 (en) * 1999-08-23 2004-05-18 Infineon Technologies Ag Stacked via with specially designed landing pad for integrated semiconductor structures

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201774A (en) * 1993-12-28 1995-08-04 Fujitsu Ltd Manufacture of semiconductor device
JPH0817827A (en) * 1994-06-29 1996-01-19 Oki Electric Ind Co Ltd Wiring forming method
JPH08195380A (en) * 1995-01-13 1996-07-30 Sony Corp Method of forming contact hole
JPH09148434A (en) * 1995-11-29 1997-06-06 Hitachi Ltd Interlayer connection method for wiring
KR19990000816A (en) * 1997-06-10 1999-01-15 윤종용 Metal wiring structure of semiconductor device with anchored tungsten plug and manufacturing method thereof
JPH11317407A (en) * 1998-05-01 1999-11-16 Nippon Steel Corp Semiconductor device and manufacture of the same

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528066A (en) * 1984-07-06 1985-07-09 Ibm Corporation Selective anisotropic reactive ion etching process for polysilicide composite structures
US5442236A (en) * 1992-03-14 1995-08-15 Kabushiki Kaisha Toshiba Semiconductor device having a multilayered wiring structure with dummy wiring
US5408130A (en) * 1992-08-31 1995-04-18 Motorola, Inc. Interconnection structure for conductive layers
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5364817A (en) * 1994-05-05 1994-11-15 United Microelectronics Corporation Tungsten-plug process
US5874357A (en) * 1995-12-29 1999-02-23 Lg Semicon Co., Ltd. Method of forming wiring structure of semiconductor device
US5851923A (en) * 1996-01-18 1998-12-22 Micron Technology, Inc. Integrated circuit and method for forming and integrated circuit
US5936308A (en) * 1996-01-18 1999-08-10 Micron Technology, Inc. Interlocking conductive plug for use with an integrated circuit
US6107196A (en) * 1996-01-18 2000-08-22 Micron Technology, Inc. Integrated circuit, and method for forming an integrated circuit
US5554565A (en) * 1996-02-26 1996-09-10 Taiwan Semiconductor Manufacturing Company Ltd. Modified BP-TEOS tungsten-plug contact process
US5858834A (en) * 1996-02-28 1999-01-12 Nec Corporation Method for forming cylindrical capacitor lower plate in semiconductor device
US6114231A (en) * 1996-05-06 2000-09-05 United Microelectronics Corp. Wafer structure for securing bonding pads on integrated circuit chips and a method for fabricating the same
US6046100A (en) * 1996-12-12 2000-04-04 Applied Materials, Inc. Method of fabricating a fabricating plug and near-zero overlap interconnect line
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6589863B1 (en) * 1998-12-25 2003-07-08 Nec Electronics Corp. Semiconductor device and manufacturing method thereof
US6235638B1 (en) * 1999-02-16 2001-05-22 Micron Technology, Inc. Simplified etching technique for producing multiple undercut profiles
US20040004057A1 (en) * 1999-02-16 2004-01-08 Micron Technology, Inc. Simplified etching technique for producing multiple undercut profiles
US6355567B1 (en) * 1999-06-30 2002-03-12 International Business Machines Corporation Retrograde openings in thin films
US6291353B1 (en) * 1999-08-19 2001-09-18 International Business Machines Corporation Lateral patterning
US6737748B2 (en) * 1999-08-23 2004-05-18 Infineon Technologies Ag Stacked via with specially designed landing pad for integrated semiconductor structures
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6566274B1 (en) * 2001-11-28 2003-05-20 Unaxis Balzer Limited Lithography process for transparent substrates

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080102639A1 (en) * 2006-10-30 2008-05-01 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
US7858476B2 (en) * 2006-10-30 2010-12-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device with recess gate
CN103839874A (en) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 Metal interconnection structure and manufacturing method thereof

Also Published As

Publication number Publication date
KR20020034351A (en) 2002-05-09
JP4230689B2 (en) 2009-02-25
JP2002184778A (en) 2002-06-28
US7045896B2 (en) 2006-05-16
US20040140563A1 (en) 2004-07-22
TW507323B (en) 2002-10-21
US20020050649A1 (en) 2002-05-02
KR100366635B1 (en) 2003-01-09

Similar Documents

Publication Publication Date Title
US20060160351A1 (en) Metal interconnect layer of semiconductor device and method for forming a metal interconnect layer
US7119441B2 (en) Semiconductor interconnect structure
US6177329B1 (en) Integrated circuit structures having gas pockets and method for forming integrated circuit structures having gas pockets
US7691739B2 (en) Via electromigration improvement by changing the via bottom geometric profile
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US7285853B2 (en) Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US6291887B1 (en) Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6620727B2 (en) Aluminum hardmask for dielectric etch
JP2003045969A (en) Wiring forming method utilizing dual damascene
US8822342B2 (en) Method to reduce depth delta between dense and wide features in dual damascene structures
US6930033B2 (en) Treating surface of low-dielectric constant material to achieve good mechanical strength
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
US6521524B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US20220384254A1 (en) Metal interconnect structure and method for fabricating the same
US6180514B1 (en) Method for forming interconnect using dual damascene
US6689684B1 (en) Cu damascene interconnections using barrier/capping layer
EP1460677A2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US6383919B1 (en) Method of making a dual damascene structure without middle stop layer
KR100780680B1 (en) Method for forming metal wiring of semiconductor device
US6339027B1 (en) Process for borderless stop in tin via formation
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US6459155B1 (en) Damascene processing employing low Si-SiON etch stop layer/arc
US6133628A (en) Metal layer interconnects with improved performance characteristics
US6927160B1 (en) Fabrication of copper-containing region such as electrical interconnect
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION