US20060154494A1 - High-throughput HDP-CVD processes for advanced gapfill applications - Google Patents

High-throughput HDP-CVD processes for advanced gapfill applications Download PDF

Info

Publication number
US20060154494A1
US20060154494A1 US11/031,926 US3192605A US2006154494A1 US 20060154494 A1 US20060154494 A1 US 20060154494A1 US 3192605 A US3192605 A US 3192605A US 2006154494 A1 US2006154494 A1 US 2006154494A1
Authority
US
United States
Prior art keywords
deposition
flow
substrate
sputtering
containing gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/031,926
Inventor
Bo Qi
Young Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/031,926 priority Critical patent/US20060154494A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, YOUNG S., QI, Bo
Priority to TW094141171A priority patent/TWI328618B/en
Priority to KR1020060001215A priority patent/KR101289795B1/en
Priority to CNB2006100005119A priority patent/CN100483646C/en
Publication of US20060154494A1 publication Critical patent/US20060154494A1/en
Priority to US11/941,263 priority patent/US8414747B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Definitions

  • CVD chemical-vapor deposition
  • thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film.
  • PECVD Plasma-enhanced CVD
  • RF radio-frequency
  • HDP high-density-plasma
  • HDP-CVD systems form a plasma that is at least approximately two orders of magnitude greater than the density of a standard, capacitively coupled plasma CVD system.
  • Examples of HDP-CVD systems include inductively coupled plasma systems and electron cyclotron resonance (ECR) plasma systems, among others.
  • HDP-CVD systems generally operate at lower pressure ranges than low-density plasma systems.
  • the low chamber pressure employed in HDP-CVD systems provides active species having a long mean-free-path and reduced angular distribution.
  • HDP-CVD high density of the plasma
  • the sputtering component of HDP deposition processes slows deposition on certain features, such as the corners of raised surfaces, thereby contributing to the increased gapfill ability of HDP deposited films.
  • Some HDP-CVD systems introduce argon or a similar heavy inert gas to further promote the sputtering effect.
  • These HDP-CVD systems typically employ an electrode within the substrate support pedestal that enables the creation of an electric field to bias the plasma towards the substrate. The electric field can be applied throughout the HDP deposition process for further promotion of sputtering and to provide better gapfill characteristics for a given film.
  • HDP-CVD processes could fill the gaps or trenches that were created in almost any application.
  • Semiconductor manufacturers have discovered, however, that there is a practical limit to the aspect ratio of gaps that HDP-CVD processes are able to fill.
  • one HDP-CVD process commonly used to deposit a silicon oxide gapfill film forms a plasma from a process gas that includes silane SiH 4 , molecular oxygen O 2 , and argon Ar. It has been reported that when such a process is used to fill certain narrow-width high-aspect-ratio gaps, the sputtering caused by argon in the process gas may hamper the gapfill efforts.
  • FIG. 1 provides schematic cross-sectional views of a silicon oxide film at different stages of deposition to illustrate the potential gapfill limitation associated with some CVD processes.
  • the gapfill problem is illustrated in somewhat exaggerated form to illustrate the problem better.
  • the top portion of FIG. 1 shows the initial structure 104 in which a gap 120 is defined by two adjacent features 124 and 128 having horizontal surfaces 122 , with the horizontal surface at the bottom of the gap being denoted 132 .
  • structure 108 i.e. the second portion of the figure from the top
  • a conventional HDP-CVD silicon oxide deposition process results in direct deposition on the horizontal surface 132 at the bottom of the gap 120 and on the horizontal surfaces 122 above the features 124 and 128 .
  • redeposition results in indirect deposition (referred to as “redeposition”) on the sidewalls 140 of the gap 120 due to recombination of material sputtered from the silicon oxide film as it grows.
  • redeposition indirect deposition
  • the continued growth of the silicon oxide film results in formations 136 on the upper section of the sidewall 140 that grow towards each other at a rate of growth exceeding the rate at which the film grows laterally on the lower portions of the sidewall.
  • This trend is shown in structures 108 and 112 , with the final result in structure 116 being the formation of a void 144 within the film.
  • the probability of forming a void is very directly related to the rate and character of the redeposition.
  • Embodiments of the invention provide methods of depositing a silicon oxide film that improve gapfill capabilities. Some embodiments that are especially suitable for substrates that have both dense and open areas and fill part of the gaps with an initially higher deposition contribution from an HDP-CVD process, followed by a lower deposition contribution to fill a further portion of the gaps. Other embodiments make use of an unexpected chemical effect with a hydrogen-based plasma to remove material intermediate between deposition phases to reopen the gap before formation of a void. In some instances, these embodiments overlap with certain processes making use of both aspects.
  • a method is provided of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber.
  • the substrate has a gap formed between adjacent raised surfaces.
  • a silicon-containing gas, an oxygen-containing gas, and a fluent gas are flowed into the substrate processing chamber.
  • the fluent gas has an average molecular weight less than 5 amu.
  • a first high-density plasma is formed from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a first deposition/sputter ratio.
  • a second high-density plasma is formed from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a second deposition/sputter ratio.
  • the second deposition/sputter ratio is less than the first deposition/sputter ratio.
  • Each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
  • the first deposition/sputter ratio is between 20 and 100. Also in some embodiments, the second deposition/sputter ratio is less than 10.
  • the second high-density plasma may be formed by changing process conditions without extinguishing the first high-density plasma.
  • the fluent gas may comprise molecular hydrogen H 2 and may be flowed into the substrate processing chamber with a flow rate greater than 500 sccm. In another embodiment, the fluent gas comprises helium He.
  • the silicon-containing gas may comprise monosilane SiH 4 and the oxygen-containing gas may comprise molecular oxygen O 2 .
  • the first portion of the silicon oxide film reduces a depth of the gap by less than 50%.
  • the gap may comprise a plurality of gaps formed between adjacent raised surfaces, with a first of the gaps having a width at least five times a width of a second of the gaps.
  • a method for depositing a silicon oxide film on a substrate disposed in a substrate processing chamber.
  • the substrate has a gap formed between adjacent raised surfaces.
  • a flow of a first gaseous mixture is provided to the substrate processing chamber.
  • the first gaseous mixture comprises a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas.
  • a first high-density plasma is formed from the first gaseous mixture to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components.
  • the first portion of the silicon oxide film is exposed to a second high-density plasma formed with a flow of gases having an average molecular weight less than 5 amu and including a flow of molecular hydrogen H 2 .
  • a flow of a second gaseous mixture is provided to the substrate processing chamber.
  • the flow of the second gaseous mixture comprises a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas.
  • a third high-density plasma is formed from the second gaseous mixture to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components.
  • the second high-density plasma is formed with a flow that consists essentially of molecular hydrogen H 2 .
  • the first portion may be exposed to the second high-density plasma by terminating the flow of the silicon-containing gas and the flow of the oxygen-containing gas comprised by the flow of the first gaseous mixture.
  • the flow of the second gaseous mixture may be provided by reinitiating the terminated flow of the silicon-containing gas and the terminated flow of the oxygen-containing gas.
  • the flow rate of molecular hydrogen H 2 may be provided at a rate greater than 500 sccm in some embodiments, and may be provided at a rate greater than 1000 sccm in other embodiments.
  • the process may be cycled repeatedly.
  • the second portion of the silicon oxide film is exposed to a fourth high-density plasma formed with a flow of gases having an average molecular weight less than 5 amu and including a flow of molecular hydrogen.
  • a flow of a third gaseous mixture is provided to the substrate processing chamber.
  • the flow of the third gaseous mixture comprises a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas.
  • a fifth high-density plasma is formed from the third gaseous mixture to deposit a third portion of the silicon oxide film over the substrate and within the gap with a third deposition process that has simultaneous deposition and sputtering components.
  • relative deposition and sputtering contributions may be varied during different depositions.
  • the first deposition process may comprise relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100
  • the second deposition process may comprise deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10.
  • the first deposition process may comprise a first part having relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100, followed by a second part having relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10.
  • the second deposition process may comprise a first part having relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100, followed by a second part having relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10.
  • FIG. 1 provides schematic cross-sectional drawings illustrating the formation of a void during a prior-art gapfill process
  • FIG. 2 is a simplified cross-sectional view of a partially completed integrated circuit that includes a plurality of shallow-trench-isolation structures
  • FIGS. 3A and 3B are schematic diagrams that respectively illustrated gapfill characteristics of densely packed areas and open areas in a structure
  • FIG. 4 is a flow diagram illustrating a method for depositing a film in one embodiment of the invention.
  • FIG. 5 is a flow diagram illustrating a method for depositing a film in another embodiment of the invention.
  • FIG. 6 provides schematic diagrams illustrating how material is deposited in a gap using the method of FIG. 5 ;
  • FIGS. 7A-7C are flow diagrams that illustrate alternative methods for depositing a film in other embodiments of the invention.
  • FIG. 8A is a simplified diagram of one embodiment of a high-density-plasma chemical-vapor-deposition system with which methods of the invention may be implemented.
  • FIG. 8B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary processing system of FIG. 8A .
  • Embodiments of the invention are directed to methods of depositing a silicon oxide layer to fill a gap in a surface of a substrate using a high-density-plasma CVD process.
  • Silicon oxide films deposited according to the techniques of the invention have excellent gapfill capabilities and are able to fill gaps encountered in, for example, shallow-trench-isolation (“STI”) structures. Films deposited by the methods of the invention are thus suitable for use in the fabrication of a variety of integrated circuits.
  • STI shallow-trench-isolation
  • FIG. 2 provides a simplified cross-sectional view of a partially completed integrated circuit 200 .
  • This integrated circuit is formed over a substrate 204 that includes a plurality of STI structures, each of which is typically created by forming a thin pad oxide layer 220 over the surface of the substrate 204 and then forming a silicon nitride layer 216 over the pad oxide layer 220 .
  • the nitride and oxide layers are then patterned using standard photolithography techniques and trenches 224 are etched through the nitride/oxide stack into the substrate 204 .
  • FIG. 2 provides a simplified cross-sectional view of a partially completed integrated circuit 200 .
  • This integrated circuit is formed over a substrate 204 that includes a plurality of STI structures, each of which is typically created by forming a thin pad oxide layer 220 over the surface of the substrate 204 and then forming a silicon nitride layer 216 over the pad oxide layer 220 .
  • the nitride and oxide layers are then
  • the integrated circuit may comprise areas 208 that are relatively densely packed with transistors or other active devices, and may comprise open areas 212 that are relatively isolated. Active devices in the open areas 212 may be separated from each other by more than an order of magnitude than separations in the densely packed areas 208 , but as used herein “open areas” are considered to be areas in which gaps have a width at least five times a width of a gap in a “dense area.”
  • Embodiments of the invention provide methods for filling the trenches 224 with an electrically insulating material such as silicon dioxide using a deposition process that has good gapfill properties. Different embodiments of the invention are more suitable for filling gaps in the open areas, while other embodiments of the invention are especially suitable for filling the very high aspect-ratio gaps in the dense areas. In some instances, the gapfill characteristics provided by the methods described below have good gapfill capabilities in both the open and dense areas, making such techniques especially valuable for certain applications. In some instances, prior to the gapfill process, an initial lining layer is deposited over the substrate as an in situ steam generation (“ISSG”) or other thermal oxide layer, or perhaps a silicon nitride layer.
  • ISSG in situ steam generation
  • One benefit to depositing such a liner prior to filling the trenches 224 is to provide appropriate corner rounding, which may aid in avoiding such effects as early gate breakdown in transistors that are formed.
  • such a liner may aid in relieving stress after the CVD deposition.
  • a high-density-plasma process is a plasma CVD process that includes simultaneous deposition and sputtering components and that employs a plasma having an ion density on the order of 10 11 ions/cm 3 or greater.
  • the relative levels of the combined deposition and sputtering characteristics of the high-density plasma may depend on such factors as the flow rates used to provide the gaseous mixture, the source power levels applied to maintain the plasma, the bias power applied to the substrate, and the like.
  • the combination of such factors may conveniently be quantified with a “deposition/sputter ratio,” sometimes denoted D/S to characterize the process: D S ⁇ ( net ⁇ ⁇ deposition ⁇ ⁇ rate ) + ( blanket ⁇ ⁇ sputtering ⁇ ⁇ rate ) ( blanket ⁇ ⁇ sputtering ⁇ ⁇ rate ) .
  • the deposition/sputter ratio increases with increased deposition and decreases with increased sputtering.
  • the “net deposition rate” refers to the deposition rate that is measured when deposition and sputtering are occurring simultaneously.
  • the “blanket sputter rate” is the sputter rate measured when the process recipe is run without deposition gases; the pressure within the process chamber is adjusted to the pressure during deposition and the sputter rate measured on a blanket thermal oxide.
  • a common alternative ratio is the “etching/deposition ratio,” E D ⁇ ( source ⁇ - ⁇ only ⁇ ⁇ deposition ⁇ ⁇ rate ) - ( net ⁇ ⁇ deposition ⁇ ⁇ rate ) ( source ⁇ - ⁇ deposition ⁇ ⁇ rate ) , which increases with increased sputtering and decreases with increased deposition.
  • E/D the “net deposition rate” again refers to the deposition rate measured when deposition and sputtering are occurring simultaneously.
  • source-only deposition rate refers to the deposition rate that is measured when the process recipe is run with no sputtering.
  • Embodiments of the invention are described herein in terms of D/S ratios. While D/S and E/D are not precise reciprocals, they are inversely related and conversion between them will be understood to those of skill in the art.
  • the desired D/S ratios for a given step in the HDP-CVD processes are generally achieved by including flows of precursor gases and, in some instances, flows of a fluent gas, which may also act as a sputtering agent.
  • the elements comprised by the precursor gases react to form the film with the desired composition.
  • the precursor gases may include a silicon-containing gas, such as silane SiH 4 , and an oxidizing gas reactant such as molecular oxygen O 2 .
  • Dopants may be added to the film by including a precursor gas with the desired dopant, such as by including a flow of SiF 4 to fluorinate the film, including a flow of PH 3 to phosphorate the film, including a flow of B 2 H 6 to boronate the film, including a flow of N 2 to nitrogenate the film, and the like.
  • the fluent gas may be provided with a flow of H 2 or with a flow of an inert gas, including a flow of He, or even a flow a heavier inert gas, such as Ne, Ar, or Xe.
  • Embodiments of the invention generally provide fluent-gas flows that have an average molecular mass less than 5 amu. This may be achieved by using flows of a single low-mass gas, such as with a flow of substantially pure H 2 or with a flow of substantially pure He. Alternatively, flows may sometimes be provided of multiple gases, such as by providing both a flow of H 2 and a flow of He, which mix in the HDP-CVD process chamber.
  • the gas may sometimes be premixed so that a flow of H 2 /He is provided in a mixed state to the process chamber. It is also possible to provide separate flows of higher-mass gases, or to include higher-mass gases in the premixture, with the relative flow rates and/or concentrations of the premixture being selected to maintain an average molecular mass less than 5 amu.
  • the gap 304 in FIG. 3A is a high-aspect-ratio gap, with the material deposited using an HDP-CVD process forming a characteristic cusp structure 308 over the horizontal surfaces. Redeposition occurs as material 312 is sputtered from the cusp 308 in response to the impact of plasma ions along path 316 .
  • the sputtered material 312 follows a path 320 that encounters the sidewall 324 on the opposite side of the gap 304 . This effect is symmetrical so that as material is sputtered away from the left side of the gap onto the right side, material is also sputtered away from the right side of the gap onto the left side.
  • the redeposition of material protects against excess sputtering resulting in clipping of the corners.
  • This symmetry is not present in the open areas, as illustrated with the open-area structure 330 shown in FIG. 3B .
  • the deposition causes the formation of a similar cusp 308 ′, but when material 312 ′ is sputtered along path 320 ′ in response to the impact of plasma ions along path 316 ′, the opposite side of the gap is too far away for the redeposition to be protective.
  • the corner of the structure in FIG. 3B suffers the same ejection of material as does the corner of the structure in FIG. 3A , without the compensating effect of receiving material sputtered from the opposite side of the gap. As a consequence, there is an increased risk of clipping the corner and damaging the underlying structure.
  • such corner clipping is avoided in open areas by using a process that has an initially high D/S ratio so that the initial part of the process is dominated by a greater deposition component and a reduced sputtering component. Subsequently, after some material has been deposited to protect the underlying structure, the D/S ratio is decreased so that the increased sputtering component keeps the gap open as material is deposited to complete gapfill.
  • This decrease in D/S ratio during the process is used to address a combination of effects resulting from the use of a low-mass fluent gas in combination with a gap structure that does not benefit as strongly from redeposition effects.
  • Such a decrease in D/S ratio is generally counter to more traditional gapfill techniques that increase the D/S ratio to improve gapfill with an initially aggressive gap.
  • the process begins at block 404 by transferring a substrate into a process chamber.
  • the substrate is typically a semiconductor wafer, such as a 200-mm or 300-mm-diameter silicon wafer.
  • Flows of precursor gases are provided to the chamber at block 408 , including a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a low-mass fluent gas.
  • Table I provides exemplary flow rates for deposition of an undoped silicate glass (“USG”) film using flows of monosilane SiH 4 , molecular oxygen O 2 , and H 2 , although it should be understood that other precursor gases, including dopant sources, and other fluent gases that provide an average molecular mass less than 5 amu may be used as discussed above.
  • USG undoped silicate glass
  • a high-density plasma is formed from the gaseous flows at block 412 by coupling energy into the chamber.
  • a common technique for generating a high-density plasma is to couple rf energy inductively.
  • the D/S ratio is determined not only by the flow rates for the gases, but also by the power density of energy coupled into the chamber, by the strength of a bias that may be applied to the substrate, by the temperature within the chamber, by the pressure within the chamber, and other such factors.
  • processing parameters are selected to provide a D/S ratio within the range of 20-100, as indicated at block 416 .
  • Deposition is permitted to proceed with such a D/S ratio to fill the gap partially, as indicated at block 420 , with the process conditions being changed to provide a lower D/S ratio at block 424 .
  • the gap is filled at block 420 so that its depth is reduced by less than 50% from its initial depth. For instance, if the gap had an initial depth of 5.4 ⁇ m, as might occur for a gap having an aspect ratio of 6:1 and a width of about 0.90 ⁇ m, the filling at block 420 might reduce the depth of the gap to about 3.3 ⁇ m, a reduction in the height of about 40%.
  • Suitable values for the D/S ratio to fill the remainder of the gap at block 428 are values less than 10, as indicated at block 424 . These values are again determined by the processing parameters and provide an increased sputtering component to keep the gap open during deposition of the remainder of the film. After the gap has been filled, the plasma is extinguished at block 432 and the substrate is transferred out of the process chamber at block 436 .
  • the process has thus been described as an in situ process taking place in a single chamber with a continuous plasma, but these are not requirements of the invention.
  • the plasma may be extinguished and reinitiated for different parts of the process and the different parts of the process may be performed in different chambers.
  • a hydrogen plasma may be used to remove deposited silicon oxide.
  • the removal proceeds chemically according to the reaction SiO 2 +2H 2 ⁇ SiH 4 +O 2 and provides a relatively slow removal rate of about 50-100 ⁇ /min, even with a H 2 flow rate to the chamber on the order of 1000 sccm.
  • This slow removal rate provides an improved degree of precision over the removal process that is not available with alternative removal processes, such as chemical etching processes based on halogen chemistry or mechanical processes that provide processing conditions with a low D/S ratio to sputter material aggressively.
  • the use of halogen-based etch chemistries, such as result from plasmas formed from flows of NF 3 may cause undesirable stress on the chamber ceramics because of the formation of aluminum halogen byproducts such as AlF 3 .
  • FIG. 5 provides simplified cross-sectional views of a structure at different points during the process described in connection with FIG. 5 .
  • the structure is shown as a substrate having trenches etched therein as part of an STI structure, but the principles described herein may be applied more generally to any structure that defines gaps to be filled, including IMD and PMD applications, among others.
  • the process starts by positioning a substrate in a process chamber at block 504 .
  • the substrate has an initial structure 604 shown schematically in FIG. 6 with features 620 that form one or more gaps to be filled.
  • the features 620 may be, for example, areas of a substrate between etched trenches, adjacent metal lines, transistor gates, or other features.
  • the structure 604 may additionally include silicon nitride portions above the raised features and/or a silicon nitride liner along the interior of the gaps. The presence of such a line may increase the aspect ratio of the gap even further.
  • a silicon-containing gas like SiH 4 flows of a silicon-containing gas like SiH 4 , an oxygen-containing gas like O 2 , and molecular hydrogen H 2 are provided to the chamber at block 508 .
  • a high-density plasma is formed from the gas flows by inductively coupling rf energy into the chamber at block 512 , permitting the gap to be partially filled at block 516 .
  • the deposition at block 516 results in the formation of a cusp 636 , as shown for intermediate structure 608 , with redeposition causing silicate glass to be deposited more thickly near the corners of the underlying structures than on the sidewalls.
  • the flows of the precursor silicon-containing and oxygen-containing gases, as well as flows of any dopant gases that may have been supplied, are terminated at block 520 .
  • the removal of material results from the chemical interaction of the remaining hydrogen plasma to produce a further intermediate structure 612 that has a reduced cusp height 640 and a reshaped profile. While the principal removal mechanism results from the chemical interaction described above, it may be enhanced in certain embodiments. For instance, a bias may be applied to the substrate to attract the plasma ions and thereby introduce an anisotropy in the removal.
  • the plasma may comprise species other than hydrogen to increase the mechanical sputtering effect, although some embodiments of the invention generally remain limited to instances in which the average molecular weight of the sources is less than 5 amu.
  • Removal of material results in structure 612 by shaping the deposited film 640 so that the basic shape of the original features is retained, but with a less severe aspect ratio.
  • flows of the precursor gases are reinitiated at block 528 so that the remainder of the gap may be filled at block 532 to produce structure 616 with film 644 providing substantially void-free gapfill.
  • the gap may be filled with two such deposition stages and a single intermediate removal stage, although more aggressive gaps may be filled with a greater number of interleaved deposition and removal stages.
  • the substrate is transferred out of the chamber at block 536 .
  • the process has been described as an in situ process in which the deposition and removal stages are performed in a single chamber with a continuous plasma.
  • the plasma may be extinguished between stages, with gas flows and other parameters being adjusted in preparation for the next phase, and a plasma being reformed.
  • Such embodiments may also be performed as in situ processes in a single chamber (or in different chambers of a multichamber system), or may be performed as ex situ processes in different chambers. In some instances, in situ processes are preferred for throughput and performance reasons.
  • FIGS. 7A-7C each provide flow diagrams that illustrate how the processes may be combined in different ways.
  • FIG. 7A illustrates explicitly that block 516 of FIG. 5 may comprise a first block 762 at which a first portion of the gap is filled with process conditions that provide a D/S ratio between 20 and 100 and a second block 764 at which a second portion of the gap is thereafter filled with process conditions that provide a D/S ratio less than 10.
  • the inclusion of such an initially high D/S ratio is useful in avoiding corner clipping in open areas for the same reasons that were described above.
  • FIG. 7B similarly illustrates explicitly that block 532 of FIG. 5 may comprise a first block 766 at which a first portion of the gap is filled with process conditions that provide a D/S ratio between 20 and 100 and a second block 768 at which a second portion of the gap is thereafter filled with process conditions that provide a D/S ratio less than 10.
  • the inclusion of such a high D/S ratio after the removal of material at block 524 of FIG. 5 may be useful under circumstances where the amount of material removed poses a risk of corner clipping in open areas because of the presence of insufficient material to protect the corners.
  • FIG. 7C illustrates a process in which the high D/S ratio is used initially, thereby providing protection against corner clipping in open areas, but uses the lower D/S ratio after the removal of material by exposure to a hydrogen plasma.
  • Such an embodiment is suitable in applications where the removal of material is not so aggressive as to present a risk of corner clipping, even in open areas, there being sufficient silicate glass material remaining even after the removal to protect the corners.
  • the substrate is transferred into the chamber at block 704 and flows of a silicon-containing gas, an oxygen-containing gas, and H 2 are provided at block 708 so that a high-density plasma may be formed in the chamber at block 712 .
  • the gap is partially filled at block 716 using process parameters that provide a D/S ratio between 20 and 100.
  • Flows of the precursor silicon-containing and oxygen-containing gases are terminated at block 720 so that part of the deposited film may be removed with a hydrogen plasma at block 724 .
  • the flows of the precursor silicon-containing and oxygen-containing gases are reinitiated at block 728 , and the process parameters are established so that the remainder of the gap is filled at block 732 with a D/S ratio less than 10.
  • the substrate is transferred out of the chamber at block 736 .
  • FIG. 8A schematically illustrates the structure of such an HDP-CVD system 810 in one embodiment.
  • the system 810 includes a chamber 813 , a vacuum system 870 , a source plasma system 880 A, a bias plasma system 880 B, a gas delivery system 833 , and a remote plasma cleaning system 850 .
  • the upper portion of chamber 813 includes a dome 814 , which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 814 defines an upper boundary of a plasma processing region 816 . Plasma processing region 816 is bounded on the bottom by the upper surface of a substrate 817 and a substrate support member 818 .
  • a heater plate 823 and a cold plate 824 surmount, and are thermally coupled to, dome 814 .
  • Heater plate 823 and cold plate 824 allow control of the dome temperature to within about ⁇ 10° C. over a range of about 100° C. to 200° C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • the lower portion of chamber 813 includes a body member 822 , which joins the chamber to the vacuum system.
  • a base portion 821 of substrate support member 818 is mounted on, and forms a continuous inner surface with, body member 822 .
  • Substrates are transferred into and out of chamber 813 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 813 .
  • Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 857 to a lower processing position 856 in which the substrate is placed on a substrate receiving portion 819 of substrate support member 818 .
  • Substrate receiving portion 819 includes an electrostatic chuck 820 that secures the substrate to substrate support member 818 during substrate processing.
  • substrate support member 818 is made from an aluminum oxide or aluminum ceramic material.
  • Vacuum system 870 includes throttle body 825 , which houses twin-blade throttle valve 826 and is attached to gate valve 827 and turbo-molecular pump 828 .
  • throttle body 825 offers minimum obstruction to gas flow, and allows symmetric pumping.
  • Gate valve 827 can isolate pump 828 from throttle body 825 , and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 826 is fully open.
  • the arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures up to about 1 millitorr to about 2 torr.
  • the source plasma system 880 A includes a top coil 829 and side coil 830 , mounted on dome 814 .
  • a symmetrical ground shield (not shown) reduces electrical coupling between the coils.
  • Top coil 829 is powered by top source RF (SRF) generator 831 A
  • side coil 830 is powered by side SRF generator 831 B, allowing independent power levels and frequencies of operation for each coil.
  • SRF source RF
  • This dual coil system allows control of the radial ion density in chamber 813 , thereby improving plasma uniformity.
  • Side coil 830 and top coil 829 are typically inductively driven, which does not require a complimentary electrode.
  • the top source RF generator 831 A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 831 B provides up to 5,000 watts of RF power at nominally 2 MHz.
  • the operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • a bias plasma system 880 B includes a bias RF (“BRF”) generator 831 C and a bias matching network 832 C.
  • the bias plasma system 880 B capacitively couples substrate portion 817 to body member 822 , which act as complimentary electrodes.
  • the bias plasma system 880 B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 880 A to the surface of the substrate.
  • bias RF generator provides up to 10,000 watts of RF power at a frequency less than 5 MHz, as discussed further below.
  • RF generators 831 A and 831 B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art.
  • RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator.
  • the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • Matching networks 832 A and 832 B match the output impedance of generators 831 A and 831 B with their respective coils 829 and 830 .
  • the RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes.
  • the RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit.
  • One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
  • the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer.
  • a gas delivery system 833 provides gases from several sources, 834 A- 834 E chamber for processing the substrate via gas delivery lines 838 (only some of which are shown).
  • gas delivery lines 838 only some of which are shown.
  • the actual sources used for sources 834 A- 834 E and the actual connection of delivery lines 838 to chamber 813 varies depending on the deposition and cleaning processes executed within chamber 813 .
  • Gases are introduced into chamber 813 through a gas ring 837 and/or a top nozzle 845 .
  • FIG. 8B is a simplified, partial cross-sectional view of chamber 813 showing additional details of gas ring 837 .
  • first and second gas sources, 834 A and 834 B, and first and second gas flow controllers, 835 A′ and 835 B′ provide gas to ring plenum 836 in gas ring 837 via gas delivery lines 838 (only some of which are shown).
  • Gas ring 837 has a plurality of source gas nozzles 839 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber.
  • gas ring 837 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • Gas ring 837 also has a plurality of oxidizer gas nozzles 840 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 839 , and in one embodiment receive gas from body plenum 841 . In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 813 . In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 813 by providing apertures (not shown) between body plenum 841 and gas ring plenum 836 .
  • third, fourth, and fifth gas sources, 834 C, 834 D, and 834 D′, and third and fourth gas flow controllers, 835 C and 835 D′ provide gas to body plenum via gas delivery lines 838 .
  • Additional valves, such as 843 B (other valves not shown), may shut off gas from the flow controllers to the chamber.
  • source 834 A comprises a silane SiH 4 source
  • source 834 B comprises a molecular oxygen O 2 source
  • source 834 C comprises a silane SiH 4 source
  • source 834 D comprises a helium He source
  • source 834 D′ comprises a molecular hydrogen H 2 source.
  • valve 843 B to isolate chamber 813 from delivery line 838 A and to vent delivery line 838 A to vacuum foreline 844 , for example.
  • valve 843 B may be incorporated on other gas delivery lines.
  • Such three-way valves may be placed as close to chamber 813 as practical, to minimize the volume of the unvented gas delivery line (between the three-way valve and the chamber).
  • two-way (on-off) valves may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • MFC mass flow controller
  • chamber 813 also has top nozzle 845 and top vent 846 .
  • Top nozzle 845 and top vent 846 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters.
  • Top vent 846 is an annular opening around top nozzle 845 .
  • first gas source 834 A supplies source gas nozzles 839 and top nozzle 845 .
  • Source nozzle MFC 835 A′ controls the amount of gas delivered to source gas nozzles 839 and top nozzle MFC 835 A controls the amount of gas delivered to top gas nozzle 845 .
  • two MFCs 835 B and 835 B′ may be used to control the flow of oxygen to both top vent 846 and oxidizer gas nozzles 840 from a single source of oxygen, such as source 834 B.
  • oxygen is not supplied to the chamber from any side nozzles.
  • the gases supplied to top nozzle 845 and top vent 846 may be kept separate prior to flowing the gases into chamber 813 , or the gases may be mixed in top plenum 848 before they flow into chamber 813 . Separate sources of the same gas may be used to supply various portions of the chamber.
  • a remote microwave-generated plasma cleaning system 850 is provided to periodically clean deposition residues from chamber components.
  • the cleaning system includes a remote microwave generator 851 that creates a plasma from a cleaning gas source 834 E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 853 .
  • the reactive species resulting from this plasma are conveyed to chamber 813 through cleaning gas feed port 854 via applicator tube 855 .
  • the materials used to contain the cleaning plasma e.g., cavity 853 and applicator tube 855 ) must be resistant to attack by the plasma.
  • the distance between reactor cavity 853 and feed port 854 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 853 .
  • Generating the cleaning plasma in a remote cavity allows the use of an efficient microwave generator and does not subject chamber components to the temperature, radiation, or bombardment of the glow discharge that may be present in a plasma formed in situ. Consequently, relatively sensitive components, such as electrostatic chuck 820 , do not need to be covered with a dummy wafer or otherwise protected, as may be required with an in situ plasma cleaning process.
  • the plasma-cleaning system 850 is shown disposed above the chamber 813 , although other positions may alternatively be used.
  • a baffle 861 may be provided proximate the top nozzle to direct flows of source gases supplied through the top nozzle into the chamber and to direct flows of remotely generated plasma.
  • Source gases provided through top nozzle 845 are directed through a central passage 862 into the chamber, while remotely generated plasma species provided through the cleaning gas feed port 854 are directed to the sides of the chamber 813 by the baffle 861 .

Abstract

Methods are provided of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber. The substrate has a gap formed between adjacent raised surfaces. A silicon-containing gas, an oxygen-containing gas, and a fluent gas are flowed into the substrate processing chamber. The fluent gas has an average molecular weight less than 5 amu. A first high-density plasma is formed from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a first deposition/sputter ratio. A second high-density plasma is formed from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a second deposition/sputter ratio. The second deposition/sputter ratio is less than the first deposition/sputter ratio. Each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.

Description

    BACKGROUND OF THE INVENTION
  • One of the persistent challenges faced in the development of semiconductor technology is the desire to increase the density of circuit elements and interconnections on substrates without introducing spurious interactions between them. Unwanted interactions are typically prevented by providing gaps or trenches that are filled with electrically insulative material to isolate the elements both physically and electrically. As circuit densities increase, however, the widths of these gaps decrease, increasing their aspect ratios and making it progressively more difficult to fill the gaps without leaving voids. The formation of voids when the gap is not filled completely is undesirable because they may adversely affect operation of the completed device, such as by trapping impurities within the insulative material.
  • Common techniques that are used in such gapfill applications are chemical-vapor deposition (“CVD”) techniques. Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film. Plasma-enhanced CVD (“PECVD”) techniques promote excitation and/or dissociation of the reactant gases by the application of radio-frequency (“RF”) energy to a reaction zone near the substrate surface, thereby creating a plasma. The high reactivity of the species in the plasma reduces the energy required for a chemical reaction to take place, and thus lowers the temperature required for such CVD processes when compared with conventional thermal CVD processes. These advantages may be further exploited by high-density-plasma (“HDP”) CVD techniques, in which a dense plasma is formed at low vacuum pressures so that the plasma species are even more reactive. While each of these techniques falls broadly under the umbrella of “CVD techniques,” each of them has characteristic properties that make them more or less suitable for certain specific applications.
  • HDP-CVD systems form a plasma that is at least approximately two orders of magnitude greater than the density of a standard, capacitively coupled plasma CVD system. Examples of HDP-CVD systems include inductively coupled plasma systems and electron cyclotron resonance (ECR) plasma systems, among others. HDP-CVD systems generally operate at lower pressure ranges than low-density plasma systems. The low chamber pressure employed in HDP-CVD systems provides active species having a long mean-free-path and reduced angular distribution. These factors, in combination with the plasma density, contribute to a significant number of constituents from the plasma reaching even the deepest portions of closely spaced gaps, providing a film with improved gapfill capabilities compared with films deposited in a low-density plasma CVD system.
  • Another factor that allows films deposited by HDP-CVD techniques to have improved gapfill characteristics is the promotion of sputtering by the high density of the plasma, simultaneous with film deposition. The sputtering component of HDP deposition processes slows deposition on certain features, such as the corners of raised surfaces, thereby contributing to the increased gapfill ability of HDP deposited films. Some HDP-CVD systems introduce argon or a similar heavy inert gas to further promote the sputtering effect. These HDP-CVD systems typically employ an electrode within the substrate support pedestal that enables the creation of an electric field to bias the plasma towards the substrate. The electric field can be applied throughout the HDP deposition process for further promotion of sputtering and to provide better gapfill characteristics for a given film.
  • It was initially thought that because of their simultaneous deposition/sputter nature, HDP-CVD processes could fill the gaps or trenches that were created in almost any application. Semiconductor manufacturers have discovered, however, that there is a practical limit to the aspect ratio of gaps that HDP-CVD processes are able to fill. For example, one HDP-CVD process commonly used to deposit a silicon oxide gapfill film forms a plasma from a process gas that includes silane SiH4, molecular oxygen O2, and argon Ar. It has been reported that when such a process is used to fill certain narrow-width high-aspect-ratio gaps, the sputtering caused by argon in the process gas may hamper the gapfill efforts. Specifically, it has been reported that material sputtered by argon in the process redeposits on the upper portions of the sidewalls of the gaps being filled at a rate faster than at the lower portions. This, in turn, may result in the formation of a void in the gap if the upper areas of regrowth join before the gap is completely filled.
  • FIG. 1 provides schematic cross-sectional views of a silicon oxide film at different stages of deposition to illustrate the potential gapfill limitation associated with some CVD processes. The gapfill problem is illustrated in somewhat exaggerated form to illustrate the problem better. The top portion of FIG. 1 shows the initial structure 104 in which a gap 120 is defined by two adjacent features 124 and 128 having horizontal surfaces 122, with the horizontal surface at the bottom of the gap being denoted 132. As shown in structure 108, i.e. the second portion of the figure from the top, a conventional HDP-CVD silicon oxide deposition process results in direct deposition on the horizontal surface 132 at the bottom of the gap 120 and on the horizontal surfaces 122 above the features 124 and 128. It also, however, results in indirect deposition (referred to as “redeposition”) on the sidewalls 140 of the gap 120 due to recombination of material sputtered from the silicon oxide film as it grows. In certain small-width, high-aspect-ratio applications, the continued growth of the silicon oxide film results in formations 136 on the upper section of the sidewall 140 that grow towards each other at a rate of growth exceeding the rate at which the film grows laterally on the lower portions of the sidewall. This trend is shown in structures 108 and 112, with the final result in structure 116 being the formation of a void 144 within the film. The probability of forming a void is very directly related to the rate and character of the redeposition.
  • There accordingly remains a general need in the art for improving gapfill techniques.
  • BRIEF SUMMARY OF THE INVENTION
  • Embodiments of the invention provide methods of depositing a silicon oxide film that improve gapfill capabilities. Some embodiments that are especially suitable for substrates that have both dense and open areas and fill part of the gaps with an initially higher deposition contribution from an HDP-CVD process, followed by a lower deposition contribution to fill a further portion of the gaps. Other embodiments make use of an unexpected chemical effect with a hydrogen-based plasma to remove material intermediate between deposition phases to reopen the gap before formation of a void. In some instances, these embodiments overlap with certain processes making use of both aspects.
  • Thus, in a first set of embodiments, a method is provided of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber. The substrate has a gap formed between adjacent raised surfaces. A silicon-containing gas, an oxygen-containing gas, and a fluent gas are flowed into the substrate processing chamber. The fluent gas has an average molecular weight less than 5 amu. A first high-density plasma is formed from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a first deposition/sputter ratio. A second high-density plasma is formed from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a second deposition/sputter ratio. The second deposition/sputter ratio is less than the first deposition/sputter ratio. Each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
  • In some embodiments, the first deposition/sputter ratio is between 20 and 100. Also in some embodiments, the second deposition/sputter ratio is less than 10. The second high-density plasma may be formed by changing process conditions without extinguishing the first high-density plasma. The fluent gas may comprise molecular hydrogen H2 and may be flowed into the substrate processing chamber with a flow rate greater than 500 sccm. In another embodiment, the fluent gas comprises helium He. The silicon-containing gas may comprise monosilane SiH4 and the oxygen-containing gas may comprise molecular oxygen O2. In one embodiment, the first portion of the silicon oxide film reduces a depth of the gap by less than 50%. In some instances, the gap may comprise a plurality of gaps formed between adjacent raised surfaces, with a first of the gaps having a width at least five times a width of a second of the gaps.
  • In a second set of embodiments, a method is also provided for depositing a silicon oxide film on a substrate disposed in a substrate processing chamber. The substrate has a gap formed between adjacent raised surfaces. A flow of a first gaseous mixture is provided to the substrate processing chamber. The first gaseous mixture comprises a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas. A first high-density plasma is formed from the first gaseous mixture to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components. The first portion of the silicon oxide film is exposed to a second high-density plasma formed with a flow of gases having an average molecular weight less than 5 amu and including a flow of molecular hydrogen H2. Thereafter, a flow of a second gaseous mixture is provided to the substrate processing chamber. The flow of the second gaseous mixture comprises a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas. A third high-density plasma is formed from the second gaseous mixture to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components.
  • In some such embodiments, the second high-density plasma is formed with a flow that consists essentially of molecular hydrogen H2. The first portion may be exposed to the second high-density plasma by terminating the flow of the silicon-containing gas and the flow of the oxygen-containing gas comprised by the flow of the first gaseous mixture. In addition, the flow of the second gaseous mixture may be provided by reinitiating the terminated flow of the silicon-containing gas and the terminated flow of the oxygen-containing gas. The flow rate of molecular hydrogen H2 may be provided at a rate greater than 500 sccm in some embodiments, and may be provided at a rate greater than 1000 sccm in other embodiments.
  • The process may be cycled repeatedly. For example, in one embodiment, the second portion of the silicon oxide film is exposed to a fourth high-density plasma formed with a flow of gases having an average molecular weight less than 5 amu and including a flow of molecular hydrogen. Thereafter, a flow of a third gaseous mixture is provided to the substrate processing chamber. The flow of the third gaseous mixture comprises a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas. A fifth high-density plasma is formed from the third gaseous mixture to deposit a third portion of the silicon oxide film over the substrate and within the gap with a third deposition process that has simultaneous deposition and sputtering components.
  • In addition, relative deposition and sputtering contributions may be varied during different depositions. For example the first deposition process may comprise relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100, and the second deposition process may comprise deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10. In other instance, the first deposition process may comprise a first part having relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100, followed by a second part having relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10. Similarly, the second deposition process may comprise a first part having relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100, followed by a second part having relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10.
  • A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 provides schematic cross-sectional drawings illustrating the formation of a void during a prior-art gapfill process;
  • FIG. 2 is a simplified cross-sectional view of a partially completed integrated circuit that includes a plurality of shallow-trench-isolation structures;
  • FIGS. 3A and 3B are schematic diagrams that respectively illustrated gapfill characteristics of densely packed areas and open areas in a structure;
  • FIG. 4 is a flow diagram illustrating a method for depositing a film in one embodiment of the invention;
  • FIG. 5 is a flow diagram illustrating a method for depositing a film in another embodiment of the invention;
  • FIG. 6 provides schematic diagrams illustrating how material is deposited in a gap using the method of FIG. 5;
  • FIGS. 7A-7C are flow diagrams that illustrate alternative methods for depositing a film in other embodiments of the invention;
  • FIG. 8A is a simplified diagram of one embodiment of a high-density-plasma chemical-vapor-deposition system with which methods of the invention may be implemented; and
  • FIG. 8B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary processing system of FIG. 8A.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments of the invention are directed to methods of depositing a silicon oxide layer to fill a gap in a surface of a substrate using a high-density-plasma CVD process. Silicon oxide films deposited according to the techniques of the invention have excellent gapfill capabilities and are able to fill gaps encountered in, for example, shallow-trench-isolation (“STI”) structures. Films deposited by the methods of the invention are thus suitable for use in the fabrication of a variety of integrated circuits.
  • The types of structures that may be filled according to embodiments of the invention are illustrated by FIG. 2, which provides a simplified cross-sectional view of a partially completed integrated circuit 200. This integrated circuit is formed over a substrate 204 that includes a plurality of STI structures, each of which is typically created by forming a thin pad oxide layer 220 over the surface of the substrate 204 and then forming a silicon nitride layer 216 over the pad oxide layer 220. The nitride and oxide layers are then patterned using standard photolithography techniques and trenches 224 are etched through the nitride/oxide stack into the substrate 204. FIG. 2 shows that the integrated circuit may comprise areas 208 that are relatively densely packed with transistors or other active devices, and may comprise open areas 212 that are relatively isolated. Active devices in the open areas 212 may be separated from each other by more than an order of magnitude than separations in the densely packed areas 208, but as used herein “open areas” are considered to be areas in which gaps have a width at least five times a width of a gap in a “dense area.”
  • Embodiments of the invention provide methods for filling the trenches 224 with an electrically insulating material such as silicon dioxide using a deposition process that has good gapfill properties. Different embodiments of the invention are more suitable for filling gaps in the open areas, while other embodiments of the invention are especially suitable for filling the very high aspect-ratio gaps in the dense areas. In some instances, the gapfill characteristics provided by the methods described below have good gapfill capabilities in both the open and dense areas, making such techniques especially valuable for certain applications. In some instances, prior to the gapfill process, an initial lining layer is deposited over the substrate as an in situ steam generation (“ISSG”) or other thermal oxide layer, or perhaps a silicon nitride layer. One benefit to depositing such a liner prior to filling the trenches 224 is to provide appropriate corner rounding, which may aid in avoiding such effects as early gate breakdown in transistors that are formed. In addition, such a liner may aid in relieving stress after the CVD deposition.
  • As used herein, a high-density-plasma process is a plasma CVD process that includes simultaneous deposition and sputtering components and that employs a plasma having an ion density on the order of 1011 ions/cm3 or greater. The relative levels of the combined deposition and sputtering characteristics of the high-density plasma may depend on such factors as the flow rates used to provide the gaseous mixture, the source power levels applied to maintain the plasma, the bias power applied to the substrate, and the like. The combination of such factors may conveniently be quantified with a “deposition/sputter ratio,” sometimes denoted D/S to characterize the process: D S ( net deposition rate ) + ( blanket sputtering rate ) ( blanket sputtering rate ) .
    The deposition/sputter ratio increases with increased deposition and decreases with increased sputtering. As used in the definition of D/S, the “net deposition rate” refers to the deposition rate that is measured when deposition and sputtering are occurring simultaneously. The “blanket sputter rate” is the sputter rate measured when the process recipe is run without deposition gases; the pressure within the process chamber is adjusted to the pressure during deposition and the sputter rate measured on a blanket thermal oxide.
  • Other equivalent measures may be used to quantify the relative deposition and sputtering contributions of the HDP process, as is known to those of skill in the art. A common alternative ratio is the “etching/deposition ratio,” E D ( source - only deposition rate ) - ( net deposition rate ) ( source - deposition rate ) ,
    which increases with increased sputtering and decreases with increased deposition. As used in the definition of E/D, the “net deposition rate” again refers to the deposition rate measured when deposition and sputtering are occurring simultaneously. The “source-only deposition rate,” however, refers to the deposition rate that is measured when the process recipe is run with no sputtering. Embodiments of the invention are described herein in terms of D/S ratios. While D/S and E/D are not precise reciprocals, they are inversely related and conversion between them will be understood to those of skill in the art.
  • The desired D/S ratios for a given step in the HDP-CVD processes are generally achieved by including flows of precursor gases and, in some instances, flows of a fluent gas, which may also act as a sputtering agent. The elements comprised by the precursor gases react to form the film with the desired composition. For example, to deposit a silicon oxide film, the precursor gases may include a silicon-containing gas, such as silane SiH4, and an oxidizing gas reactant such as molecular oxygen O2. Dopants may be added to the film by including a precursor gas with the desired dopant, such as by including a flow of SiF4 to fluorinate the film, including a flow of PH3 to phosphorate the film, including a flow of B2H6 to boronate the film, including a flow of N2 to nitrogenate the film, and the like. The fluent gas may be provided with a flow of H2 or with a flow of an inert gas, including a flow of He, or even a flow a heavier inert gas, such as Ne, Ar, or Xe. The level of sputtering provided by the different fluent gases is inversely related to their atomic mass (or molecular mass in the case of H2), with H2 producing even less sputtering than He. Embodiments of the invention generally provide fluent-gas flows that have an average molecular mass less than 5 amu. This may be achieved by using flows of a single low-mass gas, such as with a flow of substantially pure H2 or with a flow of substantially pure He. Alternatively, flows may sometimes be provided of multiple gases, such as by providing both a flow of H2 and a flow of He, which mix in the HDP-CVD process chamber. Alternatively, the gas may sometimes be premixed so that a flow of H2/He is provided in a mixed state to the process chamber. It is also possible to provide separate flows of higher-mass gases, or to include higher-mass gases in the premixture, with the relative flow rates and/or concentrations of the premixture being selected to maintain an average molecular mass less than 5 amu.
  • In high-aspect-ratio structures, the use of relatively high flow rates of low-mass fluent gases has been found generally to improve gapfill capability when compared with the more traditional use of fluent gases such as Ar. This is believed to be a consequence of the reduction in redeposition that is achieved by using He or H2 as a fluent gas so that closure of the gap occurs less quickly. The inventors have discovered, however, that the use of such a low-mass fluent gas results in increased corner clipping in open regions. This effect may be understood with reference to FIGS. 3A and 3B, which show the effect of the sputtering component of an HDP process respectively for a gap in a densely packed area and for a gap in an open area.
  • In particular, the gap 304 in FIG. 3A is a high-aspect-ratio gap, with the material deposited using an HDP-CVD process forming a characteristic cusp structure 308 over the horizontal surfaces. Redeposition occurs as material 312 is sputtered from the cusp 308 in response to the impact of plasma ions along path 316. The sputtered material 312 follows a path 320 that encounters the sidewall 324 on the opposite side of the gap 304. This effect is symmetrical so that as material is sputtered away from the left side of the gap onto the right side, material is also sputtered away from the right side of the gap onto the left side. The redeposition of material protects against excess sputtering resulting in clipping of the corners.
  • This symmetry is not present in the open areas, as illustrated with the open-area structure 330 shown in FIG. 3B. in this instance, the deposition causes the formation of a similar cusp 308′, but when material 312′ is sputtered along path 320′ in response to the impact of plasma ions along path 316′, the opposite side of the gap is too far away for the redeposition to be protective. The corner of the structure in FIG. 3B suffers the same ejection of material as does the corner of the structure in FIG. 3A, without the compensating effect of receiving material sputtered from the opposite side of the gap. As a consequence, there is an increased risk of clipping the corner and damaging the underlying structure.
  • In an embodiment of the invention, such corner clipping is avoided in open areas by using a process that has an initially high D/S ratio so that the initial part of the process is dominated by a greater deposition component and a reduced sputtering component. Subsequently, after some material has been deposited to protect the underlying structure, the D/S ratio is decreased so that the increased sputtering component keeps the gap open as material is deposited to complete gapfill. This decrease in D/S ratio during the process is used to address a combination of effects resulting from the use of a low-mass fluent gas in combination with a gap structure that does not benefit as strongly from redeposition effects. Such a decrease in D/S ratio is generally counter to more traditional gapfill techniques that increase the D/S ratio to improve gapfill with an initially aggressive gap.
  • An exemplary process that uses this technique is illustrated with the flow diagram of FIG. 4. The process begins at block 404 by transferring a substrate into a process chamber. The substrate is typically a semiconductor wafer, such as a 200-mm or 300-mm-diameter silicon wafer. Flows of precursor gases are provided to the chamber at block 408, including a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a low-mass fluent gas. Table I provides exemplary flow rates for deposition of an undoped silicate glass (“USG”) film using flows of monosilane SiH4, molecular oxygen O2, and H2, although it should be understood that other precursor gases, including dopant sources, and other fluent gases that provide an average molecular mass less than 5 amu may be used as discussed above.
    TABLE I
    Exemplary Flow Rates for USG Deposition
    Flow Rates for 200-mm Flow Rates for 300-mm
    Wafer Process Wafer Process
    F(SiH4) 10-60 sccm F(SiH4) 10-60 sccm
    F(O2) 20-120 sccm F(O2) 20-120 sccm
    F(H2) 400-1000 sccm F(H2) 750-1600 sccm

    As the table indicates, the flow rates of the precursor gases may be similar for 200-mm and 300-mm-diameter wafers, but the flow rate of the fluent gas is generally higher.
  • A high-density plasma is formed from the gaseous flows at block 412 by coupling energy into the chamber. A common technique for generating a high-density plasma is to couple rf energy inductively. The D/S ratio is determined not only by the flow rates for the gases, but also by the power density of energy coupled into the chamber, by the strength of a bias that may be applied to the substrate, by the temperature within the chamber, by the pressure within the chamber, and other such factors. For deposition of an initial portion of the film, such processing parameters are selected to provide a D/S ratio within the range of 20-100, as indicated at block 416. Deposition is permitted to proceed with such a D/S ratio to fill the gap partially, as indicated at block 420, with the process conditions being changed to provide a lower D/S ratio at block 424. In some embodiments, the gap is filled at block 420 so that its depth is reduced by less than 50% from its initial depth. For instance, if the gap had an initial depth of 5.4 μm, as might occur for a gap having an aspect ratio of 6:1 and a width of about 0.90 μm, the filling at block 420 might reduce the depth of the gap to about 3.3 μm, a reduction in the height of about 40%.
  • Suitable values for the D/S ratio to fill the remainder of the gap at block 428 are values less than 10, as indicated at block 424. These values are again determined by the processing parameters and provide an increased sputtering component to keep the gap open during deposition of the remainder of the film. After the gap has been filled, the plasma is extinguished at block 432 and the substrate is transferred out of the process chamber at block 436.
  • The process has thus been described as an in situ process taking place in a single chamber with a continuous plasma, but these are not requirements of the invention. In alternative embodiments, the plasma may be extinguished and reinitiated for different parts of the process and the different parts of the process may be performed in different chambers.
  • Another embodiment of the invention is illustrated with the flow diagram of FIG. 5 and makes use of an unexpected discovery by the inventors that a hydrogen plasma may be used to remove deposited silicon oxide. The removal proceeds chemically according to the reaction SiO2+2H2→SiH4+O2 and provides a relatively slow removal rate of about 50-100 Å/min, even with a H2 flow rate to the chamber on the order of 1000 sccm. This slow removal rate provides an improved degree of precision over the removal process that is not available with alternative removal processes, such as chemical etching processes based on halogen chemistry or mechanical processes that provide processing conditions with a low D/S ratio to sputter material aggressively. The use of halogen-based etch chemistries, such as result from plasmas formed from flows of NF3, may cause undesirable stress on the chamber ceramics because of the formation of aluminum halogen byproducts such as AlF3.
  • In describing these embodiments, reference is made simultaneously to the flow diagram of FIG. 5 and to FIG. 6, which provides simplified cross-sectional views of a structure at different points during the process described in connection with FIG. 5. The structure is shown as a substrate having trenches etched therein as part of an STI structure, but the principles described herein may be applied more generally to any structure that defines gaps to be filled, including IMD and PMD applications, among others. As shown in FIG. 5, the process starts by positioning a substrate in a process chamber at block 504. The substrate has an initial structure 604 shown schematically in FIG. 6 with features 620 that form one or more gaps to be filled. The features 620 may be, for example, areas of a substrate between etched trenches, adjacent metal lines, transistor gates, or other features. In some instances, the structure 604 may additionally include silicon nitride portions above the raised features and/or a silicon nitride liner along the interior of the gaps. The presence of such a line may increase the aspect ratio of the gap even further.
  • Once the substrate is properly positioned, flows of a silicon-containing gas like SiH4, an oxygen-containing gas like O2, and molecular hydrogen H2 are provided to the chamber at block 508. A high-density plasma is formed from the gas flows by inductively coupling rf energy into the chamber at block 512, permitting the gap to be partially filled at block 516. As explained above, the deposition at block 516 results in the formation of a cusp 636, as shown for intermediate structure 608, with redeposition causing silicate glass to be deposited more thickly near the corners of the underlying structures than on the sidewalls.
  • To remove some of the deposited silicate glass and reshape the gap for further deposition, the flows of the precursor silicon-containing and oxygen-containing gases, as well as flows of any dopant gases that may have been supplied, are terminated at block 520. The removal of material results from the chemical interaction of the remaining hydrogen plasma to produce a further intermediate structure 612 that has a reduced cusp height 640 and a reshaped profile. While the principal removal mechanism results from the chemical interaction described above, it may be enhanced in certain embodiments. For instance, a bias may be applied to the substrate to attract the plasma ions and thereby introduce an anisotropy in the removal. Other anisotropies may be introduced by using different flows of the H2 gas into the chamber to provide different flow characteristics throughout the chamber, allowing the removal to be performed selectively across the substrate as a whole. In addition, the plasma may comprise species other than hydrogen to increase the mechanical sputtering effect, although some embodiments of the invention generally remain limited to instances in which the average molecular weight of the sources is less than 5 amu.
  • Removal of material results in structure 612 by shaping the deposited film 640 so that the basic shape of the original features is retained, but with a less severe aspect ratio. After material has been removed to reopen the gap, flows of the precursor gases are reinitiated at block 528 so that the remainder of the gap may be filled at block 532 to produce structure 616 with film 644 providing substantially void-free gapfill. In many instances, the gap may be filled with two such deposition stages and a single intermediate removal stage, although more aggressive gaps may be filled with a greater number of interleaved deposition and removal stages. After the gap has been filled, the substrate is transferred out of the chamber at block 536.
  • Again, the process has been described as an in situ process in which the deposition and removal stages are performed in a single chamber with a continuous plasma. In other embodiments, the plasma may be extinguished between stages, with gas flows and other parameters being adjusted in preparation for the next phase, and a plasma being reformed. Such embodiments may also be performed as in situ processes in a single chamber (or in different chambers of a multichamber system), or may be performed as ex situ processes in different chambers. In some instances, in situ processes are preferred for throughput and performance reasons.
  • In other embodiments, the processes described in connection with FIG. 4 regarding the use of a decreasing D/S ratio and the processes described in connection with FIG. 5 regarding the chemical removal of silicate-glass material with a hydrogen-based plasma may be combined. FIGS. 7A-7C each provide flow diagrams that illustrate how the processes may be combined in different ways.
  • First, the change in D/S ratio used in FIG. 4 may be integrated with the process of FIG. 5 by having either or both of the depositions 516 and/or 532 use change in D/S ratio. Thus, FIG. 7A illustrates explicitly that block 516 of FIG. 5 may comprise a first block 762 at which a first portion of the gap is filled with process conditions that provide a D/S ratio between 20 and 100 and a second block 764 at which a second portion of the gap is thereafter filled with process conditions that provide a D/S ratio less than 10. The inclusion of such an initially high D/S ratio is useful in avoiding corner clipping in open areas for the same reasons that were described above.
  • FIG. 7B similarly illustrates explicitly that block 532 of FIG. 5 may comprise a first block 766 at which a first portion of the gap is filled with process conditions that provide a D/S ratio between 20 and 100 and a second block 768 at which a second portion of the gap is thereafter filled with process conditions that provide a D/S ratio less than 10. The inclusion of such a high D/S ratio after the removal of material at block 524 of FIG. 5 may be useful under circumstances where the amount of material removed poses a risk of corner clipping in open areas because of the presence of insufficient material to protect the corners.
  • FIG. 7C illustrates a process in which the high D/S ratio is used initially, thereby providing protection against corner clipping in open areas, but uses the lower D/S ratio after the removal of material by exposure to a hydrogen plasma. Such an embodiment is suitable in applications where the removal of material is not so aggressive as to present a risk of corner clipping, even in open areas, there being sufficient silicate glass material remaining even after the removal to protect the corners. To perform such a process as an in situ process with a continuous plasma, the substrate is transferred into the chamber at block 704 and flows of a silicon-containing gas, an oxygen-containing gas, and H2 are provided at block 708 so that a high-density plasma may be formed in the chamber at block 712. The gap is partially filled at block 716 using process parameters that provide a D/S ratio between 20 and 100. Flows of the precursor silicon-containing and oxygen-containing gases are terminated at block 720 so that part of the deposited film may be removed with a hydrogen plasma at block 724. The flows of the precursor silicon-containing and oxygen-containing gases are reinitiated at block 728, and the process parameters are established so that the remainder of the gap is filled at block 732 with a D/S ratio less than 10. After completing the gap fill process, the substrate is transferred out of the chamber at block 736.
  • Exemplary Substrate Processing System
  • The inventors have implemented embodiments of the invention with the ULTIMA™ system manufactured by APPLIED MATERIALS, INC., of Santa Clara, Calif., a general description of which is provided in commonly assigned U.S. Pat. No. 6,170,428, “SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR,” filed Jul. 15, 1996 by Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong and Ashok Sinha, the entire disclosure of which is incorporated herein by reference. An overview of the system is provided in connection with FIGS. 8A and 8B below. FIG. 8A schematically illustrates the structure of such an HDP-CVD system 810 in one embodiment. The system 810 includes a chamber 813, a vacuum system 870, a source plasma system 880A, a bias plasma system 880B, a gas delivery system 833, and a remote plasma cleaning system 850.
  • The upper portion of chamber 813 includes a dome 814, which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 814 defines an upper boundary of a plasma processing region 816. Plasma processing region 816 is bounded on the bottom by the upper surface of a substrate 817 and a substrate support member 818.
  • A heater plate 823 and a cold plate 824 surmount, and are thermally coupled to, dome 814. Heater plate 823 and cold plate 824 allow control of the dome temperature to within about ±10° C. over a range of about 100° C. to 200° C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • The lower portion of chamber 813 includes a body member 822, which joins the chamber to the vacuum system. A base portion 821 of substrate support member 818 is mounted on, and forms a continuous inner surface with, body member 822. Substrates are transferred into and out of chamber 813 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 813. Lift pins (not shown) are raised and then lowered under the control of a motor (also not shown) to move the substrate from the robot blade at an upper loading position 857 to a lower processing position 856 in which the substrate is placed on a substrate receiving portion 819 of substrate support member 818. Substrate receiving portion 819 includes an electrostatic chuck 820 that secures the substrate to substrate support member 818 during substrate processing. In a preferred embodiment, substrate support member 818 is made from an aluminum oxide or aluminum ceramic material.
  • Vacuum system 870 includes throttle body 825, which houses twin-blade throttle valve 826 and is attached to gate valve 827 and turbo-molecular pump 828. It should be noted that throttle body 825 offers minimum obstruction to gas flow, and allows symmetric pumping. Gate valve 827 can isolate pump 828 from throttle body 825, and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 826 is fully open. The arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures up to about 1 millitorr to about 2 torr.
  • The source plasma system 880A includes a top coil 829 and side coil 830, mounted on dome 814. A symmetrical ground shield (not shown) reduces electrical coupling between the coils. Top coil 829 is powered by top source RF (SRF) generator 831A, whereas side coil 830 is powered by side SRF generator 831B, allowing independent power levels and frequencies of operation for each coil. This dual coil system allows control of the radial ion density in chamber 813, thereby improving plasma uniformity. Side coil 830 and top coil 829 are typically inductively driven, which does not require a complimentary electrode. In a specific embodiment, the top source RF generator 831A provides up to 2,500 watts of RF power at nominally 2 MHz and the side source RF generator 831B provides up to 5,000 watts of RF power at nominally 2 MHz. The operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • A bias plasma system 880B includes a bias RF (“BRF”) generator 831C and a bias matching network 832C. The bias plasma system 880B capacitively couples substrate portion 817 to body member 822, which act as complimentary electrodes. The bias plasma system 880B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 880A to the surface of the substrate. In a specific embodiment, bias RF generator provides up to 10,000 watts of RF power at a frequency less than 5 MHz, as discussed further below.
  • RF generators 831A and 831B include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art. RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator. Because the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • Matching networks 832A and 832B match the output impedance of generators 831A and 831B with their respective coils 829 and 830. The RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes. The RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit. One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network, is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
  • Other measures may also help stabilize a plasma. For example, the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer.
  • A gas delivery system 833 provides gases from several sources, 834A-834E chamber for processing the substrate via gas delivery lines 838 (only some of which are shown). As would be understood by a person of skill in the art, the actual sources used for sources 834A-834E and the actual connection of delivery lines 838 to chamber 813 varies depending on the deposition and cleaning processes executed within chamber 813. Gases are introduced into chamber 813 through a gas ring 837 and/or a top nozzle 845. FIG. 8B is a simplified, partial cross-sectional view of chamber 813 showing additional details of gas ring 837.
  • In one embodiment, first and second gas sources, 834A and 834B, and first and second gas flow controllers, 835A′ and 835B′, provide gas to ring plenum 836 in gas ring 837 via gas delivery lines 838 (only some of which are shown). Gas ring 837 has a plurality of source gas nozzles 839 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber. In a preferred embodiment, gas ring 837 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • Gas ring 837 also has a plurality of oxidizer gas nozzles 840 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 839, and in one embodiment receive gas from body plenum 841. In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 813. In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 813 by providing apertures (not shown) between body plenum 841 and gas ring plenum 836. In one embodiment, third, fourth, and fifth gas sources, 834C, 834D, and 834D′, and third and fourth gas flow controllers, 835C and 835D′, provide gas to body plenum via gas delivery lines 838. Additional valves, such as 843B (other valves not shown), may shut off gas from the flow controllers to the chamber. In implementing certain embodiments of the invention, source 834A comprises a silane SiH4 source, source 834B comprises a molecular oxygen O2 source, source 834C comprises a silane SiH4 source, source 834D comprises a helium He source, and source 834D′ comprises a molecular hydrogen H2 source.
  • In embodiments where flammable, toxic, or corrosive gases are used, it may be desirable to eliminate gas remaining in the gas delivery lines after a deposition. This may be accomplished using a 3-way valve, such as valve 843B, to isolate chamber 813 from delivery line 838A and to vent delivery line 838A to vacuum foreline 844, for example. As shown in FIG. 8A, other similar valves, such as 843A and 843C, may be incorporated on other gas delivery lines. Such three-way valves may be placed as close to chamber 813 as practical, to minimize the volume of the unvented gas delivery line (between the three-way valve and the chamber). Additionally, two-way (on-off) valves (not shown) may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • Referring again to FIG. 8A, chamber 813 also has top nozzle 845 and top vent 846. Top nozzle 845 and top vent 846 allow independent control of top and side flows of the gases, which improves film uniformity and allows fine adjustment of the film's deposition and doping parameters. Top vent 846 is an annular opening around top nozzle 845. In one embodiment, first gas source 834A supplies source gas nozzles 839 and top nozzle 845. Source nozzle MFC 835A′ controls the amount of gas delivered to source gas nozzles 839 and top nozzle MFC 835A controls the amount of gas delivered to top gas nozzle 845. Similarly, two MFCs 835B and 835B′ may be used to control the flow of oxygen to both top vent 846 and oxidizer gas nozzles 840 from a single source of oxygen, such as source 834B. In some embodiments, oxygen is not supplied to the chamber from any side nozzles. The gases supplied to top nozzle 845 and top vent 846 may be kept separate prior to flowing the gases into chamber 813, or the gases may be mixed in top plenum 848 before they flow into chamber 813. Separate sources of the same gas may be used to supply various portions of the chamber.
  • A remote microwave-generated plasma cleaning system 850 is provided to periodically clean deposition residues from chamber components. The cleaning system includes a remote microwave generator 851 that creates a plasma from a cleaning gas source 834E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 853. The reactive species resulting from this plasma are conveyed to chamber 813 through cleaning gas feed port 854 via applicator tube 855. The materials used to contain the cleaning plasma (e.g., cavity 853 and applicator tube 855) must be resistant to attack by the plasma. The distance between reactor cavity 853 and feed port 854 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 853. Generating the cleaning plasma in a remote cavity allows the use of an efficient microwave generator and does not subject chamber components to the temperature, radiation, or bombardment of the glow discharge that may be present in a plasma formed in situ. Consequently, relatively sensitive components, such as electrostatic chuck 820, do not need to be covered with a dummy wafer or otherwise protected, as may be required with an in situ plasma cleaning process. In FIG. 8A, the plasma-cleaning system 850 is shown disposed above the chamber 813, although other positions may alternatively be used.
  • A baffle 861 may be provided proximate the top nozzle to direct flows of source gases supplied through the top nozzle into the chamber and to direct flows of remotely generated plasma. Source gases provided through top nozzle 845 are directed through a central passage 862 into the chamber, while remotely generated plasma species provided through the cleaning gas feed port 854 are directed to the sides of the chamber 813 by the baffle 861.
  • Those of ordinary skill in the art will realize that specific parameters can vary for different processing chambers and different processing conditions, without departing from the spirit of the invention. Other variations will also be apparent to persons of skill in the art. These equivalents and alternatives are intended to be included within the scope of the present invention. Therefore, the scope of this invention should not be limited to the embodiments described, but should instead be defined by the following claims.

Claims (24)

1. A method of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber, the substrate having a gap formed between adjacent raised surfaces, the method comprising:
flowing a silicon-containing gas into the substrate processing chamber;
flowing an oxygen-containing gas into the substrate processing chamber;
flowing a fluent gas having an average molecular weight less than 5 amu into the substrate processing chamber;
forming a first high-density plasma from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a first deposition/sputter ratio; and
forming a second high-density plasma from the silicon-containing gas, the oxygen-containing gas, and the fluent gas to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a second deposition/sputter ratio, wherein the second deposition/sputter ratio is less than the first deposition/sputter ratio,
wherein each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
2. The method recited in claim 1 wherein the first deposition/sputter ratio is between 20 and 100.
3. The method recited in claim 2 wherein the second deposition/sputter ratio is less than 10.
4. The method recited in claim 1 wherein forming the second high-density plasma comprising changing process conditions without extinguishing the first high-density plasma.
5. The method recited in claim 1 wherein the fluent gas comprises molecular hydrogen H2.
6. The method recited in claim 5 wherein the molecular hydrogen H2 is flowed into the substrate processing chamber with a flow rate greater than 500 sccm.
7. The method recited in claim 1 wherein the fluent gas comprises helium He.
8. The method recited in claim 1 wherein the silicon-containing gas comprises monosilane SiH4 and the oxygen-containing gas comprises molecular oxygen O2.
9. The method recited in claim 1 wherein the first portion of the silicon oxide film reduces a depth of the gap by less than 50%.
10. The method recited in claim 1 wherein the gap comprises a plurality of gaps formed between adjacent raised surfaces, a first of the gaps having a width at least five times a width of a second of the gaps.
11. A method of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber, the substrate having a plurality of gaps formed between adjacent raised surfaces, a first of the gaps having a width at least five times a width of a second of the gaps, the method comprising:
flowing monosilane SiH4 into the substrate processing chamber;
flowing molecular oxygen O2 into the substrate processing chamber;
flowing molecular hydrogen H2 into the substrate processing chamber at a flow rate greater than 500 sccm;
forming a first high-density plasma from the monosilane SiH4, the molecular oxygen O2, and the molecular hydrogen H2 to deposit a first portion of the silicon oxide film over the substrate and within each of the first and second gaps with a first deposition process that has simultaneous deposition and sputtering components having relative contributions defined by a first deposition/sputter ratio between 20 and 100; and
forming a second high-density plasma from the monosilane SiH4, the molecular oxygen O2, and the molecular hydrogen H2 to deposit a second portion of the silicon oxide film over the substrate and within each of the first and second gaps with a second deposition process that has simultaneous deposition and sputtering having relative contributions defined by a second deposition/sputter ratio less than 10,
wherein each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
12. A method of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber, the substrate having a gap formed between adjacent raised surfaces, the method comprising:
providing a flow of a first gaseous mixture to the substrate processing chamber, the flow of the first gaseous mixture comprising a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas;
forming a first high-density plasma from the first gaseous mixture to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components;
exposing the first portion of the silicon oxide film to a second high-density plasma formed with a flow of gases having an average molecular weight less than 5 amu and including a flow of molecular hydrogen H2;
thereafter, providing a flow of a second gaseous mixture to the substrate processing chamber, the flow of the second gaseous mixture comprising a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas; and
forming a third high-density plasma from the second gaseous mixture to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components.
13. The method recited in claim 12 wherein the second high-density plasma is formed with a flow that consists essentially of molecular hydrogen H2.
14. The method recited in claim 12 wherein exposing the first portion to the second high-density plasma comprises terminating the flow of the silicon-containing gas and the flow of the oxygen-containing gas comprised by the flow of the first gaseous mixture.
15. The method recited in claim 14 wherein providing the flow of the second gaseous mixture comprises reinitiating the terminated flow of the silicon-containing gas and the terminated flow of the oxygen-containing gas.
16. The method recited in claim 12 wherein the flow of molecular hydrogen H2 is provided at a rate greater than 500 sccm.
17. The method recited in claim 12 wherein the flow of molecular hydrogen H2 is provided at a rate greater than 1000 sccm.
18. The method recited in claim 12 further comprising:
exposing the second portion of the silicon oxide film to a fourth high-density plasma formed with a flow of gases having an average molecular weight less than 5 amu and including a flow of molecular hydrogen H2;
thereafter, providing a flow of a third gaseous mixture to the substrate processing chamber, the flow of the third gaseous mixture comprising a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas; and
forming a fifth high-density plasma from the third gaseous mixture to deposit a third portion of the silicon oxide film over the substrate and within the gap with a third deposition process that has simultaneous deposition and sputtering components.
19. The method recited in claim 12 wherein:
the first deposition process comprises relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100;
the second deposition process comprises relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10; and
each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
20. The method recited in claim 12 wherein the first deposition process comprises:
a first part having relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100; and
a second part having relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10,
wherein the second part temporally follows the first part and each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
21. The method recited in claim 12 wherein the second deposition process comprises:
a first part having relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100; and
a second part having relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10,
wherein the second part temporally follows the first part and each of the first and second deposition/sputter ratios is defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
22. A method of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber, the substrate having a gap formed between adjacent raised surfaces, the method comprising:
providing a flow of a first gaseous mixture to the substrate processing chamber, the flow of the first gaseous mixture comprising a flow of monosilane SiH4, a flow of molecular oxygen O2, and a flow of molecular hydrogen H2 at a flow rate greater than 500 sccm;
forming a first high-density plasma from the first gaseous mixture to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components;
terminating the flow of the monosilane SiH4 and the flow of the molecular oxygen O2 to expose the first portion of the silicon oxide film to a second high-density plasma formed from the flow of the molecular hydrogen H2, wherein the flow rate of the molecular hydrogen H2 is maintained greater than 500 sccm;
reinitiating the terminated flow of the monosilane SiH4 and the terminated flow of the molecular oxygen O2 to form a third high-density plasma to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components.
23. The method recited in claim 22 wherein:
the gap comprises a plurality of gaps formed between adjacent raised surfaces, a first of the gaps having a width at least five times a width of a second of the gaps;
the first deposition process comprises relative deposition and sputtering contributions defined by a first deposition/sputter ratio between 20 and 100; and
the second deposition process comprises relative deposition and sputtering contributions defined by a second deposition/sputter ratio less than 10,
each of the first and second deposition/sputter ratios being defined as a ratio of a sum of a net deposition rate and a blanket sputtering rate to the blanket sputtering rate.
24. A method of depositing a silicon oxide film on a substrate disposed in a substrate processing chamber, the substrate having a gap formed between adjacent raised surfaces, the method comprising:
providing a flow of a first gaseous mixture to the substrate processing chamber, the flow of the first gaseous mixture comprising a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas;
forming a first high-density plasma from the first gaseous mixture to deposit a first portion of the silicon oxide film over the substrate and within the gap with a first deposition process that has simultaneous deposition and sputtering components;
exposing the first portion of the silicon oxide film to a second high-density plasma formed with a flow of gases that includes a flow of molecular hydrogen H2 and does not include a halogen;
thereafter, providing a flow of a second gaseous mixture to the substrate processing chamber, the flow of the second gaseous mixture comprising a flow of a silicon-containing gas, a flow of an oxygen-containing gas, and a flow of a fluent gas; and
forming a third high-density plasma from the second gaseous mixture to deposit a second portion of the silicon oxide film over the substrate and within the gap with a second deposition process that has simultaneous deposition and sputtering components.
US11/031,926 2005-01-08 2005-01-08 High-throughput HDP-CVD processes for advanced gapfill applications Abandoned US20060154494A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/031,926 US20060154494A1 (en) 2005-01-08 2005-01-08 High-throughput HDP-CVD processes for advanced gapfill applications
TW094141171A TWI328618B (en) 2005-01-08 2005-11-23 High-throughput hdp-cvd processes for advanced gapfill applications
KR1020060001215A KR101289795B1 (en) 2005-01-08 2006-01-05 High-throughput hdp-cvd processes for advanced gapfill applications
CNB2006100005119A CN100483646C (en) 2005-01-08 2006-01-09 High-throughput HDP-CVD processes for advanced gapfill applications
US11/941,263 US8414747B2 (en) 2005-01-08 2007-11-16 High-throughput HDP-CVD processes for advanced gapfill applications

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/031,926 US20060154494A1 (en) 2005-01-08 2005-01-08 High-throughput HDP-CVD processes for advanced gapfill applications

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/941,263 Division US8414747B2 (en) 2005-01-08 2007-11-16 High-throughput HDP-CVD processes for advanced gapfill applications

Publications (1)

Publication Number Publication Date
US20060154494A1 true US20060154494A1 (en) 2006-07-13

Family

ID=36653844

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/031,926 Abandoned US20060154494A1 (en) 2005-01-08 2005-01-08 High-throughput HDP-CVD processes for advanced gapfill applications
US11/941,263 Active 2026-08-02 US8414747B2 (en) 2005-01-08 2007-11-16 High-throughput HDP-CVD processes for advanced gapfill applications

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/941,263 Active 2026-08-02 US8414747B2 (en) 2005-01-08 2007-11-16 High-throughput HDP-CVD processes for advanced gapfill applications

Country Status (4)

Country Link
US (2) US20060154494A1 (en)
KR (1) KR101289795B1 (en)
CN (1) CN100483646C (en)
TW (1) TWI328618B (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070181966A1 (en) * 2006-02-08 2007-08-09 Fujitsu Limited Fabrication process of semiconductor device and semiconductor device
US8414747B2 (en) 2005-01-08 2013-04-09 Applied Materials, Inc. High-throughput HDP-CVD processes for advanced gapfill applications
US20130302999A1 (en) * 2012-05-10 2013-11-14 Tae Kyung Won Siox process chemistry development using microwave plasma cvd
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US20150348777A1 (en) * 2014-05-29 2015-12-03 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and fabrication method thereof
US20170081761A1 (en) * 2012-12-03 2017-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic Layer Deposition Method
US9809881B2 (en) 2011-02-15 2017-11-07 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US9941111B2 (en) * 2015-05-29 2018-04-10 Infineon Technologies Ag Method for processing a semiconductor layer, method for processing a silicon substrate, and method for processing a silicon layer
CN111128718A (en) * 2019-12-26 2020-05-08 华虹半导体(无锡)有限公司 Gap filling method

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101440480B (en) * 2007-11-22 2010-08-11 上海华虹Nec电子有限公司 Method for reducing silicon dioxide dielectric film deposition by HDP CVD process
KR20130048677A (en) * 2009-05-26 2013-05-10 엥떼르위니베르시테르 미크로엘렉트로니카 쌍트륌 베제드두블르베 Method for forming an organic material layer on a substrate
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8643151B2 (en) * 2011-02-28 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for semiconductor devices
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10096464B2 (en) 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide

Citations (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468413A (en) * 1982-02-15 1984-08-28 U.S. Philips Corporation Method of manufacturing fluorine-doped optical fibers
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5030881A (en) * 1990-07-02 1991-07-09 Rca Licensing Corporation Color picture tube with shadow mask having improved aperture border
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5156881A (en) * 1987-03-18 1992-10-20 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US5215787A (en) * 1991-01-23 1993-06-01 Nec Corporation Method of forming silicon oxide film containing fluorine
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5275977A (en) * 1990-03-19 1994-01-04 Hitachi, Ltd. Insulating film forming method for semiconductor device interconnection
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5288518A (en) * 1991-06-07 1994-02-22 Nec Corproation Chemical vapor deposition method for forming fluorine containing silicon oxide film
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5319247A (en) * 1990-10-30 1994-06-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interlayer insulating film of high crack resistance
US5334552A (en) * 1991-12-04 1994-08-02 Nec Corporation Method for fabricating a semiconductor device having a multi-layered interconnection structure
US5399529A (en) * 1992-05-27 1995-03-21 Nec Corporation Process for producing semiconductor devices
US5413967A (en) * 1991-05-16 1995-05-09 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5420075A (en) * 1992-04-15 1995-05-30 Nec Corporation Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator
US5429995A (en) * 1992-07-17 1995-07-04 Kabushiki Kaisha Toshiba Method of manufacturing silicon oxide film containing fluorine
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5474589A (en) * 1990-11-28 1995-12-12 Sumitomo Electric Industries, Ltd. UV light-permeable glass and article comprising the same
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5850105A (en) * 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5915190A (en) * 1995-12-27 1999-06-22 Lam Research Corporation Methods for filling trenches in a semiconductor wafer
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5944902A (en) * 1997-02-10 1999-08-31 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5990000A (en) * 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US20020187655A1 (en) * 2001-05-11 2002-12-12 Applied Materials, Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61276977A (en) 1985-05-30 1986-12-06 Canon Inc Formation of deposited film
JPH0258836A (en) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JP3670277B2 (en) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション Method for depositing SiOx films with low intrinsic stress and / or low hydrogen content
JPH07161703A (en) 1993-12-03 1995-06-23 Ricoh Co Ltd Manufacture of semiconductor device
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US20050073671A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US20050074698A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US20050085085A1 (en) * 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050088633A1 (en) * 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
US7163896B1 (en) * 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications

Patent Citations (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468413A (en) * 1982-02-15 1984-08-28 U.S. Philips Corporation Method of manufacturing fluorine-doped optical fibers
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5362526A (en) * 1986-12-19 1994-11-08 Applied Materials, Inc. Plasma-enhanced CVD process using TEOS for depositing silicon oxide
US6167834B1 (en) * 1986-12-19 2001-01-02 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5385763A (en) * 1987-03-18 1995-01-31 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US5156881A (en) * 1987-03-18 1992-10-20 Kabushiki Kaisha Toshiba Method for forming a film on a substrate by activating a reactive gas
US4851370A (en) * 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5275977A (en) * 1990-03-19 1994-01-04 Hitachi, Ltd. Insulating film forming method for semiconductor device interconnection
US5030881A (en) * 1990-07-02 1991-07-09 Rca Licensing Corporation Color picture tube with shadow mask having improved aperture border
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5319247A (en) * 1990-10-30 1994-06-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interlayer insulating film of high crack resistance
US5474589A (en) * 1990-11-28 1995-12-12 Sumitomo Electric Industries, Ltd. UV light-permeable glass and article comprising the same
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5215787A (en) * 1991-01-23 1993-06-01 Nec Corporation Method of forming silicon oxide film containing fluorine
US5413967A (en) * 1991-05-16 1995-05-09 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US5288518A (en) * 1991-06-07 1994-02-22 Nec Corproation Chemical vapor deposition method for forming fluorine containing silicon oxide film
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5334552A (en) * 1991-12-04 1994-08-02 Nec Corporation Method for fabricating a semiconductor device having a multi-layered interconnection structure
US5420075A (en) * 1992-04-15 1995-05-30 Nec Corporation Forming multi-layered interconnections with fluorine compound treatment permitting selective deposition of insulator
US5399529A (en) * 1992-05-27 1995-03-21 Nec Corporation Process for producing semiconductor devices
US5429995A (en) * 1992-07-17 1995-07-04 Kabushiki Kaisha Toshiba Method of manufacturing silicon oxide film containing fluorine
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US5915190A (en) * 1995-12-27 1999-06-22 Lam Research Corporation Methods for filling trenches in a semiconductor wafer
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US6182602B1 (en) * 1996-07-15 2001-02-06 Applied Materials, Inc. Inductively coupled HDP-CVD reactor
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5944902A (en) * 1997-02-10 1999-08-31 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5990000A (en) * 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) * 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) * 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US20020187655A1 (en) * 2001-05-11 2002-12-12 Applied Materials, Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8414747B2 (en) 2005-01-08 2013-04-09 Applied Materials, Inc. High-throughput HDP-CVD processes for advanced gapfill applications
US20070181966A1 (en) * 2006-02-08 2007-08-09 Fujitsu Limited Fabrication process of semiconductor device and semiconductor device
US9809881B2 (en) 2011-02-15 2017-11-07 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US20130302999A1 (en) * 2012-05-10 2013-11-14 Tae Kyung Won Siox process chemistry development using microwave plasma cvd
US8906813B2 (en) * 2012-05-10 2014-12-09 Applied Materials, Inc. SiOx process chemistry development using microwave plasma CVD
US20170081761A1 (en) * 2012-12-03 2017-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic Layer Deposition Method
US10858736B2 (en) * 2012-12-03 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition method
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US20150348777A1 (en) * 2014-05-29 2015-12-03 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and fabrication method thereof
US9524865B2 (en) * 2014-05-29 2016-12-20 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor device and fabrication method thereof
US9941111B2 (en) * 2015-05-29 2018-04-10 Infineon Technologies Ag Method for processing a semiconductor layer, method for processing a silicon substrate, and method for processing a silicon layer
CN111128718A (en) * 2019-12-26 2020-05-08 华虹半导体(无锡)有限公司 Gap filling method

Also Published As

Publication number Publication date
US20080063813A1 (en) 2008-03-13
KR20060081350A (en) 2006-07-12
US8414747B2 (en) 2013-04-09
TW200624589A (en) 2006-07-16
TWI328618B (en) 2010-08-11
KR101289795B1 (en) 2013-07-26
CN100483646C (en) 2009-04-29
CN1819123A (en) 2006-08-16

Similar Documents

Publication Publication Date Title
US8414747B2 (en) High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) Gapfill using deposition-etch sequence
US7595088B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7097886B2 (en) Deposition process for high aspect ratio trenches
US7867921B2 (en) Reduction of etch-rate drift in HDP processes
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US20140187045A1 (en) Silicon nitride gapfill implementing high density plasma
US20060225648A1 (en) Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7189639B2 (en) Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US7390757B2 (en) Methods for improving low k FSG film gap-fill characteristics
US8497211B2 (en) Integrated process modulation for PSG gapfill
US7229931B2 (en) Oxygen plasma treatment for enhanced HDP-CVD gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:QI, BO;LEE, YOUNG S.;REEL/FRAME:016170/0507

Effective date: 20050106

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION