US20060040510A1 - Semiconductor device with silicon dioxide layers formed using atomic layer deposition - Google Patents

Semiconductor device with silicon dioxide layers formed using atomic layer deposition Download PDF

Info

Publication number
US20060040510A1
US20060040510A1 US11/225,999 US22599905A US2006040510A1 US 20060040510 A1 US20060040510 A1 US 20060040510A1 US 22599905 A US22599905 A US 22599905A US 2006040510 A1 US2006040510 A1 US 2006040510A1
Authority
US
United States
Prior art keywords
reactant
catalyst
chamber
silicon dioxide
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/225,999
Inventor
Joo-Won Lee
Jae-Eun Park
Jong-Ho Yang
Kang-soo Chu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/225,999 priority Critical patent/US20060040510A1/en
Publication of US20060040510A1 publication Critical patent/US20060040510A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]

Definitions

  • the present invention relates generally to improved methods for growing silicon dioxide layers on substrates, such as in semiconductor manufacture, using atomic layer deposition processes.
  • the methods of this invention facilitate exercising extremely precise control over the properties of a silicon dioxide layer applied, for example, to a gate oxide or a dielectric layer.
  • the methods of this invention have particular utility in fabricating gate spacers, gate oxides, silicide blocking layers, bit line spacers, inter-level dielectric layers, etch stoppers, and related final or intermediate products in semiconductor fabrication.
  • a silicon dioxide layer is typically formed on a substrate surface by such conventional techniques as chemical vapor deposition (CVD), low-pressure CVD (LPCVD), or plasma-enhanced CVD (PECVD). These techniques are recognized as providing a good step coverage at a comparatively low temperature. As the density of a semiconductor device increases, however, so too do the heights of the respective elements which comprise the device. As a result, a problem arises due to increased pattern density variation and a corresponding decrease in uniformity.
  • CVD chemical vapor deposition
  • LPCVD low-pressure CVD
  • PECVD plasma-enhanced CVD
  • Klaus '442 provides a method for growing atomic layer thin films on functionalized substrates at room temperatures utilizing catalyzed binary reaction sequence chemistry. More particularly, according to the Klaus '442 patent, a two-step atomic layer deposition (ALD) process, using two catalyst-assisted “half-reactions” carried out at room temperature, can be used to grow a silicon dioxide film on an OH terminated substrate.
  • ALD atomic layer deposition
  • Klaus '442 utilizes SiCl 4 as a “first molecular precursor” and pyridine as a catalyst.
  • the substrate is functionalized with OH ⁇ as a “first functional group,” for example using H 2 O.
  • the functionalized substrate is exposed to a catalyst that is a Lewis base or Lewis acid (e.g., pyridine) and a first molecular precursor which includes the primary element of the film to be grown as well as a second functional group (e.g., SiCl 4 ).
  • a catalyst that is a Lewis base or Lewis acid (e.g., pyridine) and a first molecular precursor which includes the primary element of the film to be grown as well as a second functional group (e.g., SiCl 4 ).
  • the catalyst interacts with the first functional group of the functionalized substrate; then, the first molecular precursor reacts with the first functional group (which has been activated by the catalyst) resulting in a displacement of the catalyst and a bond between the first functional group of the substrate and the primary element of the first molecular precursor.
  • these two reactions comprise the first “half-reaction” and represent the beginning of film formation with the second functional group now located across the surface of the film.
  • the second molecular precursor reacts with the bond between the primary element of the first molecular precursor and the catalyst resulting in a displacement of the catalyst and the deposition of the first functional group on the newly-grown surface layer, thereby completing a full growth/deposition cycle and restoring the substrate surface to a functionalized state in preparation for the next cycle.
  • the Klaus '442 patent represents that: “Strong amine bases like triethylamine ((C 2 H 5 ) 3 N) have been shown to form salt compounds like triethylammonium chloride (NH+(C 2 H 5 )3Cl—) in the presence of chlorosilanes. These salts could poison the surface and degrade the reaction efficiency as they build up.” (column 9, line 24 ⁇ 28). Thus, Klaus '442 appears to teach away from the presence of triethylamine, i.e. tertiary aliphatic amine, in ALD applications. But, in this invention, control of process conditions coupled with a variety of purge methods have been found to solve the above problems.
  • a general object of this invention is to provide improved methods for using atomic layer deposition (ALD) to grow highly uniform thin films having superior surface density, extremely high purity, and with highly precise control of surface properties.
  • ALD atomic layer deposition
  • a further object of this invention is to provide ALD methods for forming silicon dioxide layers on a semiconductor substrate using silicon compounds having at least two silicon atoms as one of the reactant materials.
  • Still another object of this invention is to provide ALD methods for forming silicon dioxide layers on a semiconductor substrate using tertiary aliphatic amine compounds as a catalyst material.
  • Yet another object of this invention is to provide optimum temperature and pressure ranges for carrying out the methods of this invention.
  • Another object of this invention is to provide reaction/purging process sequences, and timing and techniques for carrying out such deposition cycles, to enhance the benefits of the methods of this invention.
  • Still another object of this invention is to provide methods for hardening a silicon dioxide thin film formed on a substrate by the methods of this invention.
  • Yet another object of this invention is to provide improved semiconductor devices having a substrate with a silicon dioxide layer which has superior surface density and is of extremely high purity and uniformity deposited along a surface of the substrate for use in such applications as gate spacers, gate oxides, silicide blocking layers, bit line spacers, interlevel dielectric layers, etch stoppers, and the like.
  • a specific object of this invention is to provide catalyst-assisted ALD methods for forming silicon dioxide layers on a semiconductor substrate using Si 2 Cl 6 as the first reactant, or using a tertiary aliphatic amine as the catalyst, or both.
  • the invention consists of improved methods for using catalyst-assisted atomic layer deposition (ALD) to form silicon dioxide thin films having enhanced properties and purity on semiconductor substrates.
  • a silicon compound having at least two silicon atoms e.g., Si 2 Cl 6
  • a tertiary aliphatic amine compound e.g., trimethyl amine
  • a silicon compound having at least two silicon atoms is used as the first reactant and a tertiary aliphatic amine is used as the catalyst in an ALD process.
  • methods for hardening the deposited silicon dioxide thin films are provided, optimum temperature and pressure conditions for carrying out the methods of this invention are established, and alternative reaction/purging process sequences for the methods of this invention are described.
  • FIG. 1 is a flow chart that schematically illustrates the steps of the ALD methods of this invention for forming a silicon dioxide thin film on a substrate.
  • FIG. 2 is a schematic illustration of the several chemical reaction steps, showing what is theorized to be the underlying chemistry, on which the improved ALD methods of this invention are based.
  • FIG. 3 compares the silicon dioxide deposition rate on a substrate for an ALD method according to this invention with that for a prior art ALD process.
  • FIG. 4 compares the silicon “richness” of a thin film SiO 2 layer formed on a substrate using an ALD method according to this invention with that for a prior art ALD process.
  • FIG. 5A compares the silicon bonding status of silicon in a SiO 2 monolayer formed using an ALD method according to this invention with that for a prior art ALD process.
  • FIG. 5B schematically illustrates what is theorized to be the different silicon chemical bonding arrangements which account for the differences in bonding status established by FIG. 5A .
  • FIG. 6 compares the wet etch rate of a SiO 2 thin film formed using an ALD method according to this invention with that for a prior art ALD process.
  • FIG. 7 is a chromatograph confirming the formation of unwanted particulate byproducts having Si—N bonds when an ALD process is carried out according to prior art teachings using a catalyst containing one or more N—H bonds.
  • FIG. 8 illustrates a gas pulsing method of supplying reactant and catalyst feeds to the reactant chamber in accordance with one embodiment of this invention.
  • FIG. 9-12 illustrate alternative possible representative “recipes” or sequencing cycles for gas pulsing/pumping and/or purging to be used in carrying out ALD methods in accordance with this invention.
  • FIG. 13 illustrates how the SiO 2 deposition rate on a substrate using an ALD method in accordance with this invention varies in relation to process temperature.
  • FIG. 14 illustrates how the impurity content (as measured by carbon present) of a SiO 2 thin film formed using an ALD method in accordance with this invention varies in relation to process temperature.
  • FIG. 15 illustrates how the SiO 2 deposition rate on a substrate using an ALD method in accordance with this invention varies in relation to process pressure.
  • FIG. 16 illustrates how the non-uniformity of a SiO 2 thin film formed using an ALD method in accordance with this invention varies in relation to process pressure.
  • Table 1 below is a summary comparing the theoretical chemical reactions underlying the prior art high-temperature ALD technique and the catalyst-assisted ALD technique of the Klaus '442 patent with three illustrative embodiments of the present invention, as described hereinafter.
  • TABLE 1 High-Temperature ALD Si—OH* + SiCl 4 ⁇ Si—O—Si—Cl 3 * + HCl Si—Cl* + H 2 O ⁇ Si—OH* + HCl Klaus ′442 patent Si—OH* + C 5 H 5 N + SiCl 4 ⁇ Si—O—Si—Cl 3 * + HCL + C 5 H 5 N Si—O—Si—Cl 3 * + C 5 H 5 N + H 2 O ⁇ Si—O—OH* + HCl + C 5 H 5 N
  • Present Invention Si—OH* + Si 2 Cl 6 + C 5 H 5 N 1st ex.
  • Table 2 is a summary of illustrative combinations of catalyst, first reactant and second reactant corresponding to different illustrative embodiments of the present invention as described hereinafter.
  • TABLE 2 2 nd Catalyst 1 st reactant reactant 1st ex. Ammonia, amine Si 2 Cl 6 H 2 O, embodiment H 2 O 2, ozone 2nd ex. Tertiary aliphatic amine SiCl 4 H 2 O, embodiment (R 3 N) H 2 O 2, ozone 3rd ex. Tertiary aliphatic amine Si 2 Cl 6 H 2 O, embodiment (R 3 N) H 2 O 2, ozone
  • FIG. 1 is a flow chart that schematically illustrates the several steps, procedures and sequential chemical reactions which apply generically to the methods of this invention for forming silicon dioxide thin films on a substrate by means of a catalyzed atomic layer deposition (ALD) procedure. The steps illustrated in the flow chart of FIG. 1 are discussed below.
  • ALD atomic layer deposition
  • a suitable functionalized substrate is loaded into a reaction chamber.
  • the substrate is preheated until the temperature of the substrate reaches a suitable temperature for starting the silicon dioxide ALD process, typically about 25°-150° C.
  • the reaction chamber is exhausted either at the same time as or immediately following the preheating. Evacuating the chamber might typically take under 60 seconds.
  • Step 130 is comprised of substeps 132 - 138 , which are discussed individually below.
  • a mixture of the first reactant and catalyst is supplied to the reaction chamber.
  • the catalyst acts by lowering reaction activation energy of the first reactant on the substrate. As a result, the process temperature is lowered to about room temperature or slightly above room temperature.
  • the process temperature in the chamber is typically about 25°-150° C., preferably about 90°-110° C.
  • the process pressure in the chamber is typically about 0.1 ⁇ 100 torr, preferably about 0.5 ⁇ 5 torr.
  • An inert gas, for example, argon (Ar) may be supplied to the chamber along with first reactant and catalyst.
  • the H of the —OH reaction sites reacts with a halogen atom of the first reactant in the presence of the first base catalyst to form halogen acid.
  • the halogen acid is neutralized with the first base catalyst, and a salt is produced.
  • Si atoms of the first reactant react with the 0 on a reaction site on the substrate to form a chemisorbed layer of the first reactant.
  • step 132 By-products of the first reaction process (step 132 ), for example, salt, unreacted first reactant, etc. are removed.
  • a mixture of the second reactant (which contains O and H) and a second base catalyst is now supplied to the chamber causing the chemisorbed layer of the first reactant to chemically react with the second reactant.
  • the second reactant is H 2 O, H 2 O 2 , or ozone.
  • the second base catalyst is the same as the first base catalyst.
  • the ranges of temperature and pressure in the chamber are typically substantially the same as the ranges of temperature and pressure used in step 132 .
  • the O element of the second reactant reacts with Si which is chemisorbed on the substrate surface.
  • the H element of the second reactant reacts with the halogen atom, so halogen acid is produced. Salt is then produced by neutralization between such halogen acid and the base catalyst.
  • the by-products of the second reaction process (step 136 ) are removed.
  • the reaction chamber is exhausted to remove any remaining deposition by-products in the chamber, a step desirably completed in about 90 seconds.
  • step 140 no gas is supplied to the chamber.
  • the substrate with an SiO 2 thin film along its surface is unloaded from the chamber.
  • This step involves hardening the newly deposited SiO 2 thin film.
  • Hardening methods 2 and 3 above have been found to work especially well.
  • silicon dioxide thin films are grown on the functionalized surface of a substrate having hydroxyl groups using Si 2 Cl 6 or a comparable compound, e.g., a silicon halide having two or more silicon atoms, as the first reactant; a compound containing 0 and H elements, e.g., H 2 O and/or H 2 O 2 , as the second reactant; and a base compound, e.g., ammonia or an amine, as the catalyst.
  • Si 2 Cl 6 or a comparable compound, e.g., a silicon halide having two or more silicon atoms, as the first reactant
  • a compound containing 0 and H elements e.g., H 2 O and/or H 2 O 2
  • a base compound e.g., ammonia or an amine
  • the first reactant is a silicon compound having at least two silicon atoms, for example a silicon-halide compound selected from the group consisting of: Si 2 X 6 , Si 3 X 8 , Si 4 X 10 , and Si 3 X 6 (Triangle), which has the following chemical structure: wherein X is a halogen such as F, Cl, Br, I.
  • the first reactant is selected from the group consisting of Si 2 Cl 6 , Si 3 Cl 8 , Si 4 Cl 10 and Si 3 Cl 6 (Triangle).
  • the second reactant is a compound containing oxygen (O) and hydrogen (H) components selected from the group consisting of H 2 O; H 2 O 2 ; and ozone.
  • a chemisorbed layer of the first reactant is formed along the substrate surface. Unreacted first reactant and byproducts are then removed from the region of the substrate.
  • the chemisorbed layer of the first reactant is reacted with the second reactant in the presence of a base compound as the catalyst, which may be the same catalyst used in reacting the first reactant or a different base compound catalyst. Unreacted second reactant and byproducts of this second reaction step are removed from the substrate region.
  • the surface of the substrate, now containing a new SiO 2 monolayer, is restored to the hydroxyl group functionalized state ready to begin a new ALD cycle.
  • SiCl 4 when a Si atom reacts with the O—H site on the substrate and forms a single bond with O, SiCl 4 is rotated. Due to the steric hindrance of Cl (which does not participate in the reaction), the next O—H site cannot react with another SiCl 4 . By contrast, a Si 2 Cl 6 monolayer can react with two Si atoms at the same time and thus speeds up the ALD process. Furthermore, the quality of the resulting silicon dioxide layer is better because the molecular packing along the surface is denser.
  • FIGS. 3-6 compare the properties and performance of SiO 2 monolayers grown on a substrate using the hexachlorodisilicon (HCD) method of this invention with SiO 2 monolayers grown using the tetrachlorosilicon (TCS) method of Klaus '442.
  • HCD hexachlorodisilicon
  • TCS tetrachlorosilicon
  • the graph in FIG. 3 compares the deposition rates of SiO 2 monolayers on a substrate utilizing the prior art SiCl 4 approach with those obtained utilizing the Si 2 Cl 6 technique of this invention at varying process temperatures.
  • FIG. 3 shows that, at every process temperature, the deposition rate utilizing Si 2 Cl 6 (circular points) is approximately double the deposition rate using SiCl 4 (square points).
  • FIG. 4 compares the “silicon richness” of a thin film layer grown on a substrate using the prior art TCS (SiCl 4 ) approach with that of a thin film grown using the HCD (Si 2 Cl 6 ) approach of this invention.
  • TCS Spin-Coupled Source
  • HCD Hexadiene-dioxide
  • FIG. 4 shows that the ratio of Si to O using the TCS technique is 1:1.95 while the Si to O ratio using the HCD technique is 1:1.84.
  • the thin film SiO 2 layer which is formed using the HCD approach is desirably “richer” in silicon.
  • FIG. 5A uses XPS data to compare the silicon bonding status of silicon in a SiO 2 monolayer grown using the HCD approach of this invention with the bonding status of silicon in a monolayer grown using the prior art TCS method.
  • the difference in bonding status seen in the graph of FIG. 5A , as well as the difference in silicon “richness” shown by FIG. 4 is believed to be explained by the different type of silicon bonds formed when the SiO 2 monolayer is grown by the HCD method instead of the TCS method.
  • FIG. 5A uses XPS data to compare the silicon bonding status of silicon in a SiO 2 monolayer grown using the HCD approach of this invention with the bonding status of silicon in a monolayer grown using the prior art TCS method.
  • the TCS method is believed to result in adjacent silicon atoms in a SiO 2 monolayer being bonded to each other only through an intermediate oxygen atom, whereas the HCD method of this invention is believed to result in at least some direct Si—Si bonding in the SiO 2 monolayer.
  • FIG. 6 compares the wet etch rate of SiO 2 thin films formed using the HCD method of this invention with the wet etch rate for SiO 2 thin films formed using the prior art TCS method. (The vertical scale of the bar graph of FIG. 6 has been made discontinuous to accommodate the data.) FIG. 6 shows that the wet etch rate of SiO 2 thin films formed using the HCD method of this invention is about six times better than for SiO 2 thin films formed using the TCS method.
  • silicon dioxide thin films are grown on a functionalized surface of a substrate using a silicon halide as the first reactant; a second reactant containing O and H atoms, e.g., H 2 O and/or H 2 O 2 ; and a tertiary aliphatic amine catalyst.
  • a chemisorbed layer of the first reactant is formed along the substrate surface. Unreacted first reactant and byproducts are then removed from the region of the substrate.
  • the chemisorbed layer of the first reactant is reacted with the second reactant in the presence of the tertiary aliphatic amine catalyst. Byproducts of this second reaction step are removed from the substrate region.
  • FIG. 7 and Table 3 as discussed below demonstrate the validity and the enormous importance of this finding.
  • FIG. 7 is a result of RGA analysis that confirms the formation of solid particulate byproducts when an ALD process is carried out using an amine catalyst that is not a tertiary aliphatic amine.
  • FIG. 7 is based on a catalyzed ALD process as taught by Klaus '442 using SiCl 4 as the first reactant with dimethylamine ((H 3 C) 2 NH), an amine with a single N—H bond, as the catalyst.
  • a residual mass spectrum apparatus was connected to the ALD reaction chamber to analyze byproducts coming from the reaction. The mass spectrum of FIG.
  • Table 3 compares the number of undesired particles (having a size of at least 0.16 ⁇ m) which were deposited on substrate surfaces of the same area when catalyzed ALD was carried out using SiCl 4 as a first reactant with different amines as the catalyst.
  • Table 3 shows that using ammonia (NH 3 ) as the ALD catalyst, a molecule with three vulnerable N—H bonds, the ALD process resulted in tens of thousands of byproduct particles on the surface of the SiO 2 thin film. This very high level of particulate contamination on an SiO 2 thin film adversely affects performance of the semiconductor device and is completely unacceptable for many of the most demanding modern semiconductor applications.
  • NH 3 ammonia
  • Table 3 also shows that the use of dimethylamine as the ALD catalyst, a molecule with only one vulnerable N—H bond, is effective in somewhat reducing the production of particulate byproduct by about one order of magnitude. Even particulate production in the thousands range on an SiO 2 thin film, as obtained with dimethylamine catalyst, is still far in excess of acceptable limits for very high performance semiconductor devices.
  • Table 3 further shows, however, that the use of trimethylamine as the ALD catalyst, thereby eliminating all vulnerable N—H bonds, has the dramatic and unexpected result of reducing the production of particles of byproduct to only several tens, a three order of magnitude reduction relative to ammonia, and a two order of magnitude reduction even relative to dimethylamine.
  • this invention embodiment uses a tertiary aliphatic amine catalyst instead of the pyridine which is the preferred catalyst for example in the Klaus '442 patent.
  • Pyridine is a heterocyclic compound containing a ring of five carbon atoms and one nitrogen atom having the formula C 5 H 5 N. It exists at room temperature as a toxic liquid having a pungent, characteristic odor, which must be carefully handled.
  • pyridine When used as a catalyst in an ALD process, pyridine must be vaporized to the gaseous state (the boiling point of pyridine is 115.5° C.). Thus, the equipment for treating pyridine is complicated, and a pyridine supply line is easily contaminated.
  • a low molecular weight tertiary aliphatic amine for example trimethylamine
  • trimethylamine is a gas at ambient conditions, which makes it easier to use than a catalyst prone to undergo a phase change at normal reaction conditions.
  • the toxicity of trimethylamine is much lower than that of pyridine and the boiling point of trimethylamine is only 3 ⁇ 4° C.
  • silicon dioxide thin films are grown on a functionalized surface of a substrate using a silicon compound having at least two or more silicon atoms, e.g., a silicon halide such as Si 2 Cl 6 , as the first reactant; a compound containing O and H atoms, e.g., H 2 O and/or H 2 O 2 , as the second reactant; and, a tertiary aliphatic amine catalyst.
  • a silicon compound having at least two or more silicon atoms e.g., a silicon halide such as Si 2 Cl 6
  • a compound containing O and H atoms e.g., H 2 O and/or H 2 O 2
  • the functionalized surface of the substrate is exposed to a mixture of the first reactant and the tertiary aliphatic amine catalyst in a first process step to form a chemisorbed layer of the first reactant along the substrate surface. Unreacted first reactant and any byproducts are then removed from the region of the substrate. In the next process step, the chemisorbed layer of the first reactant is reacted with the second reactant in the presence of the tertiary aliphatic amine catalyst. Byproducts of this second reaction step are removed from the substrate region.
  • FIG. 8 illustrates a gas pulsing method for carrying out steps 132 - 138 of FIG. 1 , as described below.
  • a first reactant and a suitable catalyst are flowed into the reaction chamber through separate respective supply lines.
  • inert gas for example, argon gas
  • Inert gas for purging flows into the chamber through each of the first reactant supply line, the second reactant supply line, and the catalyst supply line.
  • a second reactant which contains O and H, and a suitable catalyst are flowed into the chamber through separate respective supply lines.
  • inert gas for example, argon gas
  • inert gas can be flowed into the chamber through the first reactant supply line to purge the first reactant supply line.
  • Inert gas for purging flows into the chamber through each of the first reactant supply line, the second reactant supply line, and the catalyst supply line.
  • FIGS. 9-12 Some representative “recipes” or sequences for gas pulsing/pumping or purging the various feed lines and the reactant chamber in accordance with steps 132 - 138 of FIG. 1 over 10 second process time intervals are illustrated in FIGS. 9-12 .
  • FIG. 9 illustrates a process purge sequence comprising the following steps per cycle being conducted at and over selected process time periods using an inert gas to purge and remove byproducts: 0-2 seconds process time—HCD feeding; 2-4 seconds process time—purging; 4-7.5 seconds process time-H 2 O feeding; and 7.5-10 seconds—purging.
  • FIG. 9 illustrates a process purge sequence comprising the following steps per cycle being conducted at and over selected process time periods using an inert gas to purge and remove byproducts: 0-2 seconds process time—HCD feeding; 2-4 seconds process time—purging; 4-7.5 seconds process time-H 2 O feeding; and 7.5-10 seconds—purging.
  • FIG. 9 illustrates a process purge sequence comprising the following
  • FIG. 10 illustrates a process pumping sequence, wherein the pumping pressure is lower than the first and second reactant supply pressures, comprising the sequenced steps per cycle of: 0-2 seconds process time—HCD feeding; 2-4 seconds process time—pumping; 4-7.5 seconds process time—H 2 O feeding; and 7.5-10 seconds process time—pumping.
  • FIG. 11 illustrates a process purge-pumping sequence, wherein pumping is used after purging, comprising the sequences steps per cycle of: 0-2 seconds process time—HCD feeding; 2-3 seconds process time—purging; 3-4 seconds process time—pumping; 4-7.5 seconds process time—H 2 O feeding; 7.5-8.5 seconds process time—purging; and 8.5-10 seconds process time—pumping.
  • FIG. 11 illustrates a process purge-pumping sequence, wherein pumping is used after purging, comprising the sequences steps per cycle of: 0-2 seconds process time—HCD feeding; 2-3 seconds process time—purging; 3-4 seconds process time—pumping; 4-
  • process pumping—purge sequence wherein purging is used after pumping, comprising the sequenced steps per cycle of: 0-2 seconds process time—HCD feeding; 2-3 seconds process time—pumping; 3-4 seconds process time—purging; 4-7.5 seconds process time—pumping; 7.5-8.5 seconds process time pumping; and 8.5-10 seconds process time—purging.
  • temperature conditions for carrying out catalyst-assisted ALD for growing SiO 2 thin films on substrates according to this invention are optimized by balancing two competing process parameters.
  • the deposition rate for forming SiO 2 thin films using catalyst-assisted ALD and a multiple-silicon atom compound (e.g., Si 2 Cl 6 ) as the first reactant is inversely proportional to temperature.
  • FIG. 13 shows that, in general, the higher the process temperature, the slower the deposition rate. This appears to be due to desorption rate, and it is a distinctive feature of an ALD process because ALD is a surface reaction.
  • a SIMS (secondary ion mass spectrometer) graph of carbon content over time at three different process temperatures the carbon content of an ALD-deposited SiO 2 thin film also varies according to process temperature.
  • process temperature In general, at lower process temperatures, carbon-containing byproducts of the ALD reaction processes are not fully removed from the substrate surface during processing and become trapped in the SiO 2 thin films being deposited. The resulting increase in the impurity level of the thin films results in a lower quality semiconductor device.
  • pressure conditions for carrying out catalyst-assisted ALD for growing SiO 2 thin films on substrates according to this invention are optimized by balancing two competing process parameters.
  • the deposition rate for forming SiO 2 thin films using catalyst-assisted ALD is directly proportional to process condition pressure, i.e., the higher the pressure, the thicker the layer of SiO 2 deposited over a given time period/number of ALD cycles.
  • FIG. 16 illustrates that a non-linear relationship exists between process pressure and non-uniformity of the SiO 2 thin film.
  • FIG. 16 shows that, up to a point, higher process pressure reduces non-uniformity of the layers deposited; but, beyond that point, higher pressure is correlated with higher non-uniformity.

Abstract

Improved methods are disclosed for catalyst-assisted atomic layer deposition (ALD) to form a silicon dioxide layer having superior properties on a semiconductor substrate by using a first reactant component consisting of a silicon compound having at least two silicon atoms, or using a tertiary aliphatic amine as the catalyst component, or both in combination, together with related purging methods and sequencing.

Description

    RELATED APPLICATIONS
  • This application is a divisional of U.S. application Ser. No. 10/459,943, filed on Jun. 12, 2003, which relies for priority upon Korean Patent Application No. 02-39428, filed on Jul. 8, 2002 and Korean Patent Application No. 03-6370, filed Jan. 30, 2003, the contents of which are herein incorporated by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to improved methods for growing silicon dioxide layers on substrates, such as in semiconductor manufacture, using atomic layer deposition processes. The methods of this invention facilitate exercising extremely precise control over the properties of a silicon dioxide layer applied, for example, to a gate oxide or a dielectric layer. The methods of this invention have particular utility in fabricating gate spacers, gate oxides, silicide blocking layers, bit line spacers, inter-level dielectric layers, etch stoppers, and related final or intermediate products in semiconductor fabrication.
  • 2. Description of the Related Art
  • In manufacturing a semiconductor device, a silicon dioxide layer is typically formed on a substrate surface by such conventional techniques as chemical vapor deposition (CVD), low-pressure CVD (LPCVD), or plasma-enhanced CVD (PECVD). These techniques are recognized as providing a good step coverage at a comparatively low temperature. As the density of a semiconductor device increases, however, so too do the heights of the respective elements which comprise the device. As a result, a problem arises due to increased pattern density variation and a corresponding decrease in uniformity.
  • As taught in U.S. Pat. No. 6,090,442 (Klaus '442), which patent is incorporated herein by reference, one approach to these recognized problems was to use an atomic layer deposition (ALD) technique. Klaus '442 teaches, however, that the big drawback to ALD techniques was that they typically required temperatures greater than 600° K. and reactant exposures of greater than 109 L (where 1 L=10−6 Torr sec) for the surface reactions to reach completion. Such high temperature and high exposure procedures are not desirable for ultra-thin film deposition applications for various reasons including the difficulty of carrying out such procedures.
  • An improved approach to such problems was taught by the Klaus '442 patent. Klaus '442 provides a method for growing atomic layer thin films on functionalized substrates at room temperatures utilizing catalyzed binary reaction sequence chemistry. More particularly, according to the Klaus '442 patent, a two-step atomic layer deposition (ALD) process, using two catalyst-assisted “half-reactions” carried out at room temperature, can be used to grow a silicon dioxide film on an OH terminated substrate.
  • In a specific embodiment, Klaus '442 utilizes SiCl4 as a “first molecular precursor” and pyridine as a catalyst. First, the substrate is functionalized with OH as a “first functional group,” for example using H2O. Next, the functionalized substrate is exposed to a catalyst that is a Lewis base or Lewis acid (e.g., pyridine) and a first molecular precursor which includes the primary element of the film to be grown as well as a second functional group (e.g., SiCl4). As described by Klaus '442, in the first “half-reaction,” the catalyst interacts with the first functional group of the functionalized substrate; then, the first molecular precursor reacts with the first functional group (which has been activated by the catalyst) resulting in a displacement of the catalyst and a bond between the first functional group of the substrate and the primary element of the first molecular precursor. Taken together, these two reactions comprise the first “half-reaction” and represent the beginning of film formation with the second functional group now located across the surface of the film.
  • At this point in the Klaus '442 process, excess first molecular precursor and any byproducts are purged from the reaction chamber, and the partially-reacted substrate is exposed to additional catalyst and a second molecular precursor. The catalyst activates the exposed second functional group along the surface of the film by reacting with it and with a second molecular precursor, resulting in a displacement of the second functional group and also resulting in a bond to the primary element of the first molecular precursor. Now, the second molecular precursor reacts with the bond between the primary element of the first molecular precursor and the catalyst resulting in a displacement of the catalyst and the deposition of the first functional group on the newly-grown surface layer, thereby completing a full growth/deposition cycle and restoring the substrate surface to a functionalized state in preparation for the next cycle.
  • Although the catalyst-assisted deposition processes of the Klaus '442 patent represent substantial advances in ALD technology, and do make possible room-temperature ALD, it has been found that the surface density, uniformity and quality of thin films grown using the Klaus '442 technique will not meet increasingly demanding standards in the semiconductor industry. With the seemingly never-ending evolution toward ever-smaller microelectronic components, ever-more precise control is required over the properties of semiconductor devices. Such precision control requires increasingly highly uniform surface properties and pattern density. It has now been found that novel improvements in ALD techniques in accordance with this invention produce thin films for semiconductor devices having superior surface density and significantly more uniform surface properties than could be achieved with prior art methods resulting in surprisingly more precise control over the properties of a thin film layer and in higher quality semiconductor devices suitable for modern miniaturization applications.
  • The Klaus '442 patent represents that: “Strong amine bases like triethylamine ((C2H5)3N) have been shown to form salt compounds like triethylammonium chloride (NH+(C2H5)3Cl—) in the presence of chlorosilanes. These salts could poison the surface and degrade the reaction efficiency as they build up.” (column 9, line 24˜28). Thus, Klaus '442 appears to teach away from the presence of triethylamine, i.e. tertiary aliphatic amine, in ALD applications. But, in this invention, control of process conditions coupled with a variety of purge methods have been found to solve the above problems.
  • OBJECTS OF THE INVENTION
  • Accordingly, a general object of this invention is to provide improved methods for using atomic layer deposition (ALD) to grow highly uniform thin films having superior surface density, extremely high purity, and with highly precise control of surface properties.
  • A further object of this invention is to provide ALD methods for forming silicon dioxide layers on a semiconductor substrate using silicon compounds having at least two silicon atoms as one of the reactant materials.
  • Still another object of this invention is to provide ALD methods for forming silicon dioxide layers on a semiconductor substrate using tertiary aliphatic amine compounds as a catalyst material.
  • Yet another object of this invention is to provide optimum temperature and pressure ranges for carrying out the methods of this invention.
  • Another object of this invention is to provide reaction/purging process sequences, and timing and techniques for carrying out such deposition cycles, to enhance the benefits of the methods of this invention.
  • Still another object of this invention is to provide methods for hardening a silicon dioxide thin film formed on a substrate by the methods of this invention.
  • Yet another object of this invention is to provide improved semiconductor devices having a substrate with a silicon dioxide layer which has superior surface density and is of extremely high purity and uniformity deposited along a surface of the substrate for use in such applications as gate spacers, gate oxides, silicide blocking layers, bit line spacers, interlevel dielectric layers, etch stoppers, and the like.
  • A specific object of this invention is to provide catalyst-assisted ALD methods for forming silicon dioxide layers on a semiconductor substrate using Si2Cl6 as the first reactant, or using a tertiary aliphatic amine as the catalyst, or both.
  • These and other objects, advantages and improvements of the present invention will be better understood by the following description which is to be read in conjunction with the several Figures and Drawings as discussed hereinafter.
  • SUMMARY OF THE INVENTION
  • The invention consists of improved methods for using catalyst-assisted atomic layer deposition (ALD) to form silicon dioxide thin films having enhanced properties and purity on semiconductor substrates. In one invention embodiment, a silicon compound having at least two silicon atoms, e.g., Si2Cl6, is used as the first reactant in an ALD process. In a second invention embodiment, a tertiary aliphatic amine compound, e.g., trimethyl amine, is used as the catalyst in an ALD process. In a third invention embodiment, a silicon compound having at least two silicon atoms is used as the first reactant and a tertiary aliphatic amine is used as the catalyst in an ALD process. In other invention embodiments, methods for hardening the deposited silicon dioxide thin films are provided, optimum temperature and pressure conditions for carrying out the methods of this invention are established, and alternative reaction/purging process sequences for the methods of this invention are described.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flow chart that schematically illustrates the steps of the ALD methods of this invention for forming a silicon dioxide thin film on a substrate.
  • FIG. 2 is a schematic illustration of the several chemical reaction steps, showing what is theorized to be the underlying chemistry, on which the improved ALD methods of this invention are based.
  • FIG. 3 compares the silicon dioxide deposition rate on a substrate for an ALD method according to this invention with that for a prior art ALD process.
  • FIG. 4 compares the silicon “richness” of a thin film SiO2 layer formed on a substrate using an ALD method according to this invention with that for a prior art ALD process.
  • FIG. 5A compares the silicon bonding status of silicon in a SiO2 monolayer formed using an ALD method according to this invention with that for a prior art ALD process. FIG. 5B schematically illustrates what is theorized to be the different silicon chemical bonding arrangements which account for the differences in bonding status established by FIG. 5A.
  • FIG. 6 compares the wet etch rate of a SiO2 thin film formed using an ALD method according to this invention with that for a prior art ALD process.
  • FIG. 7 is a chromatograph confirming the formation of unwanted particulate byproducts having Si—N bonds when an ALD process is carried out according to prior art teachings using a catalyst containing one or more N—H bonds.
  • FIG. 8 illustrates a gas pulsing method of supplying reactant and catalyst feeds to the reactant chamber in accordance with one embodiment of this invention.
  • FIG. 9-12 illustrate alternative possible representative “recipes” or sequencing cycles for gas pulsing/pumping and/or purging to be used in carrying out ALD methods in accordance with this invention.
  • FIG. 13 illustrates how the SiO2 deposition rate on a substrate using an ALD method in accordance with this invention varies in relation to process temperature.
  • FIG. 14 illustrates how the impurity content (as measured by carbon present) of a SiO2 thin film formed using an ALD method in accordance with this invention varies in relation to process temperature.
  • FIG. 15 illustrates how the SiO2 deposition rate on a substrate using an ALD method in accordance with this invention varies in relation to process pressure.
  • FIG. 16 illustrates how the non-uniformity of a SiO2 thin film formed using an ALD method in accordance with this invention varies in relation to process pressure.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • Table 1 below is a summary comparing the theoretical chemical reactions underlying the prior art high-temperature ALD technique and the catalyst-assisted ALD technique of the Klaus '442 patent with three illustrative embodiments of the present invention, as described hereinafter.
    TABLE 1
    High-Temperature ALD Si—OH* + SiCl4 → Si—O—Si—Cl3* + HCl
    Si—Cl* + H2O→ Si—OH* + HCl
    Klaus ′442 patent Si—OH* + C5H5N + SiCl4
    Si—O—Si—Cl3* + HCL + C5H5N
    Si—O—Si—Cl3* + C5H5N + H2O
    → Si—O—OH* + HCl + C5H5N
    Present Invention: Si—OH* + Si2Cl6 + C5H5N
    1st ex. embodiment → Si—O—Si(Cl2)—Si—Cl3* + HCl + C5H5N
    Si—O—Si(Cl2)—Si—Cl3* + C5H5N + H2O
    → Si—O—Si—O—Si—OH* + HCl + C5H5N
    Present Invention: Si—OH* + SiCl4 + R3N
    2nd ex. embodiment → Si—O—Si—Cl3* + HCl + C5H5N
    Si—O—Si—Cl3* + R3N + H2O
    → Si—O—Si—OH* + HCl + C5H5N
    Present Invention: Si—OH* + Si2Cl6+ R3N
    3rd ex. embodiment → Si—O—Si(Cl2)—Si—Cl3* + HCL + R3N
    Si—O—Si(Cl2)—Si—Cl3* + R3N + H2O
    → Si—O—Si—O—Si—OH* + HCl + R3N

    (Wherein the asterisk* designates the surface species)
  • Table 2 below is a summary of illustrative combinations of catalyst, first reactant and second reactant corresponding to different illustrative embodiments of the present invention as described hereinafter.
    TABLE 2
    2nd
    Catalyst 1st reactant reactant
    1st ex. Ammonia, amine Si2Cl6 H2O,
    embodiment H2O2,
    ozone
    2nd ex. Tertiary aliphatic amine SiCl4 H2O,
    embodiment (R3N) H2O2,
    ozone
    3rd ex. Tertiary aliphatic amine Si2Cl6 H2O,
    embodiment (R3N) H2O2,
    ozone
  • FIG. 1 is a flow chart that schematically illustrates the several steps, procedures and sequential chemical reactions which apply generically to the methods of this invention for forming silicon dioxide thin films on a substrate by means of a catalyzed atomic layer deposition (ALD) procedure. The steps illustrated in the flow chart of FIG. 1 are discussed below.
  • Step 110
  • A suitable functionalized substrate is loaded into a reaction chamber.
  • Step 120
  • The substrate is preheated until the temperature of the substrate reaches a suitable temperature for starting the silicon dioxide ALD process, typically about 25°-150° C. The reaction chamber is exhausted either at the same time as or immediately following the preheating. Evacuating the chamber might typically take under 60 seconds.
  • Step 130
  • A new silicon dioxide layer is formed on the substrate surface by ALD. The cycle is repeated until a desired thickness of a silicon dioxide thin film is grown on the substrate. Step 130 is comprised of substeps 132-138, which are discussed individually below.
  • Steps 132-138
  • Step 132
  • A mixture of the first reactant and catalyst is supplied to the reaction chamber. The catalyst acts by lowering reaction activation energy of the first reactant on the substrate. As a result, the process temperature is lowered to about room temperature or slightly above room temperature.
  • When the first reactant is supplied, the process temperature in the chamber is typically about 25°-150° C., preferably about 90°-110° C. The process pressure in the chamber is typically about 0.1˜100 torr, preferably about 0.5˜5 torr. An inert gas, for example, argon (Ar), may be supplied to the chamber along with first reactant and catalyst.
  • The H of the —OH reaction sites reacts with a halogen atom of the first reactant in the presence of the first base catalyst to form halogen acid. The halogen acid is neutralized with the first base catalyst, and a salt is produced. At the same time, Si atoms of the first reactant react with the 0 on a reaction site on the substrate to form a chemisorbed layer of the first reactant.
  • Step 134
  • By-products of the first reaction process (step 132), for example, salt, unreacted first reactant, etc. are removed.
  • Step 136
  • A mixture of the second reactant (which contains O and H) and a second base catalyst is now supplied to the chamber causing the chemisorbed layer of the first reactant to chemically react with the second reactant.
  • An example of the second reactant is H2O, H2O2, or ozone. In one preferred embodiment, the second base catalyst is the same as the first base catalyst.
  • When the second reactant is supplied to the reaction chamber, the ranges of temperature and pressure in the chamber are typically substantially the same as the ranges of temperature and pressure used in step 132.
  • In this step, the O element of the second reactant reacts with Si which is chemisorbed on the substrate surface. In the presence of the second base catalyst, the H element of the second reactant reacts with the halogen atom, so halogen acid is produced. Salt is then produced by neutralization between such halogen acid and the base catalyst.
  • Step 138
  • The by-products of the second reaction process (step 136) are removed.
  • Step 140
  • The reaction chamber is exhausted to remove any remaining deposition by-products in the chamber, a step desirably completed in about 90 seconds. During step 140, no gas is supplied to the chamber.
  • Step 150
  • The substrate with an SiO2 thin film along its surface is unloaded from the chamber.
  • Step 160
  • This step involves hardening the newly deposited SiO2 thin film. There are three alternative methods which may be used for hardening the silicon dioxide layer deposited in accordance with this invention.
      • 1. Thermal treatment: Annealing the substrate at about 300° C.-900° C. in the presence of a substantially inert gas (i.e., inert relative to the substrate surface), e.g., N2, O2, H2, Ar, etc.
      • 2. Plasma treatment: Annealing the substrate at about 200° C.-700° C. in the presence of O2 or H2.
      • 3. O3 treatment, typically at about 25° C.-700° C.
  • Any of the three foregoing hardening methods may be used in situ with SiO2 thin films grown using a catalyzed ALD process in accordance with this invention. Hardening methods 2 and 3 above have been found to work especially well.
  • First Exemplary Embodiment
  • According to a first exemplary embodiment of the present invention, silicon dioxide thin films are grown on the functionalized surface of a substrate having hydroxyl groups using Si2Cl6 or a comparable compound, e.g., a silicon halide having two or more silicon atoms, as the first reactant; a compound containing 0 and H elements, e.g., H2O and/or H2O2, as the second reactant; and a base compound, e.g., ammonia or an amine, as the catalyst. For this embodiment of the invention, the first reactant is a silicon compound having at least two silicon atoms, for example a silicon-halide compound selected from the group consisting of: Si2X6, Si3X8, Si4X10, and Si3X6 (Triangle), which has the following chemical structure:
    Figure US20060040510A1-20060223-C00001

    wherein X is a halogen such as F, Cl, Br, I. In a preferred embodiment, the first reactant is selected from the group consisting of Si2Cl6, Si3Cl8, Si4Cl10 and Si3Cl6(Triangle). For this embodiment of the invention, the second reactant is a compound containing oxygen (O) and hydrogen (H) components selected from the group consisting of H2O; H2O2; and ozone.
  • As schematically illustrated in FIG. 2, by exposing the hydroxyl group functionalized surface of the substrate to a mixture of the first reactant and the catalyst in a first step, a chemisorbed layer of the first reactant is formed along the substrate surface. Unreacted first reactant and byproducts are then removed from the region of the substrate. In the next process step, also illustrated in FIG. 2, the chemisorbed layer of the first reactant is reacted with the second reactant in the presence of a base compound as the catalyst, which may be the same catalyst used in reacting the first reactant or a different base compound catalyst. Unreacted second reactant and byproducts of this second reaction step are removed from the substrate region. The surface of the substrate, now containing a new SiO2 monolayer, is restored to the hydroxyl group functionalized state ready to begin a new ALD cycle.
  • Although the foregoing process is generally similar to the catalyst-assisted ALD technique described in the Klaus '442 patent, the selection of different reactants and catalyst(s) has been found to have dramatic and surprising impacts on the nature and quality of the thin film surface layer of the substrate. One important difference is that whereas the Klaus '442 patent teaches the use of SiCl4, a silicon halide having only a single silicon atom, the above-described embodiment of the present invention utilizes a silicon halide, e.g., Si2Cl6, that has at least two silicon atoms. It has been found in accordance with this invention that this difference results in a significant improvement in the growth rate. In particular, it has been found that a SiCl4 monolayer has large spaces between the molecules. In the case of SiCl4, when a Si atom reacts with the O—H site on the substrate and forms a single bond with O, SiCl4 is rotated. Due to the steric hindrance of Cl (which does not participate in the reaction), the next O—H site cannot react with another SiCl4. By contrast, a Si2Cl6 monolayer can react with two Si atoms at the same time and thus speeds up the ALD process. Furthermore, the quality of the resulting silicon dioxide layer is better because the molecular packing along the surface is denser.
  • FIGS. 3-6, as discussed further below, compare the properties and performance of SiO2 monolayers grown on a substrate using the hexachlorodisilicon (HCD) method of this invention with SiO2 monolayers grown using the tetrachlorosilicon (TCS) method of Klaus '442.
  • For example, the graph in FIG. 3 compares the deposition rates of SiO2 monolayers on a substrate utilizing the prior art SiCl4 approach with those obtained utilizing the Si2Cl6 technique of this invention at varying process temperatures. FIG. 3 shows that, at every process temperature, the deposition rate utilizing Si2Cl6 (circular points) is approximately double the deposition rate using SiCl4 (square points).
  • FIG. 4 compares the “silicon richness” of a thin film layer grown on a substrate using the prior art TCS (SiCl4) approach with that of a thin film grown using the HCD (Si2Cl6) approach of this invention. Using Auger electron spectroscopy to measure atomic concentrations of Si and 0 on the substrate surface at varying sputter times, FIG. 4 shows that the ratio of Si to O using the TCS technique is 1:1.95 while the Si to O ratio using the HCD technique is 1:1.84. In other words, the thin film SiO2 layer which is formed using the HCD approach is desirably “richer” in silicon.
  • FIG. 5A uses XPS data to compare the silicon bonding status of silicon in a SiO2 monolayer grown using the HCD approach of this invention with the bonding status of silicon in a monolayer grown using the prior art TCS method. The difference in bonding status seen in the graph of FIG. 5A, as well as the difference in silicon “richness” shown by FIG. 4, is believed to be explained by the different type of silicon bonds formed when the SiO2 monolayer is grown by the HCD method instead of the TCS method. As schematically illustrated in FIG. 5B, the TCS method is believed to result in adjacent silicon atoms in a SiO2 monolayer being bonded to each other only through an intermediate oxygen atom, whereas the HCD method of this invention is believed to result in at least some direct Si—Si bonding in the SiO2 monolayer.
  • FIG. 6 compares the wet etch rate of SiO2 thin films formed using the HCD method of this invention with the wet etch rate for SiO2 thin films formed using the prior art TCS method. (The vertical scale of the bar graph of FIG. 6 has been made discontinuous to accommodate the data.) FIG. 6 shows that the wet etch rate of SiO2 thin films formed using the HCD method of this invention is about six times better than for SiO2 thin films formed using the TCS method.
  • Second Exemplary Embodiment
  • According to a second exemplary embodiment of this present invention, silicon dioxide thin films are grown on a functionalized surface of a substrate using a silicon halide as the first reactant; a second reactant containing O and H atoms, e.g., H2O and/or H2O2; and a tertiary aliphatic amine catalyst. In this embodiment of the invention, by exposing the functionalized surface of the substrate to a mixture of the first reactant and the catalyst in a first process step, a chemisorbed layer of the first reactant is formed along the substrate surface. Unreacted first reactant and byproducts are then removed from the region of the substrate. In the next process step, the chemisorbed layer of the first reactant is reacted with the second reactant in the presence of the tertiary aliphatic amine catalyst. Byproducts of this second reaction step are removed from the substrate region.
  • In accordance with this invention embodiment, it has been found that the use of a tertiary aliphatic amine as the reaction catalyst produces novel and entirely unexpected benefits in terms of process efficiency, the elimination or minimization of unwanted byproducts, and in the purity and quality of resultant SiO2 thin films deposited on the substrate. More particularly, it has been found that if an amine which has even one nitrogen-hydrogen (N—H) bond, for example ammonia (NH3) or a unitary or binary aliphatic amine (NR, H2 or NR2H), is used as the catalyst, there will be a tendency to form unwanted byproducts having silicon-nitrogen (Si—N) bonds, as illustrated in equations (1) and (2) below:
    SiCl4+NR2H→Cl3Si—NR2+HCl
    SiCl4+NH3→Cl3Si—NH4 +Cl(salt)  (2)
    wherein R is an aliphatic group (CxHy) having between about 1 and 5 carbon atoms, and further wherein the aliphatic groups R may be the same or different.
  • It has been found, however, that byproducts having Si—N bonds (for example, as illustrated on the right sides of equations (1) and (2) above) are main causes of particulate formation which leads to surface layer impurities and degrades the quality of the deposited SiO2 thin films. By contrast, if a tertiary aliphatic amine catalyst having the general formula NR3, where R is an aliphatic group (CxHy) having between about 1 and 5 carbon atoms, is used as the reaction catalyst, it has been found that substantially no particulate byproducts having Si—N bonds are formed. As a result, much purer SiO2 thin films having higher quality and superior uniformity are deposited by the methods of this invention.
  • FIG. 7 and Table 3 as discussed below demonstrate the validity and the enormous importance of this finding. FIG. 7 is a result of RGA analysis that confirms the formation of solid particulate byproducts when an ALD process is carried out using an amine catalyst that is not a tertiary aliphatic amine. FIG. 7 is based on a catalyzed ALD process as taught by Klaus '442 using SiCl4 as the first reactant with dimethylamine ((H3C)2NH), an amine with a single N—H bond, as the catalyst. A residual mass spectrum apparatus was connected to the ALD reaction chamber to analyze byproducts coming from the reaction. The mass spectrum of FIG. 7 confirmed the formation of Cl3Si—N(CH3)2 as an unwanted byproduct of the reaction. Such byproduct formation means that some of the Si from the SiCl4 first reactant is being wasted in forming the byproduct instead of being deposited on the substrate surface as SiO2.
  • Further evidence of the advantage of this invention embodiment relative to the prior art is shown in Table 3 below.
    TABLE 3
    Triethylamine
    Catalyst Trimethylamine Dimethylamine NH3
    Particle Several tens Several thousands Tens of thousands
    (size .16 μm)
    @Tencor
  • Table 3 compares the number of undesired particles (having a size of at least 0.16 μm) which were deposited on substrate surfaces of the same area when catalyzed ALD was carried out using SiCl4 as a first reactant with different amines as the catalyst. Table 3 shows that using ammonia (NH3) as the ALD catalyst, a molecule with three vulnerable N—H bonds, the ALD process resulted in tens of thousands of byproduct particles on the surface of the SiO2 thin film. This very high level of particulate contamination on an SiO2 thin film adversely affects performance of the semiconductor device and is completely unacceptable for many of the most demanding modern semiconductor applications.
  • Table 3 also shows that the use of dimethylamine as the ALD catalyst, a molecule with only one vulnerable N—H bond, is effective in somewhat reducing the production of particulate byproduct by about one order of magnitude. Even particulate production in the thousands range on an SiO2 thin film, as obtained with dimethylamine catalyst, is still far in excess of acceptable limits for very high performance semiconductor devices. Table 3 further shows, however, that the use of trimethylamine as the ALD catalyst, thereby eliminating all vulnerable N—H bonds, has the dramatic and unexpected result of reducing the production of particles of byproduct to only several tens, a three order of magnitude reduction relative to ammonia, and a two order of magnitude reduction even relative to dimethylamine.
  • Another advantage of this embodiment of the invention relative to the prior art is that this invention embodiment uses a tertiary aliphatic amine catalyst instead of the pyridine which is the preferred catalyst for example in the Klaus '442 patent. Pyridine is a heterocyclic compound containing a ring of five carbon atoms and one nitrogen atom having the formula C5H5N. It exists at room temperature as a toxic liquid having a pungent, characteristic odor, which must be carefully handled. When used as a catalyst in an ALD process, pyridine must be vaporized to the gaseous state (the boiling point of pyridine is 115.5° C.). Thus, the equipment for treating pyridine is complicated, and a pyridine supply line is easily contaminated.
  • By contrast, a low molecular weight tertiary aliphatic amine, for example trimethylamine, is a gas at ambient conditions, which makes it easier to use than a catalyst prone to undergo a phase change at normal reaction conditions. Furthermore, the toxicity of trimethylamine is much lower than that of pyridine and the boiling point of trimethylamine is only 3˜4° C.)
  • Third Exemplary Embodiment
  • According to a third particularly preferred embodiment of the present invention, many if not all of the advantages and benefits of both of the earlier-described embodiments of this invention can be realized. In this embodiment, silicon dioxide thin films are grown on a functionalized surface of a substrate using a silicon compound having at least two or more silicon atoms, e.g., a silicon halide such as Si2Cl6, as the first reactant; a compound containing O and H atoms, e.g., H2O and/or H2O2, as the second reactant; and, a tertiary aliphatic amine catalyst.
  • Thus, in accordance with this invention embodiment, the functionalized surface of the substrate is exposed to a mixture of the first reactant and the tertiary aliphatic amine catalyst in a first process step to form a chemisorbed layer of the first reactant along the substrate surface. Unreacted first reactant and any byproducts are then removed from the region of the substrate. In the next process step, the chemisorbed layer of the first reactant is reacted with the second reactant in the presence of the tertiary aliphatic amine catalyst. Byproducts of this second reaction step are removed from the substrate region.
  • In still another embodiment of the present invention, it has been found that the use of a gas pulsing/purging method for one or more of the several process steps 132-138 of FIG. 1 can improve the efficiency of the methods of this invention, reduce process contamination, as well as improve the quality of resulting SiO2 thin films grown on substrates. FIG. 8 illustrates a gas pulsing method for carrying out steps 132-138 of FIG. 1, as described below.
  • Step 132
  • A first reactant and a suitable catalyst are flowed into the reaction chamber through separate respective supply lines. At this time, inert gas, for example, argon gas, can be flowed into the chamber through a second reactant supply line to prevent the contamination from the mixture gas of first reactant and a catalyst.
  • Step 134
  • Inert gas for purging flows into the chamber through each of the first reactant supply line, the second reactant supply line, and the catalyst supply line.
  • Step 136
  • A second reactant which contains O and H, and a suitable catalyst are flowed into the chamber through separate respective supply lines. At this time, inert gas, for example, argon gas, can be flowed into the chamber through the first reactant supply line to purge the first reactant supply line.
  • Step 138
  • Inert gas for purging flows into the chamber through each of the first reactant supply line, the second reactant supply line, and the catalyst supply line.
  • Some representative “recipes” or sequences for gas pulsing/pumping or purging the various feed lines and the reactant chamber in accordance with steps 132-138 of FIG. 1 over 10 second process time intervals are illustrated in FIGS. 9-12. FIG. 9 illustrates a process purge sequence comprising the following steps per cycle being conducted at and over selected process time periods using an inert gas to purge and remove byproducts: 0-2 seconds process time—HCD feeding; 2-4 seconds process time—purging; 4-7.5 seconds process time-H2O feeding; and 7.5-10 seconds—purging. FIG. 10 illustrates a process pumping sequence, wherein the pumping pressure is lower than the first and second reactant supply pressures, comprising the sequenced steps per cycle of: 0-2 seconds process time—HCD feeding; 2-4 seconds process time—pumping; 4-7.5 seconds process time—H2O feeding; and 7.5-10 seconds process time—pumping. FIG. 11 illustrates a process purge-pumping sequence, wherein pumping is used after purging, comprising the sequences steps per cycle of: 0-2 seconds process time—HCD feeding; 2-3 seconds process time—purging; 3-4 seconds process time—pumping; 4-7.5 seconds process time—H2O feeding; 7.5-8.5 seconds process time—purging; and 8.5-10 seconds process time—pumping. FIG. 12 illustrates a process pumping—purge sequence, wherein purging is used after pumping, comprising the sequenced steps per cycle of: 0-2 seconds process time—HCD feeding; 2-3 seconds process time—pumping; 3-4 seconds process time—purging; 4-7.5 seconds process time—pumping; 7.5-8.5 seconds process time pumping; and 8.5-10 seconds process time—purging.
  • In yet another embodiment of the present invention, temperature conditions for carrying out catalyst-assisted ALD for growing SiO2 thin films on substrates according to this invention are optimized by balancing two competing process parameters. On the one hand, as illustrated in FIG. 13, the deposition rate for forming SiO2 thin films using catalyst-assisted ALD and a multiple-silicon atom compound (e.g., Si2Cl6) as the first reactant is inversely proportional to temperature. FIG. 13 shows that, in general, the higher the process temperature, the slower the deposition rate. This appears to be due to desorption rate, and it is a distinctive feature of an ALD process because ALD is a surface reaction. The higher the process temperature, the higher the surface desorption activation energy of atoms participating in the reaction. As a result, the “staying time” at the surface becomes shorter than the necessary minimum time for the reaction to take place, in accordance with the following equation:
    k d =Ae −E d /RT
      • kd: Desorption Rate
      • A: Arrhenius Constant
      • Ed: Desorption Activation Energy
        • R: Gas Constant
        • T: Temperature
  • The higher the process temperature, the more easily the O—H chain at the substrate surface is dehydroxylated. Thus, the number of reaction sites along the surface is reduced, and the deposition rate is reduced.
  • On the other hand, as illustrated in FIG. 14, a SIMS (secondary ion mass spectrometer) graph of carbon content over time at three different process temperatures, the carbon content of an ALD-deposited SiO2 thin film also varies according to process temperature. In general, at lower process temperatures, carbon-containing byproducts of the ALD reaction processes are not fully removed from the substrate surface during processing and become trapped in the SiO2 thin films being deposited. The resulting increase in the impurity level of the thin films results in a lower quality semiconductor device.
  • Accordingly, these two process parameters must be balanced against one another to optimize the process temperature conditions. Based on the foregoing considerations, it has been determined in accordance with this embodiment of the invention that the optimum process temperature range is about 90°-110° C.
  • In still another embodiment of the present invention, pressure conditions for carrying out catalyst-assisted ALD for growing SiO2 thin films on substrates according to this invention are optimized by balancing two competing process parameters. On the one hand, as illustrated in FIG. 15, the deposition rate for forming SiO2 thin films using catalyst-assisted ALD is directly proportional to process condition pressure, i.e., the higher the pressure, the thicker the layer of SiO2 deposited over a given time period/number of ALD cycles.
  • On the other hand, FIG. 16 illustrates that a non-linear relationship exists between process pressure and non-uniformity of the SiO2 thin film. Thus, FIG. 16 shows that, up to a point, higher process pressure reduces non-uniformity of the layers deposited; but, beyond that point, higher pressure is correlated with higher non-uniformity.
  • Accordingly, these process parameters must be balanced against each other to optimize the process pressure conditions. Based on the foregoing considerations, it has been determined in accordance with this embodiment of the invention that the optimum process pressure range is about 500 mmtorr-5 torr.
  • It will be apparent to those skilled in the art that other changes and modifications may be made in the above-described improved catalyst-assisted ALD formation of SiO2 thin layers on substrate surfaces for use in high performance semiconductor devices without departing from the scope of the invention described herein, and it is intended that all matter contained in the above description shall be interpreted in an illustrative and not a limiting sense.

Claims (21)

1-36. (canceled)
37. A semiconductor device comprising a substrate having a highly uniform, substantially impurity-free silicon dioxide thin film having enhanced silicon richness along at least a surface thereof, wherein said silicon dioxide thin film was formed by the steps of:
(a) loading the substrate into a chamber;
(b) supplying a first reactant, a catalyst, and optionally an inert gas to the chamber, wherein said first reactant is a silicon halide compound having at least two silicon atoms and said catalyst is selected from the group consisting of ammonia and amine;
(c) purging reaction byproducts and unreacted first reactant and catalyst from the chamber;
(d) supplying a second reactant, a catalyst, and optionally an inert gas to the chamber, wherein said second reactant is a compound having 0 components and said catalyst is selected from the group consisting of ammonia and amine;
(e) purging reaction byproducts and unreacted second reactant and catalyst from the chamber; and,
(f) repeating steps (a)-(e) until the silicon dioxide thin film reaches the desired thickness.
38. A semiconductor device according to claim 37 wherein the method of forming the silicon dioxide thin film further included the step of using a tertiary aliphatic amine as the catalyst.
39. A semiconductor device according to claim 37 wherein the first reactant was Si2Cl6.
40. A method according to claim 37 wherein said first reactant is one selected from the group consisting of Si2X6, Si3X8, Si4X10, and Si3X6 (Triangle), wherein X is a halogen.
41. A semiconductor device according to claim 37 wherein said catalyst is trimethyl amine.
42. A semiconductor device according to claim 37 comprising carrying out steps (b) through (e) according to the following sequence: feeding said first reactant and catalyst to said chamber during a process time period t1; purging the chamber with an inert gas during a time period t2 immediately following period t1; pumping the chamber to at least partially evacuate inert gas and other gaseous materials from the chamber during a time period t3 immediately following period t2; feeding said second reactant and catalyst to the chamber during a time period t4 immediately following period t3; purging the chamber with an inert gas during a time period t5 immediately following period t4; and, pumping the chamber to at least partially evacuate inert gas and other gaseous materials from the chamber during a time period t6 immediately following period t5.
43. A semiconductor device according to claim 37 comprising carrying out steps (b) through (e) according to the following sequence: feeding said first reactant and catalyst to said chamber during a process time period t1; pumping the chamber to at least partially evacuate gaseous materials from the chamber during a time period t2 immediately following period t1; purging the chamber with an inert gas during a time period t3 immediately following period t2; feeding said second reactant and catalyst to the chamber during a time period t4 immediately following period t3; pumping the chamber to at least partially evacuate gaseous materials from the chamber during a time period t5 immediately following period t4; and, purging the chamber with an inert gas during a time period t6 immediately following period t5.
44. A semiconductor device comprising at least a substrate having a silicon dioxide layer deposited on a surface of said substrate using a catalyst-assisted atomic layer deposition process comprising the sequential steps of exposing a functionalized surface of the substrate to a first mixture consisting essentially of first reactant and first catalyst and thereafter exposing that surface to a second mixture consisting essentially of second reactant and second catalyst to form a silicon dioxide monolayer on the substrate surface, and further comprising one or more of the following:
(a) using a first reactant consisting essentially of at least one member selected from the group consisting of silicon compounds having at least two silicon atoms;
(b) using a first catalyst consisting essentially of at least one member selected from the group consisting of tertiary aliphatic amine compounds; and,
(c) using a first reactant consisting essentially of at least one member selected from the group consisting of silicon compounds having at least two silicon atoms in combination with using a first catalyst consisting essentially of at least one member selected from the group consisting of tertiary aliphatic amine compounds.
45. A semiconductor device according to claim 44 wherein said first reactant used in forming said silicon dioxide layer consists essentially of a silicon-halide compound.
46. A semiconductor device according to claim 44 wherein said first catalyst used in forming said silicon dioxide layer consists essentially of a tertiary aliphatic amine compound having the general formula NR3, where each R represents the same or a different aliphatic group having from 1 to 5 carbon atoms.
47. A semiconductor device according to claim 44 wherein said first reactant used in forming said silicon dioxide layer consists essentially of Si2Cl6 and said first catalyst used in forming said silicon dioxide layer consists essentially of trimethyl amine.
48. A semiconductor device formed according to claim 44 wherein the silicon dioxide layer deposition is carried out at a temperature ranging from about 90°-110° C.
49. A semiconductor device formed according to claim 44 wherein the silicon dioxide layer deposition is carried out at a pressure ranging from about 500 mmtorr-5 torr.
50. A semiconductor device formed according to claim 44 wherein the silicon dioxide layer deposition further includes removing unreacted reactant, catalyst and reaction byproducts from the region of the substrate surface following each reaction step.
51. A semiconductor device formed according to claim 44 wherein the silicon dioxide layer deposition further includes: (a) a first reaction period during which first reactant and catalyst are fed through respective first reactant and catalyst feed lines to the substrate surface along with inert gas fed through a second reactant feed line; (b) a first purge period during which the feeds of first reactant and catalyst are stopped and, instead, inert gas is fed through the first and second reactant and catalyst feed lines; (c) a second reaction period during which second reactant and catalyst are fed through their respective feed lines to the substrate surface along with inert gas fed through the first reactant feed line; and, (d) a second purge period during which the feeds of second reactant and catalyst are stopped and, instead, inert gas is fed through the first and second reactant and catalyst feed lines.
52. A semiconductor device formed according to claim 44, further comprising repeating the silicon dioxide layer deposition multiple times on the same substrate to obtain a silicon dioxide thin film of a desired thickness greater than one monolayer.
53. A semiconductor device formed according to claim 44, further comprising hardening the deposited silicon dioxide layer.
54. A semiconductor device formed according to claim 53 wherein said hardening step is selected from one of the following:
(a) a thermal treatment comprising annealing the silicon dioxide layer at about 300° C.-900° C. in the presence of an inert gas selected from the group consisting of N2, O2, H2 and Ar;
(b) a plasma treatment comprising annealing the silicon dioxide layer at about 200° C.-700° C. in the presence of O2 or H2; or,
(c) an ozone treatment comprising exposing the silicon dioxide layer to O3 at a temperature of about 25° C.-700° C.
55. A substrate having a silicon dioxide thin film deposited on a surface of said substrate by the steps of:
(a) loading the substrate into a chamber;
(b) supplying a first reactant, a catalyst, and optionally an inert gas to the chamber, wherein said first reactant is a silicon-halide compound having at least two silicon atoms and said catalyst is selected from the group consisting of ammonia and amine;
(c) purging reaction byproducts and unreacted first reactant and catalyst from the chamber;
(d) supplying a second reactant, a catalyst, and optionally an inert gas to the chamber, wherein said second reactant is a compound having O components and said catalyst is selected from the group consisting of ammonia and amine;
(e) purging reaction byproducts and unreacted second reactant and catalyst from the chamber; and,
(f) repeating steps (a)-(e) until the silicon dioxide thin film reaches a desired thickness greater than one monolayer.
56. A substrate formed according to claim 55 wherein said first reactant is Si2Cl6.
US11/225,999 2002-07-08 2005-09-14 Semiconductor device with silicon dioxide layers formed using atomic layer deposition Abandoned US20060040510A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/225,999 US20060040510A1 (en) 2002-07-08 2005-09-14 Semiconductor device with silicon dioxide layers formed using atomic layer deposition

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR20020039428 2002-07-08
KR02-39428 2002-07-08
KR10-2003-0006370A KR100505668B1 (en) 2002-07-08 2003-01-30 Method for forming silicon dioxide layer by atomic layer deposition
KR03-6370 2003-01-30
US10/459,943 US6992019B2 (en) 2002-07-08 2003-06-12 Methods for forming silicon dioxide layers on substrates using atomic layer deposition
US11/225,999 US20060040510A1 (en) 2002-07-08 2005-09-14 Semiconductor device with silicon dioxide layers formed using atomic layer deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/459,943 Division US6992019B2 (en) 2002-07-08 2003-06-12 Methods for forming silicon dioxide layers on substrates using atomic layer deposition

Publications (1)

Publication Number Publication Date
US20060040510A1 true US20060040510A1 (en) 2006-02-23

Family

ID=30772286

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/459,943 Expired - Lifetime US6992019B2 (en) 2002-07-08 2003-06-12 Methods for forming silicon dioxide layers on substrates using atomic layer deposition
US11/225,999 Abandoned US20060040510A1 (en) 2002-07-08 2005-09-14 Semiconductor device with silicon dioxide layers formed using atomic layer deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/459,943 Expired - Lifetime US6992019B2 (en) 2002-07-08 2003-06-12 Methods for forming silicon dioxide layers on substrates using atomic layer deposition

Country Status (6)

Country Link
US (2) US6992019B2 (en)
EP (1) EP1383163B1 (en)
JP (1) JP4422445B2 (en)
KR (1) KR100505668B1 (en)
CN (1) CN100343960C (en)
TW (1) TWI237311B (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045688A1 (en) * 2005-09-01 2007-03-01 Fujitsu Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
DE102006027932A1 (en) * 2006-06-14 2007-12-20 Aixtron Ag Method for the deposition of layers in a process chamber used in the production of electronic components comprises using a first starting material containing two beta-diketones and a diene coordinated with a ruthenium atom
US20080113096A1 (en) * 2006-11-14 2008-05-15 Maitreyee Mahajani Method of depositing catalyst assisted silicates of high-k materials
US20080254204A1 (en) * 2007-04-16 2008-10-16 Infineon Technologies Ag Dielectric apparatus and associated methods
US20090275214A1 (en) * 2008-05-02 2009-11-05 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ald) processes and methods of fabricating semiconductor structures
US20100029072A1 (en) * 2008-07-31 2010-02-04 Park Jae-Eon Methods of Forming Electrical Interconnects Using Thin Electrically Insulating Liners in Contact Holes
US20100105192A1 (en) * 2008-10-29 2010-04-29 Naonori Akae Method of Manufacturing Semiconductor Device and Substrate Processing Apparatus
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
WO2011042882A3 (en) * 2009-10-07 2011-09-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude HIGH DEPOSITION RATE OF SiO2 USING ATOMIC LAYER DEPOSITION AT EXTRA LOW TEMPERATURE
JP2014183219A (en) * 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, substrate processing device, and program
JP2014183218A (en) * 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, substrate processing device, and program
US8993063B2 (en) 2010-06-08 2015-03-31 President And Fellows Of Harvard College Low-temperature synthesis of silica
US10319696B1 (en) 2018-05-10 2019-06-11 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
EP1490529A1 (en) * 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100676597B1 (en) * 2005-02-28 2007-01-30 주식회사 하이닉스반도체 Method for fabricating flash memory device
JP2006261434A (en) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
JP4456533B2 (en) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 Silicon oxide film forming method, silicon oxide film forming apparatus, and program
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007043147A (en) * 2005-07-29 2007-02-15 Samsung Electronics Co Ltd Method of forming silicon-rich nanocrystal structure using atomic layer deposition process and method of manufacturing nonvolatile semiconductor device using the same
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR100660890B1 (en) * 2005-11-16 2006-12-26 삼성전자주식회사 Method for forming silicon dioxide film using atomic layer deposition
WO2007083651A1 (en) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US8699384B2 (en) * 2006-03-15 2014-04-15 American Teleconferencing Services, Ltd. VOIP conferencing
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
KR100697329B1 (en) * 2006-08-07 2007-03-20 (주)호안건축사사무소 Separative drain apparatus for a basin
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US7964441B2 (en) * 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5341358B2 (en) * 2008-02-01 2013-11-13 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and substrate processing method
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5384852B2 (en) 2008-05-09 2014-01-08 株式会社日立国際電気 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101203201B1 (en) * 2008-06-13 2012-11-21 도쿄엘렉트론가부시키가이샤 Semiconductor device manufacturing method
JP5518499B2 (en) 2009-02-17 2014-06-11 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP5385001B2 (en) * 2009-05-08 2014-01-08 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US20110008972A1 (en) * 2009-07-13 2011-01-13 Daniel Damjanovic Methods for forming an ald sio2 film
JP2011091362A (en) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, and substrate processing apparatus
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8759234B2 (en) * 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
JP6239079B2 (en) * 2011-12-09 2017-11-29 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6039996B2 (en) * 2011-12-09 2016-12-07 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6049395B2 (en) * 2011-12-09 2016-12-21 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP5951443B2 (en) * 2011-12-09 2016-07-13 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6146874B2 (en) * 2012-03-28 2017-06-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
KR101361454B1 (en) 2012-08-23 2014-02-21 이근수 Method for forming silicone oxide film of semiconductor device
US10109492B2 (en) * 2013-02-25 2018-10-23 Globalfoundries Inc. Method of forming a high quality interfacial layer for a semiconductor device by performing a low temperature ALD process
JP5864637B2 (en) 2013-03-19 2016-02-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
JP5998101B2 (en) 2013-05-24 2016-09-28 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5788448B2 (en) 2013-09-09 2015-09-30 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
WO2015045163A1 (en) * 2013-09-30 2015-04-02 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing device, substrate processing system, and storage medium
CN104752258A (en) * 2013-12-30 2015-07-01 中微半导体设备(上海)有限公司 Cleaning method for plasma-processing chamber
WO2015136673A1 (en) * 2014-03-13 2015-09-17 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing apparatus and recording medium
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6470060B2 (en) * 2015-01-30 2019-02-13 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104911561B (en) * 2015-04-14 2017-12-26 中国计量科学研究院 The method for preparing high thickness evenness nano/submicron SiO2 films
JP6456764B2 (en) * 2015-04-28 2019-01-23 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105870249B (en) * 2016-03-24 2017-10-03 江苏微导纳米装备科技有限公司 A kind of manufacturing process of crystal silicon solar batteries
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10703915B2 (en) 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
JP6456893B2 (en) 2016-09-26 2019-01-23 株式会社Kokusai Electric Semiconductor device manufacturing method, recording medium, and substrate processing apparatus
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11177127B2 (en) 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200040454A1 (en) * 2018-08-06 2020-02-06 Lam Research Corporation Method to increase deposition rate of ald process
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
JP6946248B2 (en) * 2018-09-26 2021-10-06 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
CN111211088B (en) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 Semiconductor device and method of forming the same
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US20200263297A1 (en) * 2019-02-14 2020-08-20 Asm Ip Holding B.V. Deposition of oxides and nitrides
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20210146448A (en) 2019-04-25 2021-12-03 버슘머트리얼즈 유에스, 엘엘씨 Organoaminodisilazane for High-Temperature Atomic Layer Deposition of Silicon Oxide Thin Films
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20210158414A (en) 2019-05-21 2021-12-30 버슘머트리얼즈 유에스, 엘엘씨 Compositions for thermal deposition of silicon-containing films and methods of use thereof
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20200143109A (en) 2019-06-14 2020-12-23 삼성전자주식회사 Semiconductor memory device and method of fabricating the same
US20210384197A1 (en) 2019-06-14 2021-12-09 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of fabricating the same
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113444525A (en) * 2021-06-25 2021-09-28 佛山安亿纳米材料有限公司 Sulfide phosphor with stable luminescence property and atomic layer deposition method for preparing sulfide phosphor with stable luminescence property
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3313792A (en) * 1962-03-16 1967-04-11 Shell Oil Co Process for polymerizing conjugated dienes with a catalyst comprising an aluminum halide, a salt of cobalt or nickel, and a compound of the formula sihxy (4-x)
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
US5470800A (en) * 1992-04-03 1995-11-28 Sony Corporation Method for forming an interlayer film
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
US20020018849A1 (en) * 2000-06-29 2002-02-14 George Steven M. Method for forming SIO2 by chemical vapor deposition at room temperature
US20020047151A1 (en) * 2000-10-19 2002-04-25 Kim Yeong-Kwan Semiconductor device having thin film formed by atomic layer deposition and method for fabricating the same
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20020068466A1 (en) * 2000-12-06 2002-06-06 Seung-Hwan Lee Methods of forming thin films by atomic layer deposition
US20020164890A1 (en) * 2001-05-01 2002-11-07 Kwan Kim Yeong Method of forming silicon containing thin films by atomic layer deposition utilizing s12cl6 and nh3
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030188682A1 (en) * 1999-12-03 2003-10-09 Asm Microchemistry Oy Method of growing oxide films
US6664156B1 (en) * 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US20040096582A1 (en) * 2002-11-14 2004-05-20 Ziyun Wang Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01143221A (en) * 1987-11-27 1989-06-05 Nec Corp Manufacture of insulating thin film
JPH02138471A (en) * 1988-11-18 1990-05-28 Matsushita Electric Ind Co Ltd Production of thin film
JPH04196321A (en) * 1990-11-28 1992-07-16 Hitachi Ltd Method and device for forming film
JPH06132276A (en) 1992-10-22 1994-05-13 Kawasaki Steel Corp Method for forming semiconductor film
JPH09181074A (en) * 1995-12-27 1997-07-11 Fujitsu Ltd Formation of silicon oxynitride film and manufacture of semiconductor device
JPH1060649A (en) * 1996-08-22 1998-03-03 Showa Denko Kk Formation of silica coating film
JP3836553B2 (en) 1996-12-26 2006-10-25 独立行政法人科学技術振興機構 Method for manufacturing silicon insulating film
JP2001002990A (en) 1999-06-21 2001-01-09 Jsr Corp Composition for forming film, formation of film and low- density film
SG99871A1 (en) * 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
JP3549193B2 (en) 2000-03-31 2004-08-04 キヤノン販売株式会社 Method for modifying surface on which film is formed and method for manufacturing semiconductor device
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
TWI262960B (en) * 2003-02-27 2006-10-01 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3313792A (en) * 1962-03-16 1967-04-11 Shell Oil Co Process for polymerizing conjugated dienes with a catalyst comprising an aluminum halide, a salt of cobalt or nickel, and a compound of the formula sihxy (4-x)
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
US5470800A (en) * 1992-04-03 1995-11-28 Sony Corporation Method for forming an interlayer film
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US20030188682A1 (en) * 1999-12-03 2003-10-09 Asm Microchemistry Oy Method of growing oxide films
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20020018849A1 (en) * 2000-06-29 2002-02-14 George Steven M. Method for forming SIO2 by chemical vapor deposition at room temperature
US6465371B2 (en) * 2000-06-30 2002-10-15 Hyundai Electronics Industries Co., Ltd. Method for manufacturing zirconium oxide film for use in semiconductor device
US20020001974A1 (en) * 2000-06-30 2002-01-03 Lim Chan Method for manufacturing zirconium oxide film for use in semiconductor device
US20020047151A1 (en) * 2000-10-19 2002-04-25 Kim Yeong-Kwan Semiconductor device having thin film formed by atomic layer deposition and method for fabricating the same
US20020068466A1 (en) * 2000-12-06 2002-06-06 Seung-Hwan Lee Methods of forming thin films by atomic layer deposition
US20020164890A1 (en) * 2001-05-01 2002-11-07 Kwan Kim Yeong Method of forming silicon containing thin films by atomic layer deposition utilizing s12cl6 and nh3
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US6664156B1 (en) * 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US20040096582A1 (en) * 2002-11-14 2004-05-20 Ziyun Wang Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8216857B2 (en) 2005-09-01 2012-07-10 Fujitsu Semiconductor Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
US20090280578A1 (en) * 2005-09-01 2009-11-12 Fujitsu Microelectronics Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
US7579641B2 (en) 2005-09-01 2009-08-25 Fujitsu Microelectronics Limited Ferroelectric memory device
US8815612B2 (en) 2005-09-01 2014-08-26 Fujitsu Semiconductor Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
US20070045688A1 (en) * 2005-09-01 2007-03-01 Fujitsu Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
US20070293055A1 (en) * 2006-06-14 2007-12-20 Peter Baumann Method for self-limiting deposition of one or more monolayers
DE102006027932A1 (en) * 2006-06-14 2007-12-20 Aixtron Ag Method for the deposition of layers in a process chamber used in the production of electronic components comprises using a first starting material containing two beta-diketones and a diene coordinated with a ruthenium atom
US8114480B2 (en) 2006-06-14 2012-02-14 Aixtron Inc. Method for self-limiting deposition of one or more monolayers
US7897208B2 (en) 2006-11-14 2011-03-01 Applied Materials, Inc. Low temperature ALD SiO2
US20080113096A1 (en) * 2006-11-14 2008-05-15 Maitreyee Mahajani Method of depositing catalyst assisted silicates of high-k materials
US20100227061A1 (en) * 2006-11-14 2010-09-09 Maitreyee Mahajani LOW TEMPERATURE ALD Si02
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US20080254204A1 (en) * 2007-04-16 2008-10-16 Infineon Technologies Ag Dielectric apparatus and associated methods
US7635634B2 (en) * 2007-04-16 2009-12-22 Infineon Technologies Ag Dielectric apparatus and associated methods
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
US20110081786A1 (en) * 2008-05-02 2011-04-07 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ald) processes
US8119543B2 (en) 2008-05-02 2012-02-21 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes
US20090275214A1 (en) * 2008-05-02 2009-11-05 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ald) processes and methods of fabricating semiconductor structures
US20100029072A1 (en) * 2008-07-31 2010-02-04 Park Jae-Eon Methods of Forming Electrical Interconnects Using Thin Electrically Insulating Liners in Contact Holes
US9011601B2 (en) 2008-10-29 2015-04-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20100105192A1 (en) * 2008-10-29 2010-04-29 Naonori Akae Method of Manufacturing Semiconductor Device and Substrate Processing Apparatus
US8367557B2 (en) * 2008-10-29 2013-02-05 Hitachi Kokosai Electric, Inc. Method of forming an insulation film having low impurity concentrations
US8809204B2 (en) 2008-10-29 2014-08-19 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US9269566B2 (en) 2008-10-29 2016-02-23 Hitachi Kokusai Electric Inc. Substrate processing apparatus
WO2010101756A3 (en) * 2009-03-02 2011-01-06 Veeco Instruments Inc. Web substrate deposition system
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
WO2010101756A2 (en) * 2009-03-02 2010-09-10 Veeco Instruments Inc. Web substrate deposition system
WO2011042882A3 (en) * 2009-10-07 2011-09-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude HIGH DEPOSITION RATE OF SiO2 USING ATOMIC LAYER DEPOSITION AT EXTRA LOW TEMPERATURE
US8993063B2 (en) 2010-06-08 2015-03-31 President And Fellows Of Harvard College Low-temperature synthesis of silica
JP2014183218A (en) * 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, substrate processing device, and program
JP2014183219A (en) * 2013-03-19 2014-09-29 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, substrate processing device, and program
US10319696B1 (en) 2018-05-10 2019-06-11 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages
US10797018B2 (en) 2018-05-10 2020-10-06 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages
US11456278B2 (en) 2018-05-10 2022-09-27 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages

Also Published As

Publication number Publication date
JP2004040110A (en) 2004-02-05
KR20040005568A (en) 2004-01-16
EP1383163A2 (en) 2004-01-21
JP4422445B2 (en) 2010-02-24
KR100505668B1 (en) 2005-08-03
US6992019B2 (en) 2006-01-31
EP1383163B1 (en) 2012-03-28
US20040018694A1 (en) 2004-01-29
EP1383163A3 (en) 2004-07-07
TWI237311B (en) 2005-08-01
CN100343960C (en) 2007-10-17
CN1480998A (en) 2004-03-10
TW200407981A (en) 2004-05-16

Similar Documents

Publication Publication Date Title
US6992019B2 (en) Methods for forming silicon dioxide layers on substrates using atomic layer deposition
US20220384176A1 (en) Methods For Depositing Blocking Layers On Metal Surfaces
US7084076B2 (en) Method for forming silicon dioxide film using siloxane
US7488694B2 (en) Methods of forming silicon nitride layers using nitrogenous compositions
US7077902B2 (en) Atomic layer deposition methods
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TWI265207B (en) Preparation of metal silicon nitride films via cyclic deposition
US7067438B2 (en) Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7547952B2 (en) Method for hafnium nitride deposition
TWI426547B (en) Treatment processes for a batch ald reactor
US7077904B2 (en) Method for atomic layer deposition (ALD) of silicon oxide film
US20070031598A1 (en) Method for depositing silicon-containing films
US20020162506A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR20150121217A (en) LOW TEMPERATURE ATOMIC LAYER DEPOSITION OF FILMS COMPRISING SiCN OR SiCON
JP2004260192A (en) Method for forming silicon dioxide film using siloxane compound
KR100564609B1 (en) Method for forming silicon dioxide film using siloxane compound
KR100555552B1 (en) Methods for forming silicon dioxide layers on substrates using atomic layer deposition and semiconductor device obtained therefrom
CN112567071A (en) Method for increasing the deposition rate of an ALD process
JP7156999B2 (en) Silicon-containing compound for forming silicon-containing thin film, and method for forming silicon-containing thin film
TW202043542A (en) Compositions and methods using same for thermal deposition silicon-containing films
KR102592360B1 (en) Method of forming thin film using material of chemical purge
CN111876749A (en) Method for improving thickness difference of silicon wafer film in furnace tube process
KR100541511B1 (en) Method of forming an atomic layer and method of forming a thin film using the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION