US20060022247A1 - Transparent amorphous carbon structure in semiconductor devices - Google Patents

Transparent amorphous carbon structure in semiconductor devices Download PDF

Info

Publication number
US20060022247A1
US20060022247A1 US11/215,614 US21561405A US2006022247A1 US 20060022247 A1 US20060022247 A1 US 20060022247A1 US 21561405 A US21561405 A US 21561405A US 2006022247 A1 US2006022247 A1 US 2006022247A1
Authority
US
United States
Prior art keywords
layer
amorphous carbon
memory device
carbon layer
gate structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/215,614
Inventor
Zhiping Yin
Weimin Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/215,614 priority Critical patent/US20060022247A1/en
Publication of US20060022247A1 publication Critical patent/US20060022247A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon

Definitions

  • the present invention relates generally to semiconductor devices, more particularly to masking structures in the semiconductor devices.
  • Semiconductor devices such as memory devices reside in many computers and electronic products to store data.
  • a typical semiconductor device has many layers of different materials formed on a semiconductor wafer.
  • the layers go through many processes. For example, a patterning process puts patterns on the layers. Some patterning processes use a mask to transfer patterns from the mask to the layers underneath the mask.
  • Some conventional masks are made of amorphous carbon.
  • an amorphous carbon mask at some thickness may have a high absorption of optical light, causing the amorphous carbon mask inapplicable for some processes.
  • the present invention provides devices having a masking structure and techniques for forming the masking structure.
  • the masking structure includes an amorphous carbon layer having a low absorption property.
  • the amorphous layer is transparent in visible light range of the electromagnetic radiation.
  • FIG. 1A is a flow chart showing a method of forming an amorphous carbon layer according an embodiment of the invention.
  • FIG. 1B is graph showing an absorption coefficient (k) at an exemplary wavelength versus deposition temperature of a transparent amorphous carbon layer according to an embodiment of the invention.
  • FIG. 1C is graph showing an absorption coefficient (k) at exemplary temperatures versus range of wavelengths of a transparent amorphous carbon according to an embodiment of the invention.
  • FIG. 1D is graph showing a transmission percentage versus a range of wavelengths of several transparent amorphous carbon layers at exemplary temperatures and exemplary thicknesses according to an embodiment of the invention.
  • FIG. 1E is graph showing an exemplary deposition rate versus a temperature range of a method of forming a transparent amorphous carbon layer according to an embodiment of the invention.
  • FIG. 2 through FIG. 10 show cross-sections of a device during various processing stages according to embodiments of the invention.
  • FIG. 11 through FIG. 19 show cross-sections of a memory device during various processing stages according to embodiments of the invention.
  • FIG. 20 shows a system according to an embodiment of the invention.
  • FIG. 1A is flowchart showing a method of forming an amorphous carbon layer according to an embodiment of the invention.
  • Method 100 forms an amorphous carbon layer having a low absorption coefficient such that the amorphous carbon layer is transparent in visible light range.
  • the visible light range is the range (optical range) of the electromagnetic spectrum having light (electromagnetic radiation) visible to human eyes.
  • the visible light range includes any light having a wavelength between about 400 nm (nanometers) and about 700 nm.
  • the non-visible light range is the range of the entire electromagnetic spectrum minus the visible light range.
  • Some examples of the non-visible light range include electromagnetic radiations with wavelengths between 700 nm and one millimeter (infrared light), wavelengths between 10 nm and 400 nm (ultraviolet light), and wavelengths between 0.01 nm and 10 nm (X-ray).
  • the amorphous carbon layer is transparent in visible light range means that the amorphous carbon layer has a substantially low absorption coefficient (k) in which k has a range between about 0.15 and about 0.001 at wavelength of 633 nm.
  • the amorphous carbon layer transparent in visible light range is an amorphous carbon layer formed at a temperature from about 200° C. to about 500° C. such that the amorphous carbon layer has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm.
  • a wafer is placed in a chamber.
  • the chamber is a chemical vapor deposition chamber and the wafer is a semiconductor wafer.
  • the chamber is a plasma enhanced chemical vapor deposition (PECVD) chamber.
  • the parameters are set for the process of forming an amorphous carbon layer according to the invention.
  • the parameters include temperature, gas mixture, gas flow rate, power, and pressure.
  • the temperature in the chamber is set to a selected temperature.
  • the selected temperature is any temperature from about 200° C. to about 500° C. In some embodiments, the temperature is set between about 200° C. and below 300° C. In other embodiments, the temperature is set between about 225° C. and about 375° C.
  • a process gas including propylene (C 3 H 6 ) is introduced into the chamber at a flow rate.
  • the flow rate of the propylene is set between about 500 standard cubic centimeters per minute (sccm) and about 3000 sccm.
  • An additional gas including helium may be also introduced into the chamber at a flow rate.
  • the flow rate of the helium is set between about 250 sccm and about 1000 sccm. Further, embodiments exist where at least one of the other hydrocarbon gases is used as the process gas.
  • hydrocarbon gases examples include CH 4 , C 2 H 2 , C 2 H 4 , C 2 H 6 , and C 3 H 8 .
  • Helium may also be used in combination with at least one of these hydrocarbon gases.
  • the gas mixture may be either one gas only or a combination of at least two gases.
  • the gas mixture may be either propylene (C 3 H 6 ) only or a combination of propylene and helium.
  • the gas mixture may be at least one of the propylene, CH 4 , C 2 H 2 , C 2 H 4 , C 2 H 6 , and C 3 H 8 .
  • the gas mixture may be at least one of the propylene, CH 4 , C 2 H 2 , C 2 H 4 , C 2 H 6 , and C 3 H 8 plus helium.
  • the chamber is subjected to a radio frequency (RF) power and a pressure.
  • RF radio frequency
  • the radio frequency power is set between about 450 Watts and about 1000 Watts
  • the pressure is set between about 4 Torr and about 6.5 Torr.
  • an amorphous carbon layer is formed as a deposited layer over the wafer.
  • the amorphous carbon layer is transparent in visible light range.
  • the amorphous carbon layer formed by method 100 has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm.
  • the amorphous carbon layer formed by method 100 is transparent in visible light range, the amorphous carbon layer formed by method 100 is also referred to as a transparent amorphous carbon layer.
  • the transparent amorphous carbon layer refers to an amorphous carbon layer formed according method 100 in which the temperature is set from about 200° C. to about 500° C.
  • the transparency of the amorphous carbon layer formed by method 100 depends in part on the temperature set during the process.
  • the transparency of the amorphous carbon layer formed to a specific thickness at a lower temperature is more transparent than the amorphous carbon layer formed to that specific thickness at a higher temperature.
  • the amorphous carbon layer formed to a thickness at 200° C. is more transparent than the amorphous carbon layer formed to the same thickness at 500° C.
  • the transparent amorphous carbon layer formed by method 100 may be used in semiconductor devices such as memory devices and microprocessors.
  • the transparent amorphous carbon layer formed by method 100 may be included in a structure of semiconductor devices as an insulating layer or an antireflective layer.
  • the transparent amorphous carbon layer formed by method 100 may also be used as a mask in an etching process during manufacturing of semiconductor devices.
  • FIG. 1B is graph showing absorption coefficient (k) at an exemplary wavelength versus deposition temperature of a transparent amorphous carbon layer according to an embodiment of the invention.
  • the graph of FIG. 1B shows the absorption coefficient of the transparent amorphous carbon layer formed according to the method described in FIG. 1A .
  • curve 150 shows the transparent amorphous layer having an absorption coefficient k ranging from about 0.15 to about 0.001 at wavelength of 633 nm when the transparent amorphous layer is formed (or deposited) at a temperature from about 200° C. to about 500° C.
  • curve 150 has an exemplary shape. In some embodiments, curve 150 may have a shape different from the shape shown in FIG. 1B .
  • FIG. 1C is graph showing absorption coefficient (k) at exemplary temperatures versus a range of wavelengths of a transparent amorphous carbon according to an embodiment of the invention.
  • the graph of FIG. 1C shows the absorption coefficient of the transparent amorphous carbon layer formed according to the method described in FIG. 1A .
  • curve 161 shows absorption coefficient (k) versus a range of wavelengths of a transparent amorphous carbon formed at an exemplary temperature of 375° C.
  • Curve 162 shows absorption coefficient versus a range of wavelengths of another transparent amorphous carbon formed at an exemplary temperature of 225° C.
  • FIG. 1D is graph showing transmission percentage versus a range of wavelengths of several transparent amorphous carbon layers at exemplary temperatures and exemplary thicknesses according to an embodiment of the invention.
  • the graph of FIG. 1D shows exemplary transmission percentages of the transparent amorphous carbon layer formed according to the method described in FIG. 1A .
  • curves 171 , 172 , and 173 show transmission percentage versus a range of wavelengths for three different amorphous carbon layers formed to different thicknesses at different temperatures.
  • Curve 171 shows transmission percentage versus a range of wavelengths of a transparent amorphous carbon layer formed to a thickness of 3000 Angstroms at a temperature of 225° C.
  • Curve 172 shows transmission percentage versus the range of wavelengths of a transparent amorphous carbon layer formed to a thickness of 3000 Angstroms at a temperature of 375° C.
  • Curve 173 shows transmission percentage versus the range of wavelengths of a transparent amorphous carbon layer formed to a thickness of 7000 Angstroms at a temperature of 375° C.
  • FIG. 1D shows that the transmission increases when the thicknesses, or the temperature, or both decreases.
  • FIG. 1E is graph showing exemplary deposition rate versus a temperature range of a method of forming a transparent amorphous carbon layer according to an embodiment of the invention.
  • the graph of FIG. 1E shows exemplary deposition rate of the transparent amorphous carbon layer formed according to the method described in FIG. 1A .
  • FIG. 1E shows that the deposition rate is inversely proportional to the temperature. For example, at a temperature of 250° C., the deposition rate is about 2800 Angstroms per minute. As another example, at a temperature of 400° C., the deposition rate is about 2100 Angstroms per minute.
  • FIG. 2 through FIG. 10 show a device 200 during various processing stages according to embodiments of the invention.
  • FIG. 2 shows a cross-section of a device 200 including a substrate 210 .
  • Substrate 210 may represent a part of a wafer, or may be a wafer itself.
  • the wafer may be a semiconductor wafer such as a silicon wafer.
  • Substrate 210 may also be a structure or a layer formed on a wafer.
  • Substrate 210 may include at least one of a non-conducting material, a conducting material, and a semiconducting material. Examples of non-conducting materials include oxide (e.g., SiO 2 , Al 2 O 3 ), nitride (e.g., Si 3 N 4 ), and glass (borophosphosilicate glass-BPSG).
  • oxide e.g., SiO 2 , Al 2 O 3
  • nitride e.g., Si 3 N 4
  • glass borophosphosilicate glass-BPSG
  • substrate 210 includes a semiconductor material.
  • Substrate 210 has a surface 212 in which alignment marks 214 are formed.
  • Alignment marks 214 serves as reference points or coordinates of substrate (wafer) 210 .
  • the alignment marks 214 are used to align or position substrate 210 such that structures and layers on substrate 210 can be accurately aligned with each other or with substrate 210 .
  • FIG. 3 shows device 200 with a device structure 320 formed over substrate 210 .
  • Device structure 320 includes multiple layers 322 , 324 , and 326 . Each of these multiple layers may include at least one of a non-conducting material, semiconducting material, and a conducting material.
  • layer 322 may be an oxide layer
  • layer 324 may be a metal layer or a layer having a compound of metal and silicon
  • layer 326 may be a nitride layer.
  • multiple layers 322 , 324 , and 326 are arranged in an order different from the order shown in FIG. 3 .
  • Multiple layers 322 , 324 , and 326 are formed by growing or deposition or by other known processes.
  • one or more of the layers 322 , 324 , and 326 is omitted from device structure 320 . In other embodiments, one or more additional layers similar to layers 322 , 324 , and 326 are added to device structure 320 .
  • Device structure 320 has a thickness T 3 . In some embodiments, T 3 is at least 40000 Angstroms.
  • FIG. 4A shows device 200 with a mask (layer) 430 formed over device structure 320 .
  • Mask 430 is made of carbon.
  • the carbon is amorphous carbon.
  • mask 430 is also referred to as amorphous carbon layer 430 .
  • Amorphous carbon layer 430 may be formed by a method similar to method 100 described in FIG. 1A .
  • Amorphous carbon layer 430 has a thickness T 4 .
  • T 4 can be any thickness. In some embodiments, T 4 is at leas 4000 Angstroms.
  • Amorphous carbon layer 430 has a low absorption coefficient such that amorphous carbon layer 430 is transparent in visible light range. In some embodiments, amorphous carbon layer 430 has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm.
  • amorphous carbon layer 430 Since amorphous carbon layer 430 is transparent in visible light range, amorphous carbon layer 430 does not substantially absorb or reflect the light in the visible light range. Therefore, the transparency in visible light range property of amorphous carbon layer 430 improves the reading of alignment marks 214 ( FIG. 2 ) on substrate 210 during the alignment of substrate 210 . Further, since amorphous carbon layer 430 is transparent in visible light range, the thickness of amorphous carbon layer 430 may not be limited. Thus, amorphous carbon layer 430 may be formed with a thickness to properly etch device structure 320 while allowing an accurate reading of the alignment marks such as alignment marks 214 .
  • the conventional amorphous carbon may have a thickness limitation for some processes. For example, some process may require a mask with a specific thickness, using a conventional amorphous carbon layer with the specific thickness may cause difficulty in reading the alignment marks or may result in inaccurate reading because of the high absorption property of the conventional amorphous carbon layer. Therefore, because of the low absorption property, amorphous carbon layer 430 is useful in processes that may require a mask with a specific thickness in which a conventional amorphous carbon mask is unsuitable.
  • Amorphous carbon layer 430 of device 200 is formed with a thickness sufficient to properly etch a device structure such as device structure 320 .
  • amorphous carbon layer 430 is formed with thickness T 4 equal to or greater than about 4000 Angstroms to etch device structure 320 with thickness T 3 equal to or greater than 40000 Angstroms.
  • FIG. 4B shows device 200 with a cap layer 540 formed over amorphous carbon layer 430 .
  • cap layer 540 includes oxide materials. In other embodiments, cap layer 540 includes non-oxide materials.
  • cap layer 540 includes silicon oxynitride (Si x O y N z ) or silicon-rich oxide (Si x O y ) where x, y, and z are real numbers.
  • cap layer 540 includes hydrogenated silicon oxynitride (Si x O y N z :H) or hydrogenated silicon-rich oxide (Si x O y :H).
  • Cap layer 540 can be formed by a deposition process such as a CVD and PECVD process. In some embodiments, cap layer 540 is formed together with amorphous carbon layer 430 in the same process (same processing step) such that cap layer 540 is situ deposited over amorphous carbon layer 430 .
  • FIG. 5 shows device 200 with a photoresist layer 550 formed over cap layer 540 and amorphous carbon layer 430 .
  • Photoresist 550 is formed using known techniques.
  • cap layer 540 serves as an antireflective layer for reducing the reflection to photoresist layer 550 from layers underneath amorphous carbon layer 430 during patterning of photoresist layer 550 . Reducing the reflection allows more accurate patterning of photoresist layer 550 .
  • cap layer 540 serves as a mask for patterning amorphous carbon layer 430 .
  • cap layer 540 serves as both an antireflective layer and as a mask.
  • amorphous carbon layer 430 forms a masking structure 560 .
  • cap layer 540 is omitted from masking structure 560 .
  • masking structure 560 further includes an additional layer formed between photoresist layer 550 and cap layer 540 .
  • the additional layer serves as an antireflective layer to further enhance the photo processing performance.
  • FIG. 6 shows device 200 after photoresist layer 550 is patterned.
  • Patterning photoresist layer 550 can be performed using known techniques.
  • patterned photoresist layer 550 has openings 652 .
  • Patterned photoresist layer 550 is used as a mask to pattern cap layer 540 and amorphous carbon layer 430 .
  • FIG. 7 shows device 200 after the masking structure 560 is patterned.
  • Patterning masking structure 560 can be performed by one or more etching steps.
  • cap layer 540 and amorphous carbon layer 430 are etched together in one etching step.
  • cap layer 540 and amorphous carbon layer 430 are etched separately in different etching steps.
  • each of the patterned cap layer 540 and the patterned amorphous carbon layer 430 has openings that are continuous and aligned with openings 652 of photoresist layer 550 .
  • the combination of layers 430 , 540 , and 550 of masking structure 560 may remain and is used as a mask to etch the layers of device structure 320 .
  • either photoresist layer 550 or a combination of both photoresist layer 550 and cap layer 540 is removed.
  • the remaining (not removed) layer, or layers, of masking structure 560 is used as a mask to etch one or both of device structure 320 and substrate 210 .
  • FIG. 8 shows device 200 after both photoresist layer 550 and cap layer 540 are removed.
  • the remaining amorphous carbon layer 430 is used as a mask to etch either a portion of device structure 320 , or the entire device structure 320 .
  • at least a portion of substrate 210 is also etched using amorphous carbon layer 430 as a mask
  • FIG. 9 shows device 200 after device structure 320 is etched. Trenches 901 are formed as a result of the etching process. In embodiments represented by FIG. 9 , trenches 901 are formed in at least portion of device structure 320 . In some embodiments, trenches 901 are formed in the entire device structure 320 and in at least a portion of substrate 210 .
  • Layer 322 is etched to a level 902 .
  • Level 902 is any level above surface 212 of substrate 210 .
  • device structure 320 is etched such that the etching process penetrates through layers 326 and 324 and partially into layer 324 and stopping at level 902 .
  • device structure 320 is etched such that level 902 can be anywhere in device structure 320 .
  • the etching process penetrates through all layers 322 , 324 , and 326 and stops at or below surface 212 of substrate 210 .
  • the level at which the etching process etches into device structure 320 depends on what will be formed after device structure 320 is etched. For example, device structure 320 is etched to one level if conductive interconnects will be formed and device structure 320 is etched to another level if a component such as a capacitor will be formed.
  • FIG. 10 shows device 100 after amorphous carbon layer 430 is removed.
  • amorphous carbon layer 430 is removed using an ash process with oxygen plasma.
  • amorphous carbon layer 430 is removed using an ash process with a combination of oxygen plasma and CF 4 .
  • amorphous carbon layer 430 which is transparent in visible light range, is included in masking structure 560 to use as a mask to etch device structure 320 .
  • an amorphous carbon layer such as amorphous carbon layer 430 is also included in device structure 320 .
  • one of the layers 322 , 324 , and 326 of device structure 320 may be an amorphous carbon layer such as amorphous carbon layer 430 .
  • device structure 320 may include an additional layer besides layer 322 , 324 , and 326 in which the additional layer is an amorphous carbon layer such as amorphous carbon layer 430 .
  • the amorphous carbon layer within device structure 320 may be used for insulating purposes, antireflection purposes, or for other purposes.
  • the amorphous carbon layer of device structure 320 still remains in device 200 after amorphous carbon layer 430 of masking structure 560 is removed from device 200 .
  • amorphous carbon layer 430 is removed as shown in FIG. 10 , other processes can be performed to device 200 to form components such as transistors, capacitors, memory cell, or an integrated circuit such as a memory device, a processor, an application specific integrated circuit, or other types of integrated circuits.
  • FIG. 11 through FIG. 19 show cross-sections of a memory device 1100 during various processing stages according to embodiments of the invention.
  • memory device 1100 includes a substrate 1102 having alignment marks 1104 formed on surface 1107 of substrate 1102 .
  • a number of surface structures (gate structures) 1105 ( 1105 . 1 through 1105 . 4 ) are formed over substrate 1102 .
  • a number of diffusion regions 1106 ( 1106 . 1 through 1106 . 3 ) and isolation structures 1107 . 1 and 1107 . 2 are formed.
  • FIG. 11 shows alignment marks 1104 without elements formed above alignment marks 1104 . However, elements such as the layers shown in FIG. 11 may be formed over alignment marks 1104 .
  • Memory device 1100 also includes an insulating layer 1130 and a number of contacts 1140 ( 1140 . 1 through 1140 . 3 ) extending through insulating layer 1130 .
  • Each of the contacts 1140 connects to one of the diffusion regions 1106 .
  • a barrier layer 1145 separates surface structures 1105 from insulating layer 1130 and contacts 1140 .
  • Contacts 1140 are made of conducting material to provide electrical connections for diffusion regions 1106 .
  • Barrier layer 1145 can be oxide, or nitrite, or other non-conducting materials to prevent cross-diffusion of materials between surface structures 1105 and insulating layer 1130 . In some embodiments, barrier layer 1145 is omitted.
  • Insulating layer 1130 provides insulation between the contacts 1140 .
  • Insulating layer 1130 can be a layer of silicate glass doped with one or more dopants such as boron and phosphorous or other types of doped glasses.
  • insulating layer 1130 can be Boronsilicate glass (BSG), or Phosphosilicate glass (PSG).
  • BSG Boronsilicate glass
  • PSG Phosphosilicate glass
  • insulating layer 1130 includes Borophosphosilicate glass (BPSG) and has a thickness T 11 . In some embodiments, T 11 is in the range of 3000 Angstroms to 5000 Angstroms.
  • substrate 1102 includes silicon doped with a dopant, for example boron, to make it a P-type material.
  • Diffusion regions 1106 are doped with a dopant, for example phosphorous, to make them an N-type material.
  • substrate 1102 can be an N-type material and diffusion regions 1106 can be a P-type material.
  • Each of the gate structures 1105 includes a number of elements: a gate dielectric (gate oxide) 1109 , a doped polysilicon layer 1112 , a silicide layer 1114 , a capping dielectric layer 1116 , and dielectric spacers 1118 .
  • Silicide layer 1114 can include a compound of metal and silicon such as titanium silicide, tungsten silicide, and others. All dielectrics in gate structures 1105 can include material such as silicon oxide.
  • Each of the gate structures 1105 is also referred to as a word line. The structure of FIG. 11 can be formed using known techniques.
  • FIG. 12 shows memory device 1100 after an insulating layer 1210 is formed.
  • Insulating layer 1210 can include BSG, PSG, or BPSG similar to insulating layer 1130 .
  • Insulating layer 1210 and other structures in FIG. 12 form a device structure 1220 .
  • Device structure 1220 has a thickness T 12 . In some embodiments, T 12 is at least 40000 Angstroms.
  • FIG. 13 shows memory device 1100 after an amorphous carbon layer 1330 is formed over device structure 1220 .
  • Amorphous carbon layer 1330 has a low absorption coefficient such that amorphous carbon layer 1330 is transparent in visible light range.
  • amorphous carbon layer 1330 has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm.
  • Amorphous carbon layer 1330 may be formed by a method similar to method 100 described in FIG. 1A .
  • amorphous carbon layer 1330 may be formed at a selected thickness to properly etch device structure 1220 without substantially affecting the reading of the alignment marks 1104 during an alignment of device 1100 .
  • Amorphous carbon layer 1330 has a thickness T 13 , which can be selected at an appropriate value to properly etch device structure 1220 .
  • T 13 can be any thickness. In some embodiments, T 13 is at least 4000 Angstroms.
  • FIG. 14 shows memory device 1100 after a cap layer 1440 and a photoresist layer 1450 are formed over amorphous carbon layer 1330 .
  • cap layer 1440 includes oxide materials. In other embodiments, cap layer 1440 includes non-oxide materials.
  • cap layer 1440 includes silicon oxynitride (Si x O y N z ) or silicon-rich oxide (Si x O y ) where x, y, and z are real numbers.
  • cap layer 1440 includes hydrogenated silicon oxynitride (Si x O y N z :H) or hydrogenated silicon-rich oxide (Si x O y :H).
  • Layers 1440 and 1450 are formed using known techniques. Amorphous carbon layer 1330 , cap layer 1440 , and photoresist layer 1450 form a masking structure 1460 . In some embodiments, cap layer 1440 is omitted from masking structure 1460 . In other embodiments, masking structure 1460 further includes an additional layer formed between photoresist layer 1450 and cap layer 1440 . The additional layer serves as an antireflective layer to further enhance the photo processing performance.
  • FIG. 15 shows device 1100 after photoresist layer 1450 is patterned. Patterning photoresist layer 1450 can be performed using known techniques. Patterned photoresist layer 1450 includes openings 1552 .
  • FIG. 16 shows device 1100 after masking structure 1460 is patterned.
  • Patterning masking structure 1460 can be performed by one or more etching steps.
  • cap layer 1440 and amorphous carbon layer 1330 are etched together in one etching step.
  • cap layer 1440 and amorphous carbon layer 1330 are etched separately in different etching steps.
  • each of the patterned cap layer 1440 and the patterned amorphous carbon layer 1330 includes openings that are continuous and aligned with openings 1552 of photoresist layer 1450 .
  • the combination of layers 1330 , 1440 , and 1450 of masking structure 1460 may remain and is used as a mask to etch the layers of device structure 1220 .
  • either photoresist layer 1450 or a combination of both photoresist layer 1450 and cap layer 1440 is removed. The remaining (not removed) layer, or layers, of masking structure 1220 is used as a mask to etch device structure 1220 .
  • FIG. 17 shows device 1100 after device structure 1220 is etched.
  • both photoresist layer 1450 and cap layer 1440 are removed before device structure 1220 is etched.
  • Amorphous carbon layer 1330 is used as a mask to etch the layers of device structure 1220 .
  • the etched device structure 1220 has openings 1701 .
  • FIG. 18 shows device 1100 after amorphous carbon layer 1330 is removed.
  • amorphous carbon layer 1330 is removed using an ash process with oxygen plasma.
  • amorphous carbon layer 1330 is removed using an ash process with a combination of oxygen plasma and CF 4 .
  • FIG. 19 shows device 1100 after other layers are formed using known techniques.
  • a first conductive layer 1902 1902 . 1 and 1902 . 2
  • a second conductive layer 1904 1904 . 1 and 1904 . 2
  • a dielectric layer 1906 1906 . 1 and 1906 . 2
  • Conductive layers 1902 , 1904 , dielectric layer 1906 and other elements form storage capacitors C 1 and C 2 .
  • conductive layer 1902 . 1 , contact 1140 . 1 , and diffusion region 1106 . 1 form a first capacitor plate (bottom plate);
  • conductive layer 1902 . 2 forms a second capacitor plate (top plate); and dielectric layer 1906 . 1 is the capacitor dielectric.
  • conductive layers 1904 connect to a common cell plate of memory device 1100 .
  • the common cell plate is omitted from FIG. 19 for simplicity.
  • Memory device 1110 includes access transistors T 1 and T 2 .
  • Gate structure 1105 . 2 and diffusion regions 1106 . 1 - 1106 . 2 form access transistor T 1 .
  • Gate structure 1105 . 3 and diffusion regions 1106 . 2 - 1106 . 3 form access transistor T 2 .
  • Access transistor T 1 and storage capacitor C 1 form a memory CELL 1 .
  • Access transistor T 2 and storage capacitor C 2 form a memory CELL 2 .
  • Memory cells CELL 1 and CELL 2 store data in form of charge in storage capacitors C 1 and C 2 .
  • the charges are transferred to and from doped regions 1106 . 1 and 1106 . 3 of capacitors C 1 and C 2 via contact 1140 . 2 .
  • contact 1140 . 2 is a buried bit line contact, which connects to a bit line of memory device 1100 .
  • other elements having structures different from the structures of the layers 1902 , 1904 , and 1906 can be formed in openings 1701 ( FIG. 17 ).
  • interconnects instead of capacitor plates can be formed in openings 1552 to connect diffusion regions 1106 to other parts of memory device 1100 .
  • Memory device 1100 may be a dynamic random access memory (DRAM) device.
  • DRAM devices include synchronous DRAM commonly referred to as SDRAM, SDRAM II, SGRAM (Synchronous Graphics Random Access Memory), DDR SDRAM (Double Data Rate SDRAM), DDR II SDRAM, DDR III SDRAM, GDDR III SDRAM (Graphic Double Data Rate), and Rambus DRAMs.
  • Memory device 1100 includes other elements, which are not shown for clarity.
  • FIG. 20 shows a system according to an embodiment of the invention.
  • System 2000 includes a chamber 2010 and a wafer 2020 placed in the chamber.
  • chamber 2010 is a PECVD chamber and wafer 2020 is a semiconductor wafer.
  • An example of chamber 2010 includes a chamber of the Producer Processor available from Applied Materials, Inc. located in Santa Clara, Calif. Chamber 2010 and wafer 2020 can be used in method 100 described in FIG. 1A to form the transparent amorphous carbon layer according to method 100 .
  • Wafer 2020 includes a number of alignment marks 2014 and a number of dice 2030 .
  • alignment marks 2014 represent alignment marks 214 ( FIG. 2 ) and alignment marks 1104 ( FIG. 11 ).
  • At least one of the dice 2030 includes elements according to embodiments described in FIG. 2 - FIG. 19 above.
  • at least one of the dice 2030 includes a substrate, a device structure, and a masking structure such as those of devices 200 and 1100 ( FIG. 2 - FIG. 19 ).
  • at least one of the dice 2030 includes an amorphous carbon layer such as amorphous carbon layer 430 ( FIG. 4A ) and amorphous carbon layer 1330 ( FIG. 13 ) formed according to the process described in FIG. 2 - FIG. 19 .
  • a die such as one of the dice 2030 is a pattern on a semiconductor wafer such as wafer 2020 .
  • a die contains circuitry to perform a specific function.
  • at least one of the dice 2030 contains circuitry for a device such as a processor, or memory device such as memory device 1100 ( FIG. 11 - FIG. 19 ).
  • Various embodiments of the invention provide technique to form a transparent amorphous carbon layer.
  • the transparent amorphous carbon layer can be used as a mask for etching certain structure of the device.
  • the amorphous carbon layer can also be a part of a structure of the device for other purposes.

Abstract

A transparent amorphous carbon layer is formed. The transparent amorphous carbon layer has a low absorption coefficient such that the amorphous carbon is transparent in visible light. The transparent amorphous carbon layer may be used in semiconductor devices for different purposes. The transparent amorphous carbon layer may be included in a final structure in semiconductor devices. The transparent amorphous carbon layer may also be used as a mask in an etching process during fabrication of semiconductor devices.

Description

  • This application is a Divisional of U.S. application Ser. No. 10/661,379, filed Sep. 12, 2003.
  • RELATED APPLICATIONS
  • This application is related to the following co-pending and commonly assigned application; attorney docket number 303.869US1, application Ser. No. 10/661,100, filed Sep. 12, 2003, entitled “MASKING STRUCTURE HAVING MULTIPLE LAYERS INCLUDING AN AMORPHOUS CARBON LAYER” which is hereby incorporated by reference.
  • FIELD OF INVENTION
  • The present invention relates generally to semiconductor devices, more particularly to masking structures in the semiconductor devices.
  • BACKGROUND
  • Semiconductor devices such as memory devices reside in many computers and electronic products to store data. A typical semiconductor device has many layers of different materials formed on a semiconductor wafer.
  • During manufacturing, the layers go through many processes. For example, a patterning process puts patterns on the layers. Some patterning processes use a mask to transfer patterns from the mask to the layers underneath the mask.
  • Some conventional masks are made of amorphous carbon. However, an amorphous carbon mask at some thickness may have a high absorption of optical light, causing the amorphous carbon mask inapplicable for some processes.
  • SUMMARY OF THE INVENTION
  • The present invention provides devices having a masking structure and techniques for forming the masking structure. The masking structure includes an amorphous carbon layer having a low absorption property. The amorphous layer is transparent in visible light range of the electromagnetic radiation.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a flow chart showing a method of forming an amorphous carbon layer according an embodiment of the invention.
  • FIG. 1B is graph showing an absorption coefficient (k) at an exemplary wavelength versus deposition temperature of a transparent amorphous carbon layer according to an embodiment of the invention.
  • FIG. 1C is graph showing an absorption coefficient (k) at exemplary temperatures versus range of wavelengths of a transparent amorphous carbon according to an embodiment of the invention.
  • FIG. 1D is graph showing a transmission percentage versus a range of wavelengths of several transparent amorphous carbon layers at exemplary temperatures and exemplary thicknesses according to an embodiment of the invention.
  • FIG. 1E is graph showing an exemplary deposition rate versus a temperature range of a method of forming a transparent amorphous carbon layer according to an embodiment of the invention.
  • FIG. 2 through FIG. 10 show cross-sections of a device during various processing stages according to embodiments of the invention.
  • FIG. 11 through FIG. 19 show cross-sections of a memory device during various processing stages according to embodiments of the invention.
  • FIG. 20 shows a system according to an embodiment of the invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • The following description and the drawings illustrate specific embodiments of the invention sufficiently to enable those skilled in the art to practice the invention. Other embodiments may incorporate structural, logical, electrical, process, and other changes. In the drawings, like numerals describe substantially similar components throughout the several views. Examples merely typify possible variations. Portions and features of some embodiments may be included in or substituted for those of others. The scope of the invention encompasses the full ambit of the claims and all available equivalents.
  • FIG. 1A is flowchart showing a method of forming an amorphous carbon layer according to an embodiment of the invention. Method 100 forms an amorphous carbon layer having a low absorption coefficient such that the amorphous carbon layer is transparent in visible light range.
  • The visible light range is the range (optical range) of the electromagnetic spectrum having light (electromagnetic radiation) visible to human eyes. The visible light range includes any light having a wavelength between about 400 nm (nanometers) and about 700 nm. The non-visible light range is the range of the entire electromagnetic spectrum minus the visible light range. Some examples of the non-visible light range include electromagnetic radiations with wavelengths between 700 nm and one millimeter (infrared light), wavelengths between 10 nm and 400 nm (ultraviolet light), and wavelengths between 0.01 nm and 10 nm (X-ray).
  • In this specification, the amorphous carbon layer is transparent in visible light range means that the amorphous carbon layer has a substantially low absorption coefficient (k) in which k has a range between about 0.15 and about 0.001 at wavelength of 633 nm. In some embodiments, the amorphous carbon layer transparent in visible light range is an amorphous carbon layer formed at a temperature from about 200° C. to about 500° C. such that the amorphous carbon layer has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm.
  • At box 102 of method 100 in FIG. 1A, a wafer is placed in a chamber. In some embodiments, the chamber is a chemical vapor deposition chamber and the wafer is a semiconductor wafer. In embodiments represented by FIG. 1A, the chamber is a plasma enhanced chemical vapor deposition (PECVD) chamber.
  • At box 104, the parameters are set for the process of forming an amorphous carbon layer according to the invention. The parameters include temperature, gas mixture, gas flow rate, power, and pressure. The temperature in the chamber is set to a selected temperature. The selected temperature is any temperature from about 200° C. to about 500° C. In some embodiments, the temperature is set between about 200° C. and below 300° C. In other embodiments, the temperature is set between about 225° C. and about 375° C.
  • In the process of forming an amorphous carbon layer, a process gas including propylene (C3H6) is introduced into the chamber at a flow rate. In some embodiments, the flow rate of the propylene is set between about 500 standard cubic centimeters per minute (sccm) and about 3000 sccm. An additional gas including helium may be also introduced into the chamber at a flow rate. In some embodiments, the flow rate of the helium is set between about 250 sccm and about 1000 sccm. Further, embodiments exist where at least one of the other hydrocarbon gases is used as the process gas. Examples of the other hydrocarbon gases include CH4, C2H2, C2H4, C2H6, and C3H8. Helium may also be used in combination with at least one of these hydrocarbon gases. Thus, in box 104, a gas mixture is introduced into the chamber.
  • In this specification, the gas mixture may be either one gas only or a combination of at least two gases. For example, the gas mixture may be either propylene (C3H6) only or a combination of propylene and helium. As another example, the gas mixture may be at least one of the propylene, CH4, C2H2, C2H4, C2H6, and C3H8. As a further example, the gas mixture may be at least one of the propylene, CH4, C2H2, C2H4, C2H6, and C3H8 plus helium.
  • During the process of forming the amorphous carbon layer in method 100, the chamber is subjected to a radio frequency (RF) power and a pressure. In some embodiments, the radio frequency power is set between about 450 Watts and about 1000 Watts, and the pressure is set between about 4 Torr and about 6.5 Torr.
  • In box 106, an amorphous carbon layer is formed as a deposited layer over the wafer. The amorphous carbon layer is transparent in visible light range. In some embodiments, the amorphous carbon layer formed by method 100 has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm.
  • Since the amorphous carbon layer formed by method 100 is transparent in visible light range, the amorphous carbon layer formed by method 100 is also referred to as a transparent amorphous carbon layer. Thus, the transparent amorphous carbon layer refers to an amorphous carbon layer formed according method 100 in which the temperature is set from about 200° C. to about 500° C.
  • The transparency of the amorphous carbon layer formed by method 100 depends in part on the temperature set during the process. In method 100, the transparency of the amorphous carbon layer formed to a specific thickness at a lower temperature is more transparent than the amorphous carbon layer formed to that specific thickness at a higher temperature. For example, in method 100, the amorphous carbon layer formed to a thickness at 200° C. is more transparent than the amorphous carbon layer formed to the same thickness at 500° C.
  • The transparent amorphous carbon layer formed by method 100 may be used in semiconductor devices such as memory devices and microprocessors. For example, the transparent amorphous carbon layer formed by method 100 may be included in a structure of semiconductor devices as an insulating layer or an antireflective layer. As another example, the transparent amorphous carbon layer formed by method 100 may also be used as a mask in an etching process during manufacturing of semiconductor devices.
  • FIG. 1B is graph showing absorption coefficient (k) at an exemplary wavelength versus deposition temperature of a transparent amorphous carbon layer according to an embodiment of the invention. In some embodiments, the graph of FIG. 1B shows the absorption coefficient of the transparent amorphous carbon layer formed according to the method described in FIG. 1A.
  • In FIG. 1B, curve 150 shows the transparent amorphous layer having an absorption coefficient k ranging from about 0.15 to about 0.001 at wavelength of 633 nm when the transparent amorphous layer is formed (or deposited) at a temperature from about 200° C. to about 500° C. In FIG. 1B, curve 150 has an exemplary shape. In some embodiments, curve 150 may have a shape different from the shape shown in FIG. 1B.
  • FIG. 1C is graph showing absorption coefficient (k) at exemplary temperatures versus a range of wavelengths of a transparent amorphous carbon according to an embodiment of the invention. In some embodiments, the graph of FIG. 1C shows the absorption coefficient of the transparent amorphous carbon layer formed according to the method described in FIG. 1A.
  • In FIG. 1C, curve 161 shows absorption coefficient (k) versus a range of wavelengths of a transparent amorphous carbon formed at an exemplary temperature of 375° C. Curve 162 shows absorption coefficient versus a range of wavelengths of another transparent amorphous carbon formed at an exemplary temperature of 225° C.
  • FIG. 1D is graph showing transmission percentage versus a range of wavelengths of several transparent amorphous carbon layers at exemplary temperatures and exemplary thicknesses according to an embodiment of the invention. In some embodiments, the graph of FIG. 1D shows exemplary transmission percentages of the transparent amorphous carbon layer formed according to the method described in FIG. 1A.
  • In FIG. 1D, curves 171, 172, and 173 show transmission percentage versus a range of wavelengths for three different amorphous carbon layers formed to different thicknesses at different temperatures. Curve 171 shows transmission percentage versus a range of wavelengths of a transparent amorphous carbon layer formed to a thickness of 3000 Angstroms at a temperature of 225° C. Curve 172 shows transmission percentage versus the range of wavelengths of a transparent amorphous carbon layer formed to a thickness of 3000 Angstroms at a temperature of 375° C. Curve 173 shows transmission percentage versus the range of wavelengths of a transparent amorphous carbon layer formed to a thickness of 7000 Angstroms at a temperature of 375° C. FIG. 1D shows that the transmission increases when the thicknesses, or the temperature, or both decreases.
  • FIG. 1E is graph showing exemplary deposition rate versus a temperature range of a method of forming a transparent amorphous carbon layer according to an embodiment of the invention. In some embodiments, the graph of FIG. 1E shows exemplary deposition rate of the transparent amorphous carbon layer formed according to the method described in FIG. 1A. FIG. 1E shows that the deposition rate is inversely proportional to the temperature. For example, at a temperature of 250° C., the deposition rate is about 2800 Angstroms per minute. As another example, at a temperature of 400° C., the deposition rate is about 2100 Angstroms per minute.
  • FIG. 2 through FIG. 10 show a device 200 during various processing stages according to embodiments of the invention.
  • FIG. 2 shows a cross-section of a device 200 including a substrate 210. Substrate 210 may represent a part of a wafer, or may be a wafer itself. The wafer may be a semiconductor wafer such as a silicon wafer. Substrate 210 may also be a structure or a layer formed on a wafer. Substrate 210 may include at least one of a non-conducting material, a conducting material, and a semiconducting material. Examples of non-conducting materials include oxide (e.g., SiO2, Al2O3), nitride (e.g., Si3N4), and glass (borophosphosilicate glass-BPSG). Examples of conducting materials include aluminum, tungsten, other metals, and compound of metals. Examples of semiconducting materials include silicon, and silicon doped with other materials such as boron, phosphorous, and arsenic. In embodiments represented by FIG. 2, substrate 210 includes a semiconductor material.
  • Substrate 210 has a surface 212 in which alignment marks 214 are formed. Alignment marks 214 serves as reference points or coordinates of substrate (wafer) 210. During an alignment process, the alignment marks 214 are used to align or position substrate 210 such that structures and layers on substrate 210 can be accurately aligned with each other or with substrate 210.
  • FIG. 3 shows device 200 with a device structure 320 formed over substrate 210. Device structure 320 includes multiple layers 322, 324, and 326. Each of these multiple layers may include at least one of a non-conducting material, semiconducting material, and a conducting material. For example, layer 322 may be an oxide layer; layer 324 may be a metal layer or a layer having a compound of metal and silicon; and layer 326 may be a nitride layer. In some embodiments, multiple layers 322, 324, and 326 are arranged in an order different from the order shown in FIG. 3. Multiple layers 322, 324, and 326 are formed by growing or deposition or by other known processes. In some embodiments, one or more of the layers 322, 324, and 326 is omitted from device structure 320. In other embodiments, one or more additional layers similar to layers 322, 324, and 326 are added to device structure 320. Device structure 320 has a thickness T3. In some embodiments, T3 is at least 40000 Angstroms.
  • FIG. 4A shows device 200 with a mask (layer) 430 formed over device structure 320. Mask 430 is made of carbon. In embodiments represented by FIG. 4A, the carbon is amorphous carbon. Thus, in FIG. 4A, mask 430 is also referred to as amorphous carbon layer 430. Amorphous carbon layer 430 may be formed by a method similar to method 100 described in FIG. 1A.
  • Amorphous carbon layer 430 has a thickness T4. T4 can be any thickness. In some embodiments, T4 is at leas 4000 Angstroms. Amorphous carbon layer 430 has a low absorption coefficient such that amorphous carbon layer 430 is transparent in visible light range. In some embodiments, amorphous carbon layer 430 has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm.
  • Since amorphous carbon layer 430 is transparent in visible light range, amorphous carbon layer 430 does not substantially absorb or reflect the light in the visible light range. Therefore, the transparency in visible light range property of amorphous carbon layer 430 improves the reading of alignment marks 214 (FIG. 2) on substrate 210 during the alignment of substrate 210. Further, since amorphous carbon layer 430 is transparent in visible light range, the thickness of amorphous carbon layer 430 may not be limited. Thus, amorphous carbon layer 430 may be formed with a thickness to properly etch device structure 320 while allowing an accurate reading of the alignment marks such as alignment marks 214.
  • In comparing amorphous carbon layer 430 with a conventional amorphous carbon layer having a higher absorption coefficient (or less transparent) than that of amorphous carbon layer 430, the conventional amorphous carbon may have a thickness limitation for some processes. For example, some process may require a mask with a specific thickness, using a conventional amorphous carbon layer with the specific thickness may cause difficulty in reading the alignment marks or may result in inaccurate reading because of the high absorption property of the conventional amorphous carbon layer. Therefore, because of the low absorption property, amorphous carbon layer 430 is useful in processes that may require a mask with a specific thickness in which a conventional amorphous carbon mask is unsuitable.
  • Amorphous carbon layer 430 of device 200 is formed with a thickness sufficient to properly etch a device structure such as device structure 320. For example, amorphous carbon layer 430 is formed with thickness T4 equal to or greater than about 4000 Angstroms to etch device structure 320 with thickness T3 equal to or greater than 40000 Angstroms.
  • FIG. 4B shows device 200 with a cap layer 540 formed over amorphous carbon layer 430. In some embodiments, cap layer 540 includes oxide materials. In other embodiments, cap layer 540 includes non-oxide materials. In FIG. 4B, cap layer 540 includes silicon oxynitride (SixOyNz) or silicon-rich oxide (SixOy) where x, y, and z are real numbers. In some embodiments, cap layer 540 includes hydrogenated silicon oxynitride (SixOyNz:H) or hydrogenated silicon-rich oxide (SixOy:H).
  • Cap layer 540 can be formed by a deposition process such as a CVD and PECVD process. In some embodiments, cap layer 540 is formed together with amorphous carbon layer 430 in the same process (same processing step) such that cap layer 540 is situ deposited over amorphous carbon layer 430.
  • FIG. 5 shows device 200 with a photoresist layer 550 formed over cap layer 540 and amorphous carbon layer 430. Photoresist 550 is formed using known techniques. In some embodiments, cap layer 540 serves as an antireflective layer for reducing the reflection to photoresist layer 550 from layers underneath amorphous carbon layer 430 during patterning of photoresist layer 550. Reducing the reflection allows more accurate patterning of photoresist layer 550. In other embodiments, cap layer 540 serves as a mask for patterning amorphous carbon layer 430. In some other embodiments, cap layer 540 serves as both an antireflective layer and as a mask.
  • The combination of amorphous carbon layer 430, cap layer 540, and photoresist layer 550 forms a masking structure 560. In some embodiments, cap layer 540 is omitted from masking structure 560. In other embodiments, besides amorphous carbon layer 430, cap layer 540, and photoresist layer 550, masking structure 560 further includes an additional layer formed between photoresist layer 550 and cap layer 540. The additional layer serves as an antireflective layer to further enhance the photo processing performance.
  • FIG. 6 shows device 200 after photoresist layer 550 is patterned. Patterning photoresist layer 550 can be performed using known techniques. In FIG. 6, patterned photoresist layer 550 has openings 652. Patterned photoresist layer 550 is used as a mask to pattern cap layer 540 and amorphous carbon layer 430.
  • FIG. 7 shows device 200 after the masking structure 560 is patterned. Patterning masking structure 560 can be performed by one or more etching steps. In some embodiments, cap layer 540 and amorphous carbon layer 430 are etched together in one etching step. In other embodiments, cap layer 540 and amorphous carbon layer 430 are etched separately in different etching steps. As shown in FIG. 7, each of the patterned cap layer 540 and the patterned amorphous carbon layer 430 has openings that are continuous and aligned with openings 652 of photoresist layer 550. In some embodiments, after amorphous carbon layer 430 is patterned, the combination of layers 430, 540, and 550 of masking structure 560 may remain and is used as a mask to etch the layers of device structure 320. In other embodiments, after amorphous carbon layer 430 is patterned, either photoresist layer 550 or a combination of both photoresist layer 550 and cap layer 540 is removed. The remaining (not removed) layer, or layers, of masking structure 560 is used as a mask to etch one or both of device structure 320 and substrate 210.
  • FIG. 8 shows device 200 after both photoresist layer 550 and cap layer 540 are removed. In this example, the remaining amorphous carbon layer 430 is used as a mask to etch either a portion of device structure 320, or the entire device structure 320. In some embodiments, at least a portion of substrate 210 is also etched using amorphous carbon layer 430 as a mask
  • FIG. 9 shows device 200 after device structure 320 is etched. Trenches 901 are formed as a result of the etching process. In embodiments represented by FIG. 9, trenches 901 are formed in at least portion of device structure 320. In some embodiments, trenches 901 are formed in the entire device structure 320 and in at least a portion of substrate 210.
  • Layer 322 is etched to a level 902. Level 902 is any level above surface 212 of substrate 210. In embodiments represented by FIG. 9, device structure 320 is etched such that the etching process penetrates through layers 326 and 324 and partially into layer 324 and stopping at level 902. In some embodiments, device structure 320 is etched such that level 902 can be anywhere in device structure 320. In other embodiments, the etching process penetrates through all layers 322, 324, and 326 and stops at or below surface 212 of substrate 210. The level at which the etching process etches into device structure 320 depends on what will be formed after device structure 320 is etched. For example, device structure 320 is etched to one level if conductive interconnects will be formed and device structure 320 is etched to another level if a component such as a capacitor will be formed.
  • FIG. 10 shows device 100 after amorphous carbon layer 430 is removed. In some embodiments, amorphous carbon layer 430 is removed using an ash process with oxygen plasma. In other embodiments, amorphous carbon layer 430 is removed using an ash process with a combination of oxygen plasma and CF4.
  • In the above description of FIG. 4A through FIG. 10, amorphous carbon layer 430, which is transparent in visible light range, is included in masking structure 560 to use as a mask to etch device structure 320. In some embodiments, an amorphous carbon layer such as amorphous carbon layer 430 is also included in device structure 320. For example, one of the layers 322, 324, and 326 of device structure 320 may be an amorphous carbon layer such as amorphous carbon layer 430. As another example, device structure 320 may include an additional layer besides layer 322, 324, and 326 in which the additional layer is an amorphous carbon layer such as amorphous carbon layer 430.
  • In embodiments where an amorphous carbon layer exists within device structure 320, the amorphous carbon layer within device structure 320 may be used for insulating purposes, antireflection purposes, or for other purposes. Hence, in embodiments where device structure 320 includes an amorphous carbon layer similar to amorphous carbon layer 430, the amorphous carbon layer of device structure 320 still remains in device 200 after amorphous carbon layer 430 of masking structure 560 is removed from device 200.
  • After amorphous carbon layer 430 is removed as shown in FIG. 10, other processes can be performed to device 200 to form components such as transistors, capacitors, memory cell, or an integrated circuit such as a memory device, a processor, an application specific integrated circuit, or other types of integrated circuits.
  • FIG. 11 through FIG. 19 show cross-sections of a memory device 1100 during various processing stages according to embodiments of the invention. In FIG. 11, memory device 1100 includes a substrate 1102 having alignment marks 1104 formed on surface 1107 of substrate 1102. A number of surface structures (gate structures) 1105 (1105.1 through 1105.4) are formed over substrate 1102. Within substrate 1102, a number of diffusion regions 1106 (1106.1 through 1106.3) and isolation structures 1107.1 and 1107.2 are formed. For clarity, FIG. 11 shows alignment marks 1104 without elements formed above alignment marks 1104. However, elements such as the layers shown in FIG. 11 may be formed over alignment marks 1104.
  • Memory device 1100 also includes an insulating layer 1130 and a number of contacts 1140 (1140.1 through 1140.3) extending through insulating layer 1130. Each of the contacts 1140 connects to one of the diffusion regions 1106. A barrier layer 1145 separates surface structures 1105 from insulating layer 1130 and contacts 1140. Contacts 1140 are made of conducting material to provide electrical connections for diffusion regions 1106. Barrier layer 1145 can be oxide, or nitrite, or other non-conducting materials to prevent cross-diffusion of materials between surface structures 1105 and insulating layer 1130. In some embodiments, barrier layer 1145 is omitted. Insulating layer 1130 provides insulation between the contacts 1140. Insulating layer 1130 can be a layer of silicate glass doped with one or more dopants such as boron and phosphorous or other types of doped glasses. For example, insulating layer 1130 can be Boronsilicate glass (BSG), or Phosphosilicate glass (PSG). In embodiments represented by FIG. 11, insulating layer 1130 includes Borophosphosilicate glass (BPSG) and has a thickness T11. In some embodiments, T11 is in the range of 3000 Angstroms to 5000 Angstroms.
  • In embodiments represented by FIG. 11, substrate 1102 includes silicon doped with a dopant, for example boron, to make it a P-type material. Diffusion regions 1106 are doped with a dopant, for example phosphorous, to make them an N-type material. In some embodiments, substrate 1102 can be an N-type material and diffusion regions 1106 can be a P-type material.
  • Each of the gate structures 1105 includes a number of elements: a gate dielectric (gate oxide) 1109, a doped polysilicon layer 1112, a silicide layer 1114, a capping dielectric layer 1116, and dielectric spacers 1118. Silicide layer 1114 can include a compound of metal and silicon such as titanium silicide, tungsten silicide, and others. All dielectrics in gate structures 1105 can include material such as silicon oxide. Each of the gate structures 1105 is also referred to as a word line. The structure of FIG. 11 can be formed using known techniques.
  • FIG. 12 shows memory device 1100 after an insulating layer 1210 is formed. Insulating layer 1210 can include BSG, PSG, or BPSG similar to insulating layer 1130. Insulating layer 1210 and other structures in FIG. 12 form a device structure 1220. Device structure 1220 has a thickness T12. In some embodiments, T12 is at least 40000 Angstroms.
  • FIG. 13 shows memory device 1100 after an amorphous carbon layer 1330 is formed over device structure 1220. Amorphous carbon layer 1330 has a low absorption coefficient such that amorphous carbon layer 1330 is transparent in visible light range. In some embodiments, amorphous carbon layer 1330 has an absorption coefficient (k) between about 0.15 and about 0.001 at wavelength of 633 nm. Amorphous carbon layer 1330 may be formed by a method similar to method 100 described in FIG. 1A.
  • Since amorphous carbon layer 430 is transparent in visible light range, amorphous carbon layer 1330 may be formed at a selected thickness to properly etch device structure 1220 without substantially affecting the reading of the alignment marks 1104 during an alignment of device 1100. Amorphous carbon layer 1330 has a thickness T13, which can be selected at an appropriate value to properly etch device structure 1220. T13 can be any thickness. In some embodiments, T13 is at least 4000 Angstroms.
  • FIG. 14 shows memory device 1100 after a cap layer 1440 and a photoresist layer 1450 are formed over amorphous carbon layer 1330. In some embodiments, cap layer 1440 includes oxide materials. In other embodiments, cap layer 1440 includes non-oxide materials. In FIG. 14, cap layer 1440 includes silicon oxynitride (SixOyNz) or silicon-rich oxide (SixOy) where x, y, and z are real numbers. In some embodiments, cap layer 1440 includes hydrogenated silicon oxynitride (SixOyNz:H) or hydrogenated silicon-rich oxide (SixOy:H). Layers 1440 and 1450 are formed using known techniques. Amorphous carbon layer 1330, cap layer 1440, and photoresist layer 1450 form a masking structure 1460. In some embodiments, cap layer 1440 is omitted from masking structure 1460. In other embodiments, masking structure 1460 further includes an additional layer formed between photoresist layer 1450 and cap layer 1440. The additional layer serves as an antireflective layer to further enhance the photo processing performance.
  • FIG. 15 shows device 1100 after photoresist layer 1450 is patterned. Patterning photoresist layer 1450 can be performed using known techniques. Patterned photoresist layer 1450 includes openings 1552.
  • FIG. 16 shows device 1100 after masking structure 1460 is patterned. Patterning masking structure 1460 can be performed by one or more etching steps. In some embodiments, cap layer 1440 and amorphous carbon layer 1330 are etched together in one etching step. In other embodiments, cap layer 1440 and amorphous carbon layer 1330 are etched separately in different etching steps. As shown in FIG. 16, after patterning, each of the patterned cap layer 1440 and the patterned amorphous carbon layer 1330 includes openings that are continuous and aligned with openings 1552 of photoresist layer 1450.
  • In some embodiments, after amorphous carbon layer 1330 is patterned, the combination of layers 1330, 1440, and 1450 of masking structure 1460 may remain and is used as a mask to etch the layers of device structure 1220. In other embodiments, after amorphous carbon layer 1330 is patterned, either photoresist layer 1450 or a combination of both photoresist layer 1450 and cap layer 1440 is removed. The remaining (not removed) layer, or layers, of masking structure 1220 is used as a mask to etch device structure 1220.
  • FIG. 17 shows device 1100 after device structure 1220 is etched. In embodiments represented by FIG. 16, both photoresist layer 1450 and cap layer 1440 are removed before device structure 1220 is etched. Amorphous carbon layer 1330 is used as a mask to etch the layers of device structure 1220. The etched device structure 1220 has openings 1701.
  • FIG. 18 shows device 1100 after amorphous carbon layer 1330 is removed. In some embodiments, amorphous carbon layer 1330 is removed using an ash process with oxygen plasma. In other embodiments, amorphous carbon layer 1330 is removed using an ash process with a combination of oxygen plasma and CF4.
  • FIG. 19 shows device 1100 after other layers are formed using known techniques. In each of the openings 1552, a first conductive layer 1902 (1902.1 and 1902.2), a second conductive layer 1904 (1904.1 and 1904.2), and a dielectric layer 1906 (1906.1 and 1906.2) are formed. Conductive layers 1902, 1904, dielectric layer 1906 and other elements form storage capacitors C1 and C2. For example, in storage capacitor C1, conductive layer 1902.1, contact 1140.1, and diffusion region 1106.1 form a first capacitor plate (bottom plate); conductive layer 1902.2 forms a second capacitor plate (top plate); and dielectric layer 1906.1 is the capacitor dielectric. In some embodiments, conductive layers 1904 connect to a common cell plate of memory device 1100. The common cell plate is omitted from FIG. 19 for simplicity.
  • Memory device 1110 includes access transistors T1 and T2. Gate structure 1105.2 and diffusion regions 1106.1-1106.2 form access transistor T1. Gate structure 1105.3 and diffusion regions 1106.2-1106.3 form access transistor T2. Access transistor T1 and storage capacitor C1 form a memory CELL1. Access transistor T2 and storage capacitor C2 form a memory CELL2.
  • Memory cells CELL1 and CELL2 store data in form of charge in storage capacitors C1 and C2. The charges are transferred to and from doped regions 1106.1 and 1106.3 of capacitors C1 and C2 via contact 1140.2. In some embodiments, contact 1140.2 is a buried bit line contact, which connects to a bit line of memory device 1100.
  • In other embodiments, other elements having structures different from the structures of the layers 1902, 1904, and 1906 can be formed in openings 1701 (FIG. 17). For example, interconnects instead of capacitor plates can be formed in openings 1552 to connect diffusion regions 1106 to other parts of memory device 1100.
  • Memory device 1100 may be a dynamic random access memory (DRAM) device. Examples of DRAM devices include synchronous DRAM commonly referred to as SDRAM, SDRAM II, SGRAM (Synchronous Graphics Random Access Memory), DDR SDRAM (Double Data Rate SDRAM), DDR II SDRAM, DDR III SDRAM, GDDR III SDRAM (Graphic Double Data Rate), and Rambus DRAMs. Memory device 1100 includes other elements, which are not shown for clarity.
  • FIG. 20 shows a system according to an embodiment of the invention. System 2000 includes a chamber 2010 and a wafer 2020 placed in the chamber. In some embodiments, chamber 2010 is a PECVD chamber and wafer 2020 is a semiconductor wafer. An example of chamber 2010 includes a chamber of the Producer Processor available from Applied Materials, Inc. located in Santa Clara, Calif. Chamber 2010 and wafer 2020 can be used in method 100 described in FIG. 1A to form the transparent amorphous carbon layer according to method 100.
  • Wafer 2020 includes a number of alignment marks 2014 and a number of dice 2030. In some embodiments, alignment marks 2014 represent alignment marks 214 (FIG. 2) and alignment marks 1104 (FIG. 11).
  • At least one of the dice 2030 includes elements according to embodiments described in FIG. 2-FIG. 19 above. For example, at least one of the dice 2030 includes a substrate, a device structure, and a masking structure such as those of devices 200 and 1100 (FIG. 2-FIG. 19). Thus, at least one of the dice 2030 includes an amorphous carbon layer such as amorphous carbon layer 430 (FIG. 4A) and amorphous carbon layer 1330 (FIG. 13) formed according to the process described in FIG. 2-FIG. 19.
  • A die such as one of the dice 2030 is a pattern on a semiconductor wafer such as wafer 2020. A die contains circuitry to perform a specific function. For, example, at least one of the dice 2030 contains circuitry for a device such as a processor, or memory device such as memory device 1100 (FIG. 11-FIG. 19).
  • CONCLUSION
  • Various embodiments of the invention provide technique to form a transparent amorphous carbon layer. The transparent amorphous carbon layer can be used as a mask for etching certain structure of the device. The amorphous carbon layer can also be a part of a structure of the device for other purposes. Although specific embodiments are described herein, those skilled in the art recognize that other embodiments may be substituted for the specific embodiments shown to achieve the same purpose. This application covers any adaptations or variations of the present invention. Therefore, the present invention is limited only by the claims and all available equivalents.

Claims (44)

1. A memory device comprising:
a substrate having a plurality of doped regions;
a plurality of gate structures over the substrate;
an insulating layer over the gate structures;
a plurality of contacts, each of the contacts being located between two gate structures, each of the contacts extending through the insulating layer and contacting one of the doped regions; and
an amorphous carbon layer over the substrate, wherein the amorphous carbon layer is transparent in visible light range.
2. The memory device of claim 1, wherein the insulating layer includes a glass layer.
3. The memory device of claim 2, wherein one of the gate structures and a pair of doped regions of the plurality of doped regions are parts of a transistor.
4. The memory device of claim 3, wherein a first doped region of the pair of doped regions is a part of a capacitor plate.
5. The memory device of claim 4, wherein a second doped region of the pair of doped regions is coupled to a bit line via one of the contacts.
6. A memory device comprising:
a substrate having a plurality of doped regions;
a plurality of gate structures over the substrate;
a glass layer over the gate structures;
a barrier layer between the gate structures and the glass layer for preventing cross-diffusion between the gate structures and the glass layer;
a plurality of contacts, each of the contacts being located between two gate structures and contacting one of the doped regions; and
an amorphous carbon layer over substrate, wherein the amorphous carbon layer is transparent in visible light range.
7. The memory device of claim 6, wherein one of the gate structures and a pair of doped regions of the plurality of doped regions are parts of a transistor.
8. The memory device of claim 7, wherein a first doped region of the pair of doped regions is a part of a capacitor plate.
9. The memory device of claim 8, wherein a second doped region of the pair of doped regions is coupled to a bit line via one of the contacts.
10. The memory device of claim 6 further comprising an oxide layer formed directly over the amorphous carbon layer.
11. The memory device of claim 10 further comprising a photoresist layer formed directly over the oxide layer.
12. The memory device of claim 11, wherein the photoresist layer includes at least one opening.
13. The memory device of claim 12, wherein the oxide layer includes at least one opening continuous with the opening of the photoresist layer.
14. The memory device of claim 13, wherein the amorphous carbon layer includes at least one opening continuous with the opening of the oxide layer.
15. A memory device comprising:
a substrate having at least one alignment mark;
a device structure over the substrate; and
an amorphous carbon layer over the substrate, wherein the amorphous carbon layer is transparent in visible light range for improving a reading of the alignment mark.
16. The memory device of claim 15, wherein the second amorphous carbon layer has a thickness greater than 4000 Angstroms for etching the device structure without substantially affecting the reading of the alignment mark.
17. The memory device of claim 15, wherein the substrate includes a plurality of doped regions, and wherein the device structure includes a plurality of gate structures, a plurality of contacts, each of the contacts being located between two gate structures and contacting one of the doped regions.
18. The memory device of claim 17 further comprising an oxide layer over the amorphous carbon layer.
19. The memory device of claim 18 further comprising a photoresist layer over the oxide layer.
20. The memory device of claim 19 further comprising an antireflective layer between the oxide layer and the photoresist layer.
21. The memory device of claim 19 wherein the photoresist layer includes at least one opening, wherein the oxide layer includes at least one opening continuous with the opening of the photoresist layer.
22. The memory device of claim 21, wherein the amorphous carbon layer includes at least one opening continuous with the opening of the oxide layer and the opening of the photoresist layer.
23. A memory device comprising:
a substrate having a plurality of doped regions;
device structure formed over the substrate, the device structure including a plurality of gate structures, a plurality of contacts, each of the contacts being located between two gate structures and contacting one of the doped regions, and an insulating layer formed over the gate structures and the contacts; and
a masking structure formed over the device structure, the masking structure including an amorphous carbon layer, wherein the amorphous carbon layer is transparent in visible light range.
24. The memory device of claim 23, wherein the amorphous carbon layer has a thickness of at least 4000 Angstroms.
25. The memory device of claim 24, wherein the device structure has a thickness of at least 40000 Angstroms.
26. The memory device of claim 23, wherein the masking structure further includes a silicon oxynitride layer over the amorphous carbon layer.
27. The memory device of claim 23, wherein the masking structure further includes a photoresist layer over the amorphous carbon layer.
28. The memory device of claim 27, wherein the masking structure further includes an antireflective layer over the amorphous carbon layer.
29. The memory device of claim 27, wherein the photoresist layer includes at least one opening.
30. The memory device of claim 29, wherein the amorphous carbon layer includes at least one opening continuous with the opening of the photoresist layer.
31. The memory device of claim 30, wherein the insulating layer includes at least one opening continuous with both of the opening of the amorphous carbon layer and the opening of the photoresist layer.
32. The memory device of claim 23, wherein the device structure further includes a barrier layer located between the gate structures and the contacts.
33. The memory device of claim 23, wherein the amorphous carbon layer has an absorption coefficient between about 0.15 and about 0.001 at wavelength of 633 nanometers.
34. A memory device comprising:
a substrate having at least one alignment mark, and a plurality of doped regions;
a plurality of gate structures over the substrate, at least one of the gate structures including a first amorphous carbon layer;
a plurality of contacts, each of the contacts being located between two gate structures and contacting one of the doped regions; and
a second amorphous carbon layer over the device structure, wherein the second amorphous carbon layer is transparent in visible light range for improving a reading of the alignment mark.
35. The memory device of claim 34 further comprising a hydrogenated silicon oxide over the second amorphous carbon layer.
36. The memory device of claim 34 further comprising a photoresist layer over the hydrogenated silicon oxide layer.
37. The memory device of claim 36 further comprising an antireflective layer between the hydrogenated silicon oxide layer and the photoresist layer.
38. The memory device of claim 37 further comprising an antireflective layer between the hydrogenated silicon oxide layer and the photoresist layer.
39. The memory device of claim 37, wherein the photoresist layer includes at least one opening, wherein the hydrogenated silicon oxide layer includes at least one opening continuous with the opening of the photoresist layer, and wherein the second amorphous carbon layer includes at least one opening continuous with the opening of the hydrogenated silicon oxide layer.
40. A memory device comprising:
a substrate having at least one alignment mark, and a plurality of doped regions;
a plurality of gate structures over the substrate, at least one of the gate structures including a first amorphous carbon layer;
an insulating layer over the gate structures;
a barrier layer between the gate structures and the insulating layer for preventing cross-diffusion between the gate structures and the insulating layer;
a plurality of contacts, each of the contacts being located between two gate structures and contacting one of the doped regions; and
a second amorphous carbon layer over the device structure, wherein the second amorphous carbon layer is transparent in visible light range for improving a reading of the alignment mark.
41. The memory device of claim 40 further comprising a hydrogenated silicon oxynitride over the second amorphous carbon layer.
42. The memory device of claim 41 further comprising a photoresist layer over the hydrogenated silicon oxynitride layer.
43. The memory device of claim 42 further comprising an antireflective layer between the hydrogenated silicon oxynitride layer and the photoresist layer.
44. The memory device of claim 42, wherein the photoresist layer includes at least one opening, wherein the hydrogenated silicon oxynitride layer includes at least one opening continuous with the opening of the photoresist layer, and wherein the second amorphous carbon layer includes at least one opening continuous with the opening of the hydrogenated silicon oxynitride layer.
US11/215,614 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices Abandoned US20060022247A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/215,614 US20060022247A1 (en) 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/661,379 US7132201B2 (en) 2003-09-12 2003-09-12 Transparent amorphous carbon structure in semiconductor devices
US11/215,614 US20060022247A1 (en) 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/661,379 Division US7132201B2 (en) 2003-09-12 2003-09-12 Transparent amorphous carbon structure in semiconductor devices

Publications (1)

Publication Number Publication Date
US20060022247A1 true US20060022247A1 (en) 2006-02-02

Family

ID=34273863

Family Applications (6)

Application Number Title Priority Date Filing Date
US10/661,379 Expired - Lifetime US7132201B2 (en) 2003-09-12 2003-09-12 Transparent amorphous carbon structure in semiconductor devices
US10/789,736 Expired - Lifetime US7220683B2 (en) 2003-09-12 2004-02-27 Transparent amorphous carbon structure in semiconductor devices
US11/215,614 Abandoned US20060022247A1 (en) 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices
US11/215,761 Abandoned US20060008741A1 (en) 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices
US11/215,532 Abandoned US20060003237A1 (en) 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices
US11/458,642 Expired - Lifetime US7298024B2 (en) 2003-09-12 2006-07-19 Transparent amorphous carbon structure in semiconductor devices

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/661,379 Expired - Lifetime US7132201B2 (en) 2003-09-12 2003-09-12 Transparent amorphous carbon structure in semiconductor devices
US10/789,736 Expired - Lifetime US7220683B2 (en) 2003-09-12 2004-02-27 Transparent amorphous carbon structure in semiconductor devices

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/215,761 Abandoned US20060008741A1 (en) 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices
US11/215,532 Abandoned US20060003237A1 (en) 2003-09-12 2005-08-30 Transparent amorphous carbon structure in semiconductor devices
US11/458,642 Expired - Lifetime US7298024B2 (en) 2003-09-12 2006-07-19 Transparent amorphous carbon structure in semiconductor devices

Country Status (7)

Country Link
US (6) US7132201B2 (en)
EP (1) EP1668684A1 (en)
JP (1) JP2007505497A (en)
KR (1) KR100766755B1 (en)
CN (1) CN100530561C (en)
TW (1) TWI262551B (en)
WO (1) WO2005034229A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050056835A1 (en) * 2003-09-12 2005-03-17 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
US20070202657A1 (en) * 2006-02-24 2007-08-30 Hynix Semiconductor, Inc/ Method for fabricating capacitor in semiconductor device

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7115524B2 (en) * 2004-05-17 2006-10-03 Micron Technology, Inc. Methods of processing a semiconductor substrate
US7341906B2 (en) * 2005-05-19 2008-03-11 Micron Technology, Inc. Method of manufacturing sidewall spacers on a memory device, and device comprising same
KR100724568B1 (en) * 2005-10-12 2007-06-04 삼성전자주식회사 Semiconductor memory device and method of fabricating the same
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
KR100801308B1 (en) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 Method for forming trench using high selectivity hard mask and method for isolation of semiconductor device usnig the same
US20070123050A1 (en) * 2005-11-14 2007-05-31 Micron Technology, Inc. Etch process used during the manufacture of a semiconductor device and systems including the semiconductor device
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
KR100954107B1 (en) * 2006-12-27 2010-04-23 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR100780652B1 (en) 2006-12-27 2007-11-30 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US7935618B2 (en) * 2007-09-26 2011-05-03 Micron Technology, Inc. Sputtering-less ultra-low energy ion implantation
US8102117B2 (en) * 2007-11-30 2012-01-24 World Properties, Inc. Isolation mask for fine line display
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100258526A1 (en) * 2009-04-08 2010-10-14 Jaihyung Won Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9171749B2 (en) 2013-11-13 2015-10-27 Globalfoundries U.S.2 Llc Handler wafer removal facilitated by the addition of an amorphous carbon layer on the handler wafer
KR20150055473A (en) * 2013-11-13 2015-05-21 삼성전자주식회사 Method for forming carbon-containing layer and method for manufacturing semiconductor device using the same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102029127B1 (en) * 2019-02-08 2019-10-07 영창케미칼 주식회사 A new method for forming a silicon or silicon compound pattern in a semiconductor manufacturing process
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USH566H (en) * 1985-12-04 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Apparatus and process for deposition of hard carbon films
US4849642A (en) * 1986-12-19 1989-07-18 Seiko Instruments Inc. Method for repairing a pattern film
US4971853A (en) * 1988-05-04 1990-11-20 Syracuse University Laser directed chemical vapor deposition of transparent metal films
US5096791A (en) * 1988-12-30 1992-03-17 Technion Research And Development Foundation, Ltd. Method for preparation of mask for x-ray lithography
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
US5324365A (en) * 1991-09-24 1994-06-28 Canon Kabushiki Kaisha Solar cell
US5346729A (en) * 1993-05-17 1994-09-13 Midwest Research Institute Solar-induced chemical vapor deposition of diamond-type carbon films
US5358880A (en) * 1993-04-12 1994-10-25 Motorola, Inc. Method of manufacturing closed cavity LED
US5369040A (en) * 1992-05-18 1994-11-29 Westinghouse Electric Corporation Method of making transparent polysilicon gate for imaging arrays
US5431800A (en) * 1993-11-05 1995-07-11 The University Of Toledo Layered electrodes with inorganic thin films and method for producing the same
US5437961A (en) * 1990-11-27 1995-08-01 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5496752A (en) * 1992-10-15 1996-03-05 Fujitsu Limited Method of manufacturing thin film transistors in a liquid crystal display apparatus
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5723029A (en) * 1993-08-23 1998-03-03 Ebara Research Co., Ltd. Photo-electric chemical apparatus using carbon cluster electrode
US5750316A (en) * 1994-03-18 1998-05-12 Fujitsu Limited Manufacture of semiconductor device using a-c anti-reflection coating
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5830332A (en) * 1995-01-26 1998-11-03 International Business Machines Corporation Sputter deposition of hydrogenated amorphous carbon film and applications thereof
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6107734A (en) * 1998-05-20 2000-08-22 Idemitsu Kosan Co., Ltd. Organic EL light emitting element with light emitting layers and intermediate conductive layer
US6128700A (en) * 1995-05-17 2000-10-03 Monolithic System Technology, Inc. System utilizing a DRAM array as a next level cache memory and method for operating same
US6128868A (en) * 1996-09-06 2000-10-10 Canon Kabushiki Kaisha Combination solar battery and roof member, and mounting method thereof
US6136160A (en) * 1998-07-09 2000-10-24 Ims Ionen-Mikrofabrikations Systeme Gmbh Process for producing a carbon film on a substrate
US6140652A (en) * 1998-09-09 2000-10-31 Intersil Corporation Device containing sample preparation sites for transmission electron microscopic analysis and processes of formation and use
US6140570A (en) * 1997-10-29 2000-10-31 Canon Kabushiki Kaisha Photovoltaic element having a back side transparent and electrically conductive layer with a light incident side surface region having a specific cross section and a module comprising said photovolatic element
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6221535B1 (en) * 1996-05-11 2001-04-24 The Victoria University Of Manchester Photorefractive composite
US20010006837A1 (en) * 1999-12-30 2001-07-05 Se-Han Kwon Method for manufacturing a semiconductor memory device using hemispherical grain silicon
US20010011730A1 (en) * 2000-02-08 2001-08-09 Kabushiki Kaisha Toshiba Semiconductor light emimiting device
US20010017153A1 (en) * 1999-12-22 2001-08-30 Yuichi Kubota Solar cell and method of fabricating the same
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6300631B1 (en) * 1999-10-07 2001-10-09 Lucent Technologies Inc. Method of thinning an electron transparent thin film membrane on a TEM grid using a focused ion beam
US20010035551A1 (en) * 1998-04-22 2001-11-01 Kotecki David E. Method of fabricating a stack capacitor DRAM
US6313896B1 (en) * 1999-08-31 2001-11-06 International Business Machines Corporation Method for forming a multi-domain alignment layer for a liquid crystal display device
US6316329B1 (en) * 1998-12-30 2001-11-13 Nec Corporation Forming a trench mask comprising a DLC and ASH protecting layer
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US20020001778A1 (en) * 2000-06-08 2002-01-03 Applied Materials, Inc. Photolithography scheme using a silicon containing resist
US20020003239A1 (en) * 2000-06-28 2002-01-10 Motorola, Inc. Semiconductor structure and device including a carbon film and method of forming the same
US6346184B1 (en) * 1997-05-13 2002-02-12 Canon Kabushiki Kaisha Method of producing zinc oxide thin film, method of producing photovoltaic device and method of producing semiconductor device
US6350997B1 (en) * 1998-04-23 2002-02-26 Kabushiki Kaisha Toshiba Semiconductor light emitting element
US6395617B2 (en) * 2000-03-27 2002-05-28 Nec Corporation Method of manufacturing semiconductor device
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6420095B1 (en) * 1994-03-18 2002-07-16 Fujitsu Limited Manufacture of semiconductor device using A-C anti-reflection coating
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6447891B1 (en) * 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6461950B2 (en) * 1998-09-03 2002-10-08 Micron Technology, Inc. Semiconductor processing methods, semiconductor circuitry, and gate stacks
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6551941B2 (en) * 2001-02-22 2003-04-22 Applied Materials, Inc. Method of forming a notched silicon-containing gate structure
US6566757B1 (en) * 1998-11-30 2003-05-20 Intel Corporation Stabilization of low dielectric constant film with in situ capping layer
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6583481B2 (en) * 1988-03-07 2003-06-24 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
US6613603B1 (en) * 1997-07-25 2003-09-02 Canon Kabushiki Kaisha Photovoltaic device, process for production thereof, and zinc oxide thin film
US6621535B1 (en) * 1998-04-24 2003-09-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US20030198814A1 (en) * 2002-04-23 2003-10-23 3M Innovative Properties Company Retroreflective sheeting comprising thin continuous hardcoat
US20030207207A1 (en) * 2002-05-03 2003-11-06 Weimin Li Method of fabricating a semiconductor multilevel interconnect structure
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6710389B2 (en) * 2001-02-09 2004-03-23 Matsushita Electric Industrial Co., Ltd. Semiconductor memory device with trench-type stacked cell capacitors and method for manufacturing the same
US6713802B1 (en) * 2003-06-20 2004-03-30 Infineon Technologies Ag Magnetic tunnel junction patterning using SiC or SiN
US6717202B2 (en) * 1998-09-04 2004-04-06 Renesas Technology Corp. HSG semiconductor capacitor with migration inhibition layer
US20040092098A1 (en) * 2002-11-08 2004-05-13 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6795636B1 (en) * 2000-03-05 2004-09-21 3M Innovative Properties Company Radiation-transmissive films on glass articles
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6825114B1 (en) * 2003-04-28 2004-11-30 Advanced Micro Devices, Inc. Selective stress-inducing implant and resulting pattern distortion in amorphous carbon patterning
US6864556B1 (en) * 2002-07-31 2005-03-08 Advanced Micro Devices, Inc. CVD organic polymer film for advanced gate patterning
US20050056835A1 (en) * 2003-09-12 2005-03-17 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
US6875664B1 (en) * 2002-08-29 2005-04-05 Advanced Micro Devices, Inc. Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US7259416B2 (en) * 2002-05-29 2007-08-21 Fujitsu Limited Semiconductor device having a conductive plug

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US570316A (en) 1896-10-27 le blois
JPS58204534A (en) 1982-05-24 1983-11-29 Hitachi Ltd Mask for x-ray lithography
GB2198505B (en) * 1986-12-12 1990-01-10 Pilkington Perkin Elmer Ltd Improvements in or relating to weapon aiming systems
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
EP0531232A3 (en) 1991-08-26 1993-04-21 Eastman Kodak Company High durability mask for use in selective area, epitaxial regrowth of gaas
DE69519765T2 (en) * 1994-05-26 2001-05-23 Dainippon Printing Co Ltd Printing plate and process for its manufacture
US5946594A (en) * 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6833280B1 (en) * 1998-03-13 2004-12-21 Micron Technology, Inc. Process for fabricating films of uniform properties on semiconductor devices
US6166427A (en) * 1999-01-15 2000-12-26 Advanced Micro Devices, Inc. Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
JP5121090B2 (en) * 2000-02-17 2013-01-16 アプライド マテリアルズ インコーポレイテッド Method for depositing amorphous carbon layer
DE10153310A1 (en) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographic structuring process with a carbon hard mask layer produced by a plasma-assisted deposition process with diamond-like hardness
US6741341B2 (en) * 2002-02-04 2004-05-25 Bae Systems Information And Electronic Systems Integration Inc Reentry vehicle interceptor with IR and variable FOV laser radar
US20040011730A1 (en) * 2002-07-18 2004-01-22 Powell James R. AVS slurry feed mechanism
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6980358B2 (en) * 2003-09-29 2005-12-27 Coherent, Inc. Turning prism for ultraviolet radiation

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USH566H (en) * 1985-12-04 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Apparatus and process for deposition of hard carbon films
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US5629532A (en) * 1986-10-09 1997-05-13 Myrick; James J. Diamond-like carbon optical waveguide
US4849642A (en) * 1986-12-19 1989-07-18 Seiko Instruments Inc. Method for repairing a pattern film
US6583481B2 (en) * 1988-03-07 2003-06-24 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
US4971853A (en) * 1988-05-04 1990-11-20 Syracuse University Laser directed chemical vapor deposition of transparent metal films
US5096791A (en) * 1988-12-30 1992-03-17 Technion Research And Development Foundation, Ltd. Method for preparation of mask for x-ray lithography
US5733713A (en) * 1990-11-27 1998-03-31 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5437961A (en) * 1990-11-27 1995-08-01 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
US5324365A (en) * 1991-09-24 1994-06-28 Canon Kabushiki Kaisha Solar cell
US5420043A (en) * 1991-09-24 1995-05-30 Canon Kabushiki Kaisha Method of manufacturing a solar cell
US5578501A (en) * 1991-09-24 1996-11-26 Canon Kabushiki Kaisha Method of manufacturing a solar cell by formation of a zinc oxide transparent conductive layer
US5369040A (en) * 1992-05-18 1994-11-29 Westinghouse Electric Corporation Method of making transparent polysilicon gate for imaging arrays
US5496752A (en) * 1992-10-15 1996-03-05 Fujitsu Limited Method of manufacturing thin film transistors in a liquid crystal display apparatus
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5358880A (en) * 1993-04-12 1994-10-25 Motorola, Inc. Method of manufacturing closed cavity LED
US5346729A (en) * 1993-05-17 1994-09-13 Midwest Research Institute Solar-induced chemical vapor deposition of diamond-type carbon films
US5723029A (en) * 1993-08-23 1998-03-03 Ebara Research Co., Ltd. Photo-electric chemical apparatus using carbon cluster electrode
US5431800A (en) * 1993-11-05 1995-07-11 The University Of Toledo Layered electrodes with inorganic thin films and method for producing the same
US5750316A (en) * 1994-03-18 1998-05-12 Fujitsu Limited Manufacture of semiconductor device using a-c anti-reflection coating
US6420095B1 (en) * 1994-03-18 2002-07-16 Fujitsu Limited Manufacture of semiconductor device using A-C anti-reflection coating
US5830332A (en) * 1995-01-26 1998-11-03 International Business Machines Corporation Sputter deposition of hydrogenated amorphous carbon film and applications thereof
US6128700A (en) * 1995-05-17 2000-10-03 Monolithic System Technology, Inc. System utilizing a DRAM array as a next level cache memory and method for operating same
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US6221535B1 (en) * 1996-05-11 2001-04-24 The Victoria University Of Manchester Photorefractive composite
US6128868A (en) * 1996-09-06 2000-10-10 Canon Kabushiki Kaisha Combination solar battery and roof member, and mounting method thereof
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US20020100696A1 (en) * 1997-05-13 2002-08-01 Masafumi Sano Method of producing zinc oxide then film method of producing photovotaic device and method of producing semiconductor device
US6346184B1 (en) * 1997-05-13 2002-02-12 Canon Kabushiki Kaisha Method of producing zinc oxide thin film, method of producing photovoltaic device and method of producing semiconductor device
US6613603B1 (en) * 1997-07-25 2003-09-02 Canon Kabushiki Kaisha Photovoltaic device, process for production thereof, and zinc oxide thin film
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6140570A (en) * 1997-10-29 2000-10-31 Canon Kabushiki Kaisha Photovoltaic element having a back side transparent and electrically conductive layer with a light incident side surface region having a specific cross section and a module comprising said photovolatic element
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6784119B2 (en) * 1998-02-11 2004-08-31 Applied Materials Inc. Method of decreasing the K value in SIOC layer deposited by chemical vapor deposition
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US20010035551A1 (en) * 1998-04-22 2001-11-01 Kotecki David E. Method of fabricating a stack capacitor DRAM
US6350997B1 (en) * 1998-04-23 2002-02-26 Kabushiki Kaisha Toshiba Semiconductor light emitting element
US6621535B1 (en) * 1998-04-24 2003-09-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US6107734A (en) * 1998-05-20 2000-08-22 Idemitsu Kosan Co., Ltd. Organic EL light emitting element with light emitting layers and intermediate conductive layer
US6136160A (en) * 1998-07-09 2000-10-24 Ims Ionen-Mikrofabrikations Systeme Gmbh Process for producing a carbon film on a substrate
US6461950B2 (en) * 1998-09-03 2002-10-08 Micron Technology, Inc. Semiconductor processing methods, semiconductor circuitry, and gate stacks
US6717202B2 (en) * 1998-09-04 2004-04-06 Renesas Technology Corp. HSG semiconductor capacitor with migration inhibition layer
US6140652A (en) * 1998-09-09 2000-10-31 Intersil Corporation Device containing sample preparation sites for transmission electron microscopic analysis and processes of formation and use
US6566757B1 (en) * 1998-11-30 2003-05-20 Intel Corporation Stabilization of low dielectric constant film with in situ capping layer
US6316329B1 (en) * 1998-12-30 2001-11-13 Nec Corporation Forming a trench mask comprising a DLC and ASH protecting layer
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
US6427703B1 (en) * 1999-04-13 2002-08-06 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
US6447891B1 (en) * 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6313896B1 (en) * 1999-08-31 2001-11-06 International Business Machines Corporation Method for forming a multi-domain alignment layer for a liquid crystal display device
US6300631B1 (en) * 1999-10-07 2001-10-09 Lucent Technologies Inc. Method of thinning an electron transparent thin film membrane on a TEM grid using a focused ion beam
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6444899B2 (en) * 1999-12-22 2002-09-03 Tdk Corporation Solar cell and method of fabricating the same
US20010017153A1 (en) * 1999-12-22 2001-08-30 Yuichi Kubota Solar cell and method of fabricating the same
US20010006837A1 (en) * 1999-12-30 2001-07-05 Se-Han Kwon Method for manufacturing a semiconductor memory device using hemispherical grain silicon
US20010011730A1 (en) * 2000-02-08 2001-08-09 Kabushiki Kaisha Toshiba Semiconductor light emimiting device
US6483127B2 (en) * 2000-02-08 2002-11-19 Kabushiki Kaisha Toshiba Semiconductor light emitting device
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6841341B2 (en) * 2000-02-17 2005-01-11 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6795636B1 (en) * 2000-03-05 2004-09-21 3M Innovative Properties Company Radiation-transmissive films on glass articles
US6395617B2 (en) * 2000-03-27 2002-05-28 Nec Corporation Method of manufacturing semiconductor device
US20020001778A1 (en) * 2000-06-08 2002-01-03 Applied Materials, Inc. Photolithography scheme using a silicon containing resist
US20020003239A1 (en) * 2000-06-28 2002-01-10 Motorola, Inc. Semiconductor structure and device including a carbon film and method of forming the same
US6710389B2 (en) * 2001-02-09 2004-03-23 Matsushita Electric Industrial Co., Ltd. Semiconductor memory device with trench-type stacked cell capacitors and method for manufacturing the same
US6551941B2 (en) * 2001-02-22 2003-04-22 Applied Materials, Inc. Method of forming a notched silicon-containing gate structure
US6852647B2 (en) * 2002-03-29 2005-02-08 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20030198814A1 (en) * 2002-04-23 2003-10-23 3M Innovative Properties Company Retroreflective sheeting comprising thin continuous hardcoat
US20030207207A1 (en) * 2002-05-03 2003-11-06 Weimin Li Method of fabricating a semiconductor multilevel interconnect structure
US7259416B2 (en) * 2002-05-29 2007-08-21 Fujitsu Limited Semiconductor device having a conductive plug
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6864556B1 (en) * 2002-07-31 2005-03-08 Advanced Micro Devices, Inc. CVD organic polymer film for advanced gate patterning
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6875664B1 (en) * 2002-08-29 2005-04-05 Advanced Micro Devices, Inc. Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
US20040092098A1 (en) * 2002-11-08 2004-05-13 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6825114B1 (en) * 2003-04-28 2004-11-30 Advanced Micro Devices, Inc. Selective stress-inducing implant and resulting pattern distortion in amorphous carbon patterning
US6713802B1 (en) * 2003-06-20 2004-03-30 Infineon Technologies Ag Magnetic tunnel junction patterning using SiC or SiN
US20060001175A1 (en) * 2003-09-12 2006-01-05 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US20050059262A1 (en) * 2003-09-12 2005-03-17 Zhiping Yin Transparent amorphous carbon structure in semiconductor devices
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
US20060003237A1 (en) * 2003-09-12 2006-01-05 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20060008741A1 (en) * 2003-09-12 2006-01-12 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US20060244086A1 (en) * 2003-09-12 2006-11-02 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7220683B2 (en) * 2003-09-12 2007-05-22 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050056835A1 (en) * 2003-09-12 2005-03-17 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7298024B2 (en) * 2003-09-12 2007-11-20 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7341957B2 (en) * 2003-09-12 2008-03-11 Micron Technology, Inc. Masking structure having multiple layers including amorphous carbon layer

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050056835A1 (en) * 2003-09-12 2005-03-17 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
US20060003237A1 (en) * 2003-09-12 2006-01-05 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20060001175A1 (en) * 2003-09-12 2006-01-05 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US20060008741A1 (en) * 2003-09-12 2006-01-12 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US20060244086A1 (en) * 2003-09-12 2006-11-02 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7220683B2 (en) 2003-09-12 2007-05-22 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7298024B2 (en) 2003-09-12 2007-11-20 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7341957B2 (en) 2003-09-12 2008-03-11 Micron Technology, Inc. Masking structure having multiple layers including amorphous carbon layer
US20070202657A1 (en) * 2006-02-24 2007-08-30 Hynix Semiconductor, Inc/ Method for fabricating capacitor in semiconductor device
US7563688B2 (en) * 2006-02-24 2009-07-21 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device

Also Published As

Publication number Publication date
US7132201B2 (en) 2006-11-07
TWI262551B (en) 2006-09-21
TW200518209A (en) 2005-06-01
JP2007505497A (en) 2007-03-08
US7220683B2 (en) 2007-05-22
KR20060057010A (en) 2006-05-25
US20050056835A1 (en) 2005-03-17
CN1879201A (en) 2006-12-13
US20060003237A1 (en) 2006-01-05
US20060244086A1 (en) 2006-11-02
US20060008741A1 (en) 2006-01-12
KR100766755B1 (en) 2007-10-15
WO2005034229A1 (en) 2005-04-14
US20050059262A1 (en) 2005-03-17
EP1668684A1 (en) 2006-06-14
US7298024B2 (en) 2007-11-20
CN100530561C (en) 2009-08-19

Similar Documents

Publication Publication Date Title
US7132201B2 (en) Transparent amorphous carbon structure in semiconductor devices
US7341957B2 (en) Masking structure having multiple layers including amorphous carbon layer
US6939794B2 (en) Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7238608B2 (en) Semiconductor device and manufacturing method thereof
US5364813A (en) Stacked DRAM poly plate capacitor
US6004853A (en) Method to improve uniformity and the critical dimensions of a DRAM gate structure
US6028002A (en) Refractory metal roughness reduction using high temperature anneal in hydrides or organo-silane ambients
KR100626928B1 (en) Method for forming a silicide gate stack for use in a self-aligned contact etch
US6900118B2 (en) Method for preventing contact defects in interlayer dielectric layer
KR100855285B1 (en) Method of manufacturing semiconductor device
KR100360150B1 (en) Method for forming capacitor of semiconductor device
JPH11135628A (en) Manufacture of semiconductor device
US20030124795A1 (en) Method of forming a polysilicon to polysilicon capacitor
KR20000033153A (en) Method for manufacturing semiconductor device
KR20000067425A (en) Method for manufacturing semiconductor device
KR20030002862A (en) Method for fabricating capacitor
KR20030001081A (en) A forming method of bitline using ArF photolithography
JPH11354734A (en) Semiconductor storage device and its manufacture

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION