US20050287819A1 - Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides - Google Patents

Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides Download PDF

Info

Publication number
US20050287819A1
US20050287819A1 US11/216,629 US21662905A US2005287819A1 US 20050287819 A1 US20050287819 A1 US 20050287819A1 US 21662905 A US21662905 A US 21662905A US 2005287819 A1 US2005287819 A1 US 2005287819A1
Authority
US
United States
Prior art keywords
substrate
precursor compounds
formula
vapor deposition
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/216,629
Inventor
Brian Vaartstra
Donald Westmoreland
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/216,629 priority Critical patent/US20050287819A1/en
Publication of US20050287819A1 publication Critical patent/US20050287819A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Definitions

  • This invention relates to methods of forming a metal oxide layer on a substrate using one or more precursor compounds that include one or more organo-amine precursor compounds (e.g., alkylamine or alkylimino-alkylamine precursor compounds) with one or more organo-oxide precursor compounds (e.g., alkoxide or oxo-alkoxide) precursor compounds during a vapor deposition process.
  • organo-amine precursor compounds e.g., alkylamine or alkylimino-alkylamine precursor compounds
  • organo-oxide precursor compounds e.g., alkoxide or oxo-alkoxide
  • High quality thin oxide films of metals such as ZrO 2 , HfO 2 , Al 2 O 3 , and YSZ deposited on semiconductor wafers have recently gained interest for use in memories (e.g., dynamic random access memory (DRAM) devices, static random access memory (SRAM) devices, and ferroelectric memory (FERAM) devices). These materials have high dielectric constants and therefore are attractive as replacements in memories for SiO 2 where very thin layers are required. These metal oxide layers are thermodynamically stable in the presence of silicon, minimizing silicon oxidation upon thermal annealing, and appear to be compatible with metal gate electrodes. Specifically, for gate dielectrics, La 2 O 3 , HfO 2 , and ZrO 2 are also promising as they possess relatively high values for permittivity and bandgap.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • FERAM ferroelectric memory
  • This invention provides methods of vapor depositing a metal oxide layer on a substrate. These vapor deposition methods involve forming the layer by 10 combining one or more metal organo-oxide precursor compounds (e.g., alkoxides or oxo-alkoxides) with one or more metal organo-amine precursor compounds (e.g., alkylamines or alkylimines-alkylamines).
  • metal organo-oxide precursor compounds e.g., alkoxides or oxo-alkoxides
  • metal organo-amine precursor compounds e.g., alkylamines or alkylimines-alkylamines.
  • the methods of the present invention do not require the use of water or a strong oxidizer, thus reducing (and typically avoiding) the problems of producing an undesirable interfacial oxide layer between the desired metal oxide layer and the substrate, and oxidizing other layers beneath the top layer.
  • the layer is a dielectric layer.
  • the methods of the present invention involve forming a metal oxide layer on a substrate (preferably, a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor structure).
  • a substrate preferably, a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor structure.
  • Such methods include: providing a substrate; providing at least one precursor compound of the formula M 1 q (O) x (OR 1 ) y (Formula I) and at least one precursor compound of the formula M 2 (NR 2 ) w (NR 3 R 4 ) z (Formula II); and contacting the precursor compounds to form a metal oxide layer on one or more surfaces of the substrate using a vapor deposition process.
  • M 1 and M 2 are each independently a metal; R 1 , R 2 , R 3 , and R 4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M 1 and M 2 .
  • a method includes:
  • a substrate preferably, a semiconductor substrate or substrate assembly
  • a substrate within a deposition chamber
  • vaporizing the precursor compounds to form vaporized precursor compounds and directing the vaporized precursor compounds to the substrate to form a metal oxide dielectric layer on one or more surfaces of the substrate.
  • M 1 and M 2 are each independently a metal; R 1 , R 2 , R 3 , and R 4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M 1 and M 2 .
  • the present invention also provides a method of manufacturing a memory device structure.
  • the method includes: providing a substrate having a first electrode thereon; providing at least one precursor compound of the formula M 1 q (O) x (OR 1 ) y (Formula I) and at least one precursor compound of the formula M 2 (NR 2 ) w (NR 3 R 4 ) z (Formula II); vaporizing the precursor compounds to form vaporized precursor compounds; directing the vaporized precursor compounds to the substrate to form a metal oxide dielectric layer on the first electrode of the substrate; and forming a second electrode on the dielectric layer.
  • M 1 and M 2 are each independently a metal; R 1 , R 2 , R 3 , and R 4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M 1 and M 2 .
  • a vapor deposition apparatus that includes: a vapor deposition chamber having a substrate positioned therein; one or more vessels comprising one or more precursor compounds of the formula M 1 q (O) x (OR 1 ) y (Formula I); and one or more vessels comprising one or more one precursor compounds of the formula M 2 (NR 2 ) w (NR 3 R 4 ) z (Formula I).
  • M 1 and M 2 are each independently a metal; R 1 , R 2 , R 3 , and R 4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M 1 and M 2 .
  • the methods of the present invention can utilize a chemical vapor deposition (CVD) process, which can be pulsed, or an atomic layer deposition (ALD) process (a self-limiting vapor deposition process that includes a plurality of deposition cycles, typically with purging between the cycles).
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • the methods of the present invention use ALD.
  • the precursor compounds can be alternately introduced into a deposition chamber during each deposition cycle.
  • the present invention further provides a precursor composition comprising one or more precursor compounds of Formula I above and one or more precursor compounds of Formula II above.
  • semiconductor substrate or “substrate assembly” as used herein refers to a semiconductor substrate such as a base semiconductor layer or a semiconductor substrate having one or more layers, structures, or regions formed thereon.
  • a base semiconductor layer is typically the lowest layer of silicon material on a wafer or a silicon layer deposited on another material, such as silicon on sapphire.
  • various process steps may have been previously used to form or define regions, junctions, various structures or features, and openings such as capacitor plates or barriers for capacitors.
  • Layer refers to any metal oxide layer that can be formed on a substrate from the precursor compounds of this invention using a vapor deposition process.
  • layer is meant to include layers specific to the semiconductor industry, such as “barrier layer,” “dielectric layer,” and “conductive layer.” (The term “layer” is synonymous with the term “film” frequently used in the semiconductor industry.)
  • layer is also meant to include layers found in technology outside of semiconductor technology, such as coatings on glass.
  • Precursor compound refers to a metal-containing compound capable of forming, either alone or with other precursor compounds, a metal oxide layer on a substrate in a vapor deposition process.
  • Deposition process and “vapor deposition process” as used herein refer to a process in which a metal oxide layer is formed on one or more surfaces of a substrate (e.g., a doped polysilicon wafer) from vaporized precursor compound(s). Specifically, one or more metal-containing precursor compounds are vaporized and directed to one or more surfaces of a heated substrate (e.g., semiconductor substrate or substrate assembly) placed in a deposition chamber. These precursor compounds form (e.g., by reacting or decomposing) a non-volatile, thin, uniform, metal oxide layer on the surface(s) of the substrate.
  • the term “vapor deposition process” is meant to include both chemical vapor deposition processes (including pulsed chemical vapor deposition processes) and atomic layer deposition processes.
  • “Chemical vapor deposition” refers to a vapor deposition process wherein the desired layer is deposited on the substrate from vaporized metal precursor (i.e., metal-containing precursor) compounds (and any optional reaction gases used) within a deposition chamber with no effort made to separate the reaction components.
  • vaporized metal precursor i.e., metal-containing precursor
  • “pulsed” CVD alternately pulses these materials into the deposition chamber, but does not rigorously avoid intermixing of the precursor and reaction gas streams, as is typically done in atomic layer deposition or ALD (discussed in greater detail below).
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • atomic layer deposition as used herein is also meant to include the related terms “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor compound(s), reaction gas(es), and purge (i.e., inert carrier) gas.
  • ALE atomic layer epitaxy
  • MBE molecular beam epitaxy
  • gas source MBE organometallic MBE
  • chemical beam epitaxy when performed with alternating pulses of precursor compound(s), reaction gas(es), and purge (i.e., inert carrier) gas.
  • “Chemisorption” as used herein refers to the chemical adsorption of vaporized reactive precursor compounds on the surface of a substrate.
  • the adsorbed species are irreversibly bound to the substrate surface as a result of relatively strong binding forces characterized by high adsorption energies (e.g., >30 kcal/mol), comparable in strength to ordinary chemical bonds.
  • the chemisorbed species typically form a mononolayer on the substrate surface. (See “The Condensed Chemical Dictionary”, 10th edition, revised by G. G. Hawley, published by Van Nostrand Reinhold Co., New York, 225 (1981)).
  • the technique of ALD is based on the principle of the formation of a saturated monolayer of reactive precursor molecules by chemisorption.
  • ALD one or more appropriate precursor compounds or reaction gases are alternately introduced (e.g., pulsed) into a deposition chamber and chemisorbed onto the surfaces of a substrate.
  • a reactive compound e.g., one or more precursor compounds and one or more reaction gases
  • Each sequential introduction of a reactive compound is typically separated by an inert carrier gas purge.
  • Each precursor compound co-reaction adds a new atomic layer to previously deposited layers to form a cumulative solid layer.
  • the cycle is repeated, typically for several hundred times, to gradually form the desired layer thickness.
  • ALD can alternately utilize one precursor compound, which is chemisorbed, and one reaction gas, which reacts with the chemisorbed species.
  • FIGS. 1-3 are exemplary capacitor constructions.
  • FIG. 4 is a perspective view of a vapor deposition coating system suitable for use in the method of the present invention.
  • the present invention provides methods of forming a metal oxide layer (preferably, a mixed metal oxide layer) on a substrate (preferably a semiconductor substrate or substrate assembly) using one or more precursor compounds of the formula M 1 q (O) x (OR 1 ) y (Formula I) with one or more metal precursor compounds of the formula M 2 (NR 2 ) w (NR 3 R 4 ) z (Formula II).
  • M 1 and M 2 are each independently any metal (main group, transition metal, lanthanide); each R is independently hydrogen or an organic group; x is 0 to 4 (preferably, 0 to 2); y is 1to 8 (preferably, 2 to 6); w is 0 to 4 (preferably, 0 to 2); z is 1 to 8 (preferably, 2 to 6); q is 1 or 2 (preferably, 1); and x, y, and z are dependent on the oxidation state of M 1 and M 2 .
  • the metal oxide layer may include one or more different metals and is typically of the formula M n O m (Formula III), wherein M can be one or more of M 1 and M 2 as defined above (i.e., the oxide can be a single metal oxide or a mixed metal oxide).
  • M can be one or more of M 1 and M 2 as defined above (i.e., the oxide can be a single metal oxide or a mixed metal oxide).
  • the metal oxide layer is a mixed metal oxide (i.e., includes two or more different metals). More preferably, the metal oxide layer includes two different metals.
  • the growth rate per cycle may be nearly twice that of typical ALD processes involving a single metal precursor and an oxidizing gas.
  • the metal oxide layer can be in the form of alloys, solid solutions, or nanolaminates. Preferably, these have dielectric properties.
  • the metal oxide layer (particularly if it is a dielectric layer) preferably includes one or more of ZrO 2 , HfO 2 , Ta 2 O 3 , Al 2 O 3 , TiO 2 , and an oxide of a lanthanide.
  • the substrate on which the metal oxide layer is formed is preferably a semiconductor substrate or substrate assembly.
  • Any suitable semiconductor material is contemplated, such as for example, conductively doped polysilicon (for this invention simply referred to as “silicon”).
  • a substrate assembly may also contain a layer that includes platinum, iridium, rhodium, ruthenium, ruthenium oxide, titanium nitride, tantalum nitride, tantalum-silicon-nitride, silicon dioxide, aluminum, gallium arsenide, glass, etc., and other existing or to-be-developed materials used in semiconductor constructions, such as dynamic random access memory (DRAM) devices and static random access memory (SRAM) devices, for example.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • Substrates other than semiconductor substrates or substrate assemblies can be used in methods of the present invention. These include, for example, fibers, wires, etc. If the substrate is a semiconductor substrate or substrate assembly, the layers can be formed directly on the lowest semiconductor surface of the substrate, or they can be formed on any of a variety of the layers (i.e., surfaces) as in a patterned wafer, for example.
  • metal includes all metals of the periodic table (including main group metals, transition metals, lanthanides, actinides) as well as metalloids or semimetals.
  • each metal M is selected from the group of metals of Groups IIIB (Sc, Y), IVB (Ti, Zr, Hf), VB (V, Nb, Ta), VIB (Cr, Mo, W), VIIB (Mn, Tc, Re), IIIA (Al, Ga, In, Tl), IVA (Si, Ge, Sn, Pb), and the lanthanides (La, Ce, Pr, etc.), which are also referred to as Groups 3-7, 13, 14, the lanthanides of the Periodic Chart. More preferably, M is selected from the group of Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Si, and Al.
  • the precursor compounds useful in this invention are of the formulas M 1 (O) x (OR 1 ) y (Formula I) and M 2 (NR 2 ) w (NR 3 R 4 ) z (Formula II), wherein each R is independently hydrogen or an organic group.
  • organic group is used for the purpose of this invention to mean a hydrocarbon group that is classified as an aliphatic group, cyclic group, or combination of aliphatic and cyclic groups (e.g., alkaryl and aralkyl groups).
  • suitable organic groups for precursor compounds of this invention are those that do not interfere with the formation of a metal oxide layer using vapor deposition techniques.
  • alkynyl group means an unsaturated, linear or branched monovalent hydrocarbon group with one or more carbon-carbon triple bonds.
  • cyclic group means a closed ring hydrocarbon group that is classified as an alicyclic group, aromatic group, or heterocyclic group.
  • alicyclic group means a cyclic hydrocarbon group having properties resembling those of aliphatic groups.
  • aromatic group or “aryl group” means a mono- or polynuclear aromatic hydrocarbon group.
  • heterocyclic group means a closed ring hydrocarbon in which one or more of the atoms in the ring is an element other than carbon (e.g., nitrogen, oxygen, sulfur, etc.).
  • group and “moiety” are used to differentiate between chemical species that allow for substitution or that may be substituted and those that do not so allow for substitution or may not be so substituted.
  • group when the term “group” is used to describe a chemical substituent, the described chemical material includes the unsubstituted group and that group with nonperoxidic O, N, Si, F, or S atoms, for example, in the chain as well as carbonyl groups or other conventional substituents.
  • moiety is used to describe a chemical compound or substituent, only an unsubstituted chemical material is intended to be included.
  • alkyl group is intended to include not only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, (-butyl, and the like, but also alkyl substituents bearing further substituents known in the art, such as hydroxy, alkoxy, alkylsulfonyl, halogen atoms, cyano, nitro, amino, carboxyl, etc.
  • alkyl group includes ether groups, haloalkyls, nitroalkyls, carboxyalkyls, hydroxyalkyls, sulfoalkyls, etc.
  • the phrase “alkyl moiety” is limited to the inclusion of only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like.
  • each R is independently and preferably hydrogen or an organic group, more preferably a (C1-C10) organic group, even more preferably a (C1-C8) organic group, even more preferably a (C1-C6) organic group, and even more preferably a “lower” (i.e., C1-C4) organic group. Even more preferably, each of these organic groups is an alkyl group. Most preferably, each organic group is an organic moiety, and preferably, an alkyl moiety.
  • the carbon atoms of the R groups are optionally replaced by or substituted with silicon, fluorine, oxygen, and/or nitrogen atoms or groups containing such atoms.
  • siloxides are within the scope of Formula I and silylated amines and silylated imine-amines are within the scope of Formula II.
  • each R 1 is preferably (C1-C6) organic group.
  • suitable precursor compounds include tetrakis(t-butoxide) hafnium, tetrakis(isopropoxy) titanium, and penta(ethoxy) tantalum.
  • Such compounds are either commercially available from sources such as Sigma Aldrich, or they can be prepared using standard techniques (e.g., by alcoholysis of metal alkyl amides).
  • M 2 (NR 2 ) w (NR 3 R 4 ) z , R 2 , R 3 , and R 4 are each preferably a (C1-C6) organic group.
  • suitable precursor compounds include tetrakis(dimethylamino)titanium, letrakis(dimethiylamino)hafnium, tetrakis(ethylmethylamino)hafnium, and Al(NMe 2 ) 2 (N(Me)CH 2 CH 2 NMe 2 ).
  • Such compounds are either commercially available from sources such as Strem Chemical Co., or they can be prepared using standard techniques (e.g., by reacting metal chlorides with the corresponding lithium dialkyl amides).
  • precursor compounds can be used in various combinations, optionally with one or more organic solvents (particularly for CVD processes), to form a precursor composition.
  • the precursor compounds may be liquids or solids at room temperature (preferably, they are liquids at the vaporization temperature). Typically, they are liquids sufficiently volatile to be employed using known vapor deposition techniques. However, as solids they may also be sufficiently volatile that they can be vaporized or sublimed from the solid state using known vapor deposition techniques. If they are less volatile solids, they are preferably sufficiently soluble in an organic solvent or have melting points below their decomposition temperatures such that they can be used in flash vaporization, bubbling, microdroplet formation techniques, etc.
  • the solvents that are suitable for this application can be one or more of the following: aliphatic hydrocarbons or unsaturated hydrocarbons (C3-C20, and preferably C5-C10, cyclic, branched, or linear), aromatic hydrocarbons (C5-C20, and preferably C5-C10), halogenated hydrocarbons, silylated hydrocarbons such as alkylsilanes, alkylsilicates, ethers, polyethers, thioethers, esters, lactones, ammonia, amides, amines (aliphatic or aromatic, primary, secondary, or tertiary), polyamines, nitriles, cyanales, isocyanates, thiocyanates, silicone oils, alcohols, or compounds containing combinations of any of the above or mixtures of one or more of the above.
  • the compounds are also generally compatible with each other, so that mixtures of variable quantities of the precursor compounds will not interact to significantly change their physical properties.
  • the precursor compounds can be vaporized in the presence of an inert carrier gas if desired.
  • an inert carrier gas can be used in purging steps in an ALD process.
  • the inert carrier gas is typically selected from the group consisting of nitrogen, helium, argon, and combinations thereof.
  • an inert carrier gas is one that does not interfere with the formation of the metal oxide layer. Whether done in the presence of a inert carrier gas or not, the vaporization is preferably done in the absence of oxygen to avoid oxygen contamination of the layer (e.g., oxidation of silicon to form silicon dioxide).
  • the deposition process for this invention is a vapor deposition process.
  • Vapor deposition processes are generally favored in the semiconductor industry due to the process capability to quickly provide highly conformal layers even within deep contacts and other openings.
  • Chemical vapor deposition (CVD) and atomic layer deposition (ALD) are two vapor deposition processes often employed to form thin, continuous, uniform, metal oxide (preferably dielectric) layers onto semiconductor substrates.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • Using either vapor deposition process typically one or more precursor compounds are vaporized in a deposition chamber and optionally combined with one or more reaction gases to form a metal oxide layer onto a substrate.
  • the vapor deposition process may be enhanced by employing various related techniques such as plasma assistance, photo assistance, laser assistance, as well as other techniques.
  • the final layer (preferably, a dielectric layer) formed preferably has a thickness in the range of about 10 ⁇ to about 500 ⁇ . More preferably, the thickness of the metal oxide layer is in the range of about 30 ⁇ to about 80 ⁇ .
  • the precursor compound(s) are typically reacted with an oxidizing or reducing reaction gas at elevated temperatures to form the metal oxide layer.
  • an oxidizing or reducing reaction gas at elevated temperatures to form the metal oxide layer.
  • no such reaction gas is needed because the precursor of Formula I provides the oxygen to the film formed.
  • oxidizing gases such as O 2 , O 3 , H 2 O, H 2 O 2 , and N 2 O can be used if desired.
  • Chemical vapor deposition has been extensively used for the preparation of metal oxide layers, such as dielectric layers, in semiconductor processing because of its ability to provide highly conformal and high quality dielectric layers at relatively fast processing times.
  • the desired precursor compounds are vaporized and then introduced into a deposition chamber containing a heated substrate with optional reaction gases and/or inert carrier gases.
  • vaporized precursors are contacted with reaction gas(es) at the substrate surface to form a layer (e.g., dielectric layer).
  • the single deposition cycle is allowed to continue until the desired thickness of the layer is achieved.
  • Typical CVD processes generally employ precursor compounds in vaporization chambers that are separated from the process chamber wherein the deposition surface or wafer is located.
  • liquid precursor compounds are typically placed in bubblers and heated to a temperature at which they vaporize, and the vaporized liquid precursor compound is then transported by an inert carrier gas passing over the bubbler or through the liquid precursor compound.
  • the vapors are then swept through a gas line to the deposition chamber for depositing a layer on substrate surface(s) therein.
  • Many techniques have been developed to precisely control this process. For example, the amount of precursor material transported to the deposition chamber can be precisely controlled by the temperature of the reservoir containing the precursor compound and by the flow of an inert carrier gas bubbled through or passed over the reservoir.
  • Preferred embodiments of the precursor compounds described herein are particularly suitable for chemical vapor deposition (CVD).
  • the deposition temperature at the substrate surface is preferably held at a temperature in a range of about 100° C. to about 600° C., more preferably in the range of about 200° C. to about 500° C.
  • the deposition chamber pressure is preferably maintained at a deposition pressure of about 0.1 torr to about 10 torr.
  • the partial pressure of precursor compounds in the inert carrier gas is preferably about 0.001 torr to about 10 torr.
  • CVD chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • hot wall or cold wall reactors any other chemical vapor deposition technique.
  • pulsed CVD can be used, which is similar to ALD (discussed in greater detail below) but does not rigorously avoid intermixing of percursor and reactant gas streams.
  • the deposition thickness is dependent on the exposure time, as opposed to ALD, which is self-limiting (discussed in greater detail below).
  • a typical CVD process may be carried out in a chemical vapor deposition reactor, such as a deposition chamber available tinder the trade designation of 7000 from Genus, Inc. (Sunnyvale, Calif.), a deposition chamber available under the trade designation of 5000 from Applied Materials, Inc. (Santa Clara, Calif.), or a deposition chamber available under the trade designation of Prism from Novelus, Inc. (San Jose, Calif.).
  • a chemical vapor deposition reactor such as a deposition chamber available tinder the trade designation of 7000 from Genus, Inc. (Sunnyvale, Calif.), a deposition chamber available under the trade designation of 5000 from Applied Materials, Inc. (Santa Clara, Calif.), or a deposition chamber available under the trade designation of Prism from Novelus, Inc. (San Jose, Calif.).
  • any deposition chamber suitable for performing CVD may be used.
  • the vapor deposition process employed in the methods of the present invention is a multi-cycle ALD process.
  • Such a process is advantageous (particularly over a CVD process) in that in provides for optimum control of atomic-level thickness and uniformity to the deposited layer (e.g., dielectric layer) and to expose the metal precursor compounds to lower volatilization and reaction temperatures to minimize degradation.
  • each reactant is pulsed sequentially onto a suitable substrate, typically at deposition temperatures of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes.
  • the film growth is typically self-limiting (i.e., when the reactive sites on a surface are used up in an ALD process, the deposition generally stops), insuring not only excellent conformality but also good large area uniformity plus simple and accurate thickness control. Due to alternate dosing of the precursor compounds and/or reaction gases, detrimental vapor-phase reactions are inherently eliminated, in contrast to the CVD process that is carried out by continuous coreaction of the precursors and/or reaction gases. (See Vehkamäki et al, “Growth of SrTiO 3 and BaTiO 3 Thin Films by Atomic Layer Deposition,” Electrochemical and Solid-State Letters, 2(10): 504-506 (1999)).
  • a typical ALD process includes exposing an initial substrate to a first chemical species (e.g., a precursor compound of Formula I) to accomplish chemisorption of the species onto the substrate.
  • a first chemical species e.g., a precursor compound of Formula I
  • the chemisorption forms a monolayer that is uniformly one atom or molecule thick on the entire exposed initial substrate.
  • a saturated monolayer Practically, chemisorption might not occur on all portions of the substrate. Nevertheless, such an imperfect monolayer is still a monolayer in the context of the present invention.
  • merely a substantially saturated monolayer may be suitable.
  • a substantially saturated monolayer is one that will still yield a deposited layer exhibiting the quality and/or properties desired for such layer.
  • the first species is purged from over the substrate and a second chemical species (e.g., a different precursor compound of Formula I or a precursor compound of Formula II) is provided to react with the first monolayer of the first species.
  • the second species is then purged and the steps are repeated with exposure of the second species monolayer to the first species.
  • the two monolayers may be of the same species.
  • the second species can react with the first species, but not chemisorb additional material thereto. That is, the second species can cleave some portion of the chemisorbed first species, altering such monolayer without forming another monolayer thereon.
  • a third species or more may be successively chemisorbed (or reacted) and purged just as described for the first and second species.
  • the second species (or third or subsequent) can include at least one reaction gas if desired.
  • Purging may involve a variety of techniques including, but not limited to, contacting the substrate and/or monolayer with a carrier gas and/or lowering pressure to below the deposition pressure to reduce the concentration of a species contacting the substrate and/or chemisorbed species.
  • carrier gases include N 2 , Ar, He, etc.
  • Purging may instead include contacting the substrate and/or monolayer with any substance that allows chemisorption by-products to desorb and reduces the concentration of a contacting species preparatory to introducing another species.
  • the contacting species may be reduced to some suitable concentration or partial pressure known to those skilled in the art based on the specifications for the product of a particular deposition process.
  • ALD is often described as a self-limiting process, in that a finite number of sites exist on a substrate to which the first species may form chemical bonds. The second species might only bond to the first species and thus may also be self-limiting. Once all of the finite number of sites on a substrate are bonded with a first species, the first species will often not bond to other of the first species already bonded with the substrate.
  • process conditions can be varied in ALD to promote such bonding and render ALD not self-limiting. Accordingly, ALD may also encompass a species forming other than one monolayer at a time by stacking of a species, forming a layer more than one atom or molecule thick.
  • the described method indicates the “substantial absence” of the second precursor (i.e., second species) during chemisorption of the first precursor since insignificant amounts of the second precursor might be present. According to the knowledge and the preferences of those with ordinary skill in the art, a determination can be made as to the tolerable amount of second precursor and process conditions selected to achieve the substantial absence of the second precursor.
  • the deposition chamber containing a semiconductor substrate, chemisorbing the precursor compound(s) as a monolayer onto the substrate surfaces, and then reacting the chemisorbed precursor compound(s) with the other co-reactive precursor compound(s).
  • the pulse duration of precursor compound(s) and inert carrier gas(es) is sufficient to saturate the substrate surface. Typically, the pulse duration is from about 0.1 to about 5 seconds, preferably from about 0.2 to about 1 second.
  • ALD is predominantly chemically driven. Accordingly, ALD is often conducted at much lower temperatures than CVD.
  • the substrate temperature is maintained at a temperature sufficiently low to maintain intact bonds between the chemisorbed precursor compound(s) and the underlying substrate surface and to prevent decomposition of the precursor compound(s).
  • the temperature is also sufficiently high to avoid condensation of the precursor compounds(s).
  • the substrate temperature is kept within the range of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes.
  • the first species or precursor compound is chemisorbed at this temperature.
  • Surface reaction of the second species or precursor compound can occur at substantially the same temperature as chemisorption of the first precursor or, less preferably, at a substantially different temperature.
  • some small variation in temperature can occur but still be a substantially same temperature by providing a reaction rate statistically the same as would occur at the temperature of the first precursor chemisorption. Chemisorption and subsequent reactions could instead occur at exactly the same temperature.
  • the pressure inside the deposition chamber is kept at about 10 ⁇ 4 torr to about 1 torr, preferably about 10 ⁇ 4 torr to about 0.1 torr.
  • the deposition chamber is purged with an inert carrier gas after the vaporized precursor compound(s) have been introduced into the chamber and/or reacted for each cycle.
  • the inert carrier gas(es) can also be introduced with the vaporized precursor compound(s) during each cycle.
  • a highly reactive compound may react in the gas phase generating particulates, depositing prematurely on undesired surfaces, producing poor films, and/or yielding poor step coverage or otherwise yielding non-uniform deposition. For at least such reason, a highly reactive compound might be considered not suitable for CVD.
  • some compounds not suitable for CVD are superior ALD precursors. For example, if the first precursor is gas phase reactive with the second precursor, such a combination of compounds might not be suitable for CVD, although they could be used in ALD.
  • concern might also exist regarding sticking coefficients and surface mobility, as known to those skilled in the art, when using highly gas-phase reactive precursors, however, little or no such concern would exist in the ALD context.
  • an annealing process can be optionally performed in situ in the deposition chamber in a nitrogen atmosphere or oxidizing atmosphere.
  • the annealing temperature is within the range of about 400° C. to about 1000° C.
  • the annealing temperature is more preferably about 400° C. to about 750° C., and most preferably about 600° C. to about 700° C.
  • the annealing operation is preferably performed for a time period of about 0.5 minute to about 60 minutes and more preferably for a time period of about 1 minute to about 10 minutes.
  • temperatures and lime periods may vary.
  • furnace anneals and rapid thermal annealing may be used, and further, such anneals may be performed in one or more annealing steps.
  • the use of the complexes and methods of forming films of the present invention are beneficial for a wide variety of thin film applications in semiconductor structures, particularly those using high dielectric materials.
  • such applications include capacitors such as planar cells, trench cells (e.g., double sidewall trench capacitors), stacked cells (e-g., crown, V-cell, delta cell, multi-fingered, or cylindrical container stacked capacitors), as well as field effect transistor devices.
  • a semiconductor wafer fragment 10 includes a capacitor construction 25 formed by a method of the present invention.
  • Wafer fragment 10 includes a substrate 12 having a conductive diffusion area 14 formed therein.
  • Substrate 12 can include, for example, monocrystalline silicon.
  • An insulating layer 16 typically borophosphosilicate glass (BPSG), is provided over substrate 12 , with a contact opening 18 provided therein to diffusion area 14 .
  • a conductive material 20 fills contact opening 18 , with material 20 and oxide layer 18 having been planarized as shown.
  • Material 20 might be any suitable conductive material, such as, for example, tungsten or conductively doped polysilicon.
  • Capacitor construction 25 is provided atop layer 16 and plug 20 , and electrically connected to node 14 through plug 20 .
  • Capacitor construction 25 includes a first capacitor electrode 26 , which has been provided and patterned over node 20 .
  • Examplary materials include conductively doped polysilicon, Pi, Ir, Rh, Ru, RuO 2 , IrO 2 , RhO 2 .
  • a capacitor dielectric layer 28 is provided over first capacitor electrode 26 .
  • the materials of the present invention can be used to form the capacitor dielectric layer 28 .
  • first capacitor electrode 26 includes polysilicon
  • a surface of the polysilicon is cleaned by an in situ HF dip prior to deposition of the dielectric material.
  • An exemplary thickness for layer 28 in accordance with 256 Mb integration is 100 Angstroms.
  • a diffusion barrier layer 30 is provided over dielectric layer 28 .
  • Diffusion barrier layer 30 includes conductive materials such as TiN, TaN, metal silicide, or metal silicide-nitride, and can be provided by CVD, for example, using conditions well known to those of skill in the art.
  • a second capacitor electrode 32 is formed over barrier layer 30 to complete construction of capacitor 25 .
  • Second capacitor electrode 32 can include constructions similar to those discussed above regarding the first capacitor electrode 26 , and can accordingly include, for example, conductively doped polysilicon.
  • Diffusion barrier layer 30 preferably prevents components (e.g., oxygen) from diffusing from dielectric material 28 into electrode 32 .
  • Diffusion barrier layer 30 can also prevent diffusion of silicon from metal electrode 32 to dielectric layer 28 .
  • FIG. 2 illustrates an alternative embodiment of a capacitor construction. Like numerals from FIG. 1 have been utilized where appropriate, with differences indicated by the suffix “a”.
  • Wafer fragment 10 a includes a capacitor construction 25 a differing from the construction 25 of FIG. 2 in provision of a barrier layer 30 a between first electrode 26 and dielectric layer 28 , rather than between dielectric layer 28 and second capacitor electrode 32 .
  • Barrier layer 30 a can include constructions identical to those discussed above with reference to FIG. 1 .
  • FIG. 3 illustrates yet another alternative embodiment of a capacitor construction. Like numerals from FIG. 1 are utilized where appropriate, with differences being indicated by the suffix “b” or by different numerals.
  • Wafer fragment 10 b includes a capacitor construction 25 b having the first and second capacitor plate 26 and 32 , respectively, of the first described embodiment. However, wafer fragment 10 b differs from wafer fragment 10 of FIG. 2 in that wafer fragment 10 b includes a second barrier layer 40 in addition to the barrier layer 30 . Barrier layer 40 is provided between first capacitor electrode 26 and dielectric layer 28 , whereas barrier layer 30 is between second capacitor electrode 32 and dielectric layer 28 . Barrier layer 40 can be formed by methods identical to those discussed above with reference to FIG. 1 for formation of the barrier layer 30 .
  • the barrier layers are shown and described as being distinct layers separate from the capacitor electrodes. It is to be understood, however, that the barrier layers can include conductive materials and can accordingly, in such embodiments, be understood to include at least a portion of the capacitor electrodes. In particular embodiments an entirety of a capacitor electrode can include conductive barrier layer materials.
  • FIG. 4 A system that can be used to perform vapor deposition processes (chemical vapor deposition or atomic layer deposition) of the present invention is shown in FIG. 4 .
  • the system includes an enclosed vapor deposition chamber 110 , in which a vacuum may be created using turbo pump 112 and backing pump 114 .
  • One or more substrates 116 e-g., semiconductor substrates or substrate assemblies
  • a constant nominal temperature is established for substrate 116 , which can vary depending on the process used.
  • Substrate 116 may be heated, for example, by an electrical resistance heater 118 on which substrate 116 is mounted. Other known methods of heating the substrate may also be utilized.
  • precursor compounds 160 e.g., a refractory metal precursor compound and an ether
  • precursor compounds 160 are stored in vessels 162 .
  • the precursor compounds are vaporized and separately fed along lines 164 and 166 to the deposition chamber 110 using, for example, an inert carrier gas 168 .
  • a reaction gas 170 may be supplied along line 172 as needed.
  • a purge gas 174 which is often the same as the inert carrier gas 168 , may be supplied along line 176 as needed.
  • a series of valves 180 - 185 are opened and closed as required.
  • a chamber of configuration shown in FIG. 4 was set up with pneumatic valves under computer control to pulse the valves open in sequential manner.
  • Two reservoirs connected to the chamber contained Ti(NMe 2 ) 4 and Hf(OC(CH 3 ) 3 ) 4 (Strem Chemical, Newburyport, Mass.).
  • the substrate was a silicon wafer having doped poly-silicon as a top layer and was maintained at 150° C. for the deposition.
  • Each cycle involved a 5-second pulse of Hf(OC(CH 3 ) 3 ) 4 and a 5-second pulse of Ti(NMe 2 ) 4 , each separated by a 10-second purge with argon and a 20-second pump down under dynamic vacuum.
  • the precursors were introduced with helium carrier gas, using mass flow controllers set at 5 sccm.
  • a (Hf,Ti)O 2 film 180 ⁇ thick was obtained.
  • the film was nearly 50/50 Hf/Ti based on x-ray photoelectron spectroscopy (XPS) analysis, and had no detectable nitrogen or carbon.
  • XPS x-ray photoelectron spectroscopy

Abstract

A method of forming (and an apparatus for forming) a metal oxide layer on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposit ion process and one or more precursor compounds that include organo-amine ligands and one or more precursor compounds that include organo-oxide ligands.

Description

    FIELD OF THE INVENTION
  • This invention relates to methods of forming a metal oxide layer on a substrate using one or more precursor compounds that include one or more organo-amine precursor compounds (e.g., alkylamine or alkylimino-alkylamine precursor compounds) with one or more organo-oxide precursor compounds (e.g., alkoxide or oxo-alkoxide) precursor compounds during a vapor deposition process. The precursor compounds and methods are particularly suitable for the formation of a metal oxide layers on semiconductor substrates or substrate assemblies.
  • BACKGROUND OF THE INVENTION
  • The continuous shrinkage of microelectronic devices such as capacitors and gates over the years has led to a situation where the materials traditionally used in integrated circuit technology are approaching their performance limits. Silicon (i.e., doped polysilicon) has generally been the substrate of choice, and silicon dioxide (SiO2) has frequently been used as the dielectric material with silicon to construct microelectronic devices. However, when the SiO2 layer is thinned to 1 nm (i.e. a thickness of only 4 or 5 molecules), as is desired in the newest micro devices, the layer no longer effectively performs as an insulator due to the tunneling current running through it.
  • Thus, new high dielectric constant materials are needed to extend device performance. Such materials need to demonstrate high permittivity, barrier height to prevent tunneling, stability in direct contact with silicon and good interface quality and film morphology. Furthermore, such materials must be compatible with the gate material, electrodes, semiconductor processing temperatures, and operating conditions.
  • High quality thin oxide films of metals, such as ZrO2, HfO2, Al2O3, and YSZ deposited on semiconductor wafers have recently gained interest for use in memories (e.g., dynamic random access memory (DRAM) devices, static random access memory (SRAM) devices, and ferroelectric memory (FERAM) devices). These materials have high dielectric constants and therefore are attractive as replacements in memories for SiO2 where very thin layers are required. These metal oxide layers are thermodynamically stable in the presence of silicon, minimizing silicon oxidation upon thermal annealing, and appear to be compatible with metal gate electrodes. Specifically, for gate dielectrics, La2O3, HfO2, and ZrO2 are also promising as they possess relatively high values for permittivity and bandgap.
  • This discovery has led to an effort to investigate various deposition processes to form layers, especially dielectric layers, based on metal oxides. Such deposition processes have included vapor deposition, metal thermal oxidation, and high vacuum sputtering. Vapor deposition processes, which includes chemical vapor deposition (CVD) and atomic layer deposition (ALD), are very appealing as they provide for excellent control of dielectric uniformity and thickness on a substrate. But vapor deposition processes typically involve the co-reaction of reactive metal precursor compounds with an oxygen source such as oxygen or water, either of which can cause formation of an undesirable SiO2 interfacial layer. Thus, an effort is underway to develop water- and oxygen-free vapor deposition processes.
  • Ritala et al., “Atomic Layer Deposition of Oxide Thin Films with Metal Alkoxides as Oxygen Sources,” SCIENCE, 288: 319-321 (2000) describe a chemical approach to ALD of thin oxide films. In this approach, a metal alkoxide, serving as both a metal source and an oxygen source, reacts with another metal compound such as a metal chloride or metal alkyl to deposit a metal oxide on silicon without creating an interfacial silicon oxide layer. However, undesirable chlorine residues can also be formed. Furthermore, zirconium and hafnium alkyls are generally unstable and not commercially available. They would also likely leave carbon in the resultant films.
  • Despite these continual improvements in semiconductor dielectric layers, there remains a need for a vapor deposition process utilizing sufficiently volatile metal precursor compounds that can form a thin, high quality oxide layer, particularly on a semiconductor substrate using a vapor deposition process.
  • SUMMARY OF THE INVENTION
  • This invention provides methods of vapor depositing a metal oxide layer on a substrate. These vapor deposition methods involve forming the layer by 10 combining one or more metal organo-oxide precursor compounds (e.g., alkoxides or oxo-alkoxides) with one or more metal organo-amine precursor compounds (e.g., alkylamines or alkylimines-alkylamines). Significantly, the methods of the present invention do not require the use of water or a strong oxidizer, thus reducing (and typically avoiding) the problems of producing an undesirable interfacial oxide layer between the desired metal oxide layer and the substrate, and oxidizing other layers beneath the top layer. Typically and preferably, the layer is a dielectric layer.
  • The methods of the present invention involve forming a metal oxide layer on a substrate (preferably, a semiconductor substrate or substrate assembly for use in manufacturing a semiconductor structure). Such methods include: providing a substrate; providing at least one precursor compound of the formula M1 q(O)x(OR1)y (Formula I) and at least one precursor compound of the formula M2(NR2)w(NR3R4)z (Formula II); and contacting the precursor compounds to form a metal oxide layer on one or more surfaces of the substrate using a vapor deposition process. In Formulas I and II: M1 and M2 are each independently a metal; R1, R2, R3, and R4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M1 and M2.
  • In another embodiment of the present invention, a method includes:
  • providing a substrate (preferably, a semiconductor substrate or substrate assembly) within a deposition chamber; providing at least one precursor compound of the formula M1 q(O)x(OR1)y (Formula I) and at least one precursor compound of the formula M2(NR2)w(NR3R4)z (Formula II); vaporizing the precursor compounds to form vaporized precursor compounds; and directing the vaporized precursor compounds to the substrate to form a metal oxide dielectric layer on one or more surfaces of the substrate. In Formulas I and II: M1 and M2 are each independently a metal; R1, R2, R3, and R4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M1 and M2.
  • The present invention also provides a method of manufacturing a memory device structure. The method includes: providing a substrate having a first electrode thereon; providing at least one precursor compound of the formula M1 q(O)x(OR1)y (Formula I) and at least one precursor compound of the formula M2(NR2)w(NR3R4)z (Formula II); vaporizing the precursor compounds to form vaporized precursor compounds; directing the vaporized precursor compounds to the substrate to form a metal oxide dielectric layer on the first electrode of the substrate; and forming a second electrode on the dielectric layer. In Formulas I and II: M1 and M2 are each independently a metal; R1, R2, R3, and R4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M1 and M2.
  • Also provided is a vapor deposition apparatus that includes: a vapor deposition chamber having a substrate positioned therein; one or more vessels comprising one or more precursor compounds of the formula M1 q(O)x(OR1)y (Formula I); and one or more vessels comprising one or more one precursor compounds of the formula M2(NR2)w(NR3R4)z (Formula I). In Formulas I and II: M1 and M2 are each independently a metal; R1, R2, R3, and R4 are each independently hydrogen or an organic group; x is 0 to 4; y is 1 to 8; w is 0 to 4; z is 1 to 8; q is 1 or 2; and x, y, z, and w are dependent on the oxidation states of M1 and M2.
  • The methods of the present invention can utilize a chemical vapor deposition (CVD) process, which can be pulsed, or an atomic layer deposition (ALD) process (a self-limiting vapor deposition process that includes a plurality of deposition cycles, typically with purging between the cycles). Preferably, the methods of the present invention use ALD. For certain ALD processes, the precursor compounds can be alternately introduced into a deposition chamber during each deposition cycle.
  • The present invention further provides a precursor composition comprising one or more precursor compounds of Formula I above and one or more precursor compounds of Formula II above.
  • “Semiconductor substrate” or “substrate assembly” as used herein refers to a semiconductor substrate such as a base semiconductor layer or a semiconductor substrate having one or more layers, structures, or regions formed thereon. A base semiconductor layer is typically the lowest layer of silicon material on a wafer or a silicon layer deposited on another material, such as silicon on sapphire. When reference is made to a substrate assembly, various process steps may have been previously used to form or define regions, junctions, various structures or features, and openings such as capacitor plates or barriers for capacitors.
  • “Layer” as used herein refers to any metal oxide layer that can be formed on a substrate from the precursor compounds of this invention using a vapor deposition process. The term “layer” is meant to include layers specific to the semiconductor industry, such as “barrier layer,” “dielectric layer,” and “conductive layer.” (The term “layer” is synonymous with the term “film” frequently used in the semiconductor industry.) The term “layer” is also meant to include layers found in technology outside of semiconductor technology, such as coatings on glass.
  • “Precursor compound” as used herein refers to a metal-containing compound capable of forming, either alone or with other precursor compounds, a metal oxide layer on a substrate in a vapor deposition process.
  • “Deposition process” and “vapor deposition process” as used herein refer to a process in which a metal oxide layer is formed on one or more surfaces of a substrate (e.g., a doped polysilicon wafer) from vaporized precursor compound(s). Specifically, one or more metal-containing precursor compounds are vaporized and directed to one or more surfaces of a heated substrate (e.g., semiconductor substrate or substrate assembly) placed in a deposition chamber. These precursor compounds form (e.g., by reacting or decomposing) a non-volatile, thin, uniform, metal oxide layer on the surface(s) of the substrate. For the purposes of this invention, the term “vapor deposition process” is meant to include both chemical vapor deposition processes (including pulsed chemical vapor deposition processes) and atomic layer deposition processes.
  • “Chemical vapor deposition” (CVD) as used herein refers to a vapor deposition process wherein the desired layer is deposited on the substrate from vaporized metal precursor (i.e., metal-containing precursor) compounds (and any optional reaction gases used) within a deposition chamber with no effort made to separate the reaction components. In contrast to a “simple” CVD process that involves the substantial simultaneous use of the precursor compounds and any reaction gases, “pulsed” CVD alternately pulses these materials into the deposition chamber, but does not rigorously avoid intermixing of the precursor and reaction gas streams, as is typically done in atomic layer deposition or ALD (discussed in greater detail below).
  • “Atomic layer deposition” (ALD) as used herein refers to a vapor deposition process in which numerous consecutive deposition cycles are conducted in a deposition chamber. Typically, during each cycle the metal precursor is chemisorbed to the substrate surface; excess precursor is purged out; a subsequent precursor and/or reaction gas is introduced to react with the chemisorbed layer; and excess reaction gas (if used) and by-products are removed. As compared to the one cycle chemical vapor deposition (CVD) process, the longer duration multi-cycle ALD process allows for improved control of layer thickness by self-limiting layer growth and minimizing detrimental gas phase reactions by separation of the reaction components. The term “atomic layer deposition” as used herein is also meant to include the related terms “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor compound(s), reaction gas(es), and purge (i.e., inert carrier) gas.
  • “Chemisorption” as used herein refers to the chemical adsorption of vaporized reactive precursor compounds on the surface of a substrate. The adsorbed species are irreversibly bound to the substrate surface as a result of relatively strong binding forces characterized by high adsorption energies (e.g., >30 kcal/mol), comparable in strength to ordinary chemical bonds. The chemisorbed species typically form a mononolayer on the substrate surface. (See “The Condensed Chemical Dictionary”, 10th edition, revised by G. G. Hawley, published by Van Nostrand Reinhold Co., New York, 225 (1981)). The technique of ALD is based on the principle of the formation of a saturated monolayer of reactive precursor molecules by chemisorption. In ALD one or more appropriate precursor compounds or reaction gases are alternately introduced (e.g., pulsed) into a deposition chamber and chemisorbed onto the surfaces of a substrate. Each sequential introduction of a reactive compound (e.g., one or more precursor compounds and one or more reaction gases) is typically separated by an inert carrier gas purge. Each precursor compound co-reaction adds a new atomic layer to previously deposited layers to form a cumulative solid layer. The cycle is repeated, typically for several hundred times, to gradually form the desired layer thickness. It should be understood that ALD can alternately utilize one precursor compound, which is chemisorbed, and one reaction gas, which reacts with the chemisorbed species.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-3 are exemplary capacitor constructions.
  • FIG. 4 is a perspective view of a vapor deposition coating system suitable for use in the method of the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
  • The present invention provides methods of forming a metal oxide layer (preferably, a mixed metal oxide layer) on a substrate (preferably a semiconductor substrate or substrate assembly) using one or more precursor compounds of the formula M1 q(O)x(OR1)y (Formula I) with one or more metal precursor compounds of the formula M2(NR2)w(NR3R4)z (Formula II). In Formulas I and II: M1 and M2 are each independently any metal (main group, transition metal, lanthanide); each R is independently hydrogen or an organic group; x is 0 to 4 (preferably, 0 to 2); y is 1to 8 (preferably, 2 to 6); w is 0 to 4 (preferably, 0 to 2); z is 1 to 8 (preferably, 2 to 6); q is 1 or 2 (preferably, 1); and x, y, and z are dependent on the oxidation state of M1 and M2.
  • The metal oxide layer may include one or more different metals and is typically of the formula MnOm (Formula III), wherein M can be one or more of M1 and M2 as defined above (i.e., the oxide can be a single metal oxide or a mixed metal oxide). Preferably, the metal oxide layer is a mixed metal oxide (i.e., includes two or more different metals). More preferably, the metal oxide layer includes two different metals.
  • For certain embodiments, the metals of the compounds of Formulas I and II are the same (i.e., M1=M2). When such compounds are used in an ALD process, the growth rate per cycle may be nearly twice that of typical ALD processes involving a single metal precursor and an oxidizing gas.
  • If the metal oxide layer includes two or more different metals, the metal oxide layer can be in the form of alloys, solid solutions, or nanolaminates. Preferably, these have dielectric properties. The metal oxide layer (particularly if it is a dielectric layer) preferably includes one or more of ZrO2, HfO2, Ta2O3, Al2O3, TiO2, and an oxide of a lanthanide.
  • The substrate on which the metal oxide layer is formed is preferably a semiconductor substrate or substrate assembly. Any suitable semiconductor material is contemplated, such as for example, conductively doped polysilicon (for this invention simply referred to as “silicon”). A substrate assembly may also contain a layer that includes platinum, iridium, rhodium, ruthenium, ruthenium oxide, titanium nitride, tantalum nitride, tantalum-silicon-nitride, silicon dioxide, aluminum, gallium arsenide, glass, etc., and other existing or to-be-developed materials used in semiconductor constructions, such as dynamic random access memory (DRAM) devices and static random access memory (SRAM) devices, for example.
  • Substrates other than semiconductor substrates or substrate assemblies can be used in methods of the present invention. These include, for example, fibers, wires, etc. If the substrate is a semiconductor substrate or substrate assembly, the layers can be formed directly on the lowest semiconductor surface of the substrate, or they can be formed on any of a variety of the layers (i.e., surfaces) as in a patterned wafer, for example.
  • The precursor compounds described herein may include a wide variety of metals. As used herein, “metal” includes all metals of the periodic table (including main group metals, transition metals, lanthanides, actinides) as well as metalloids or semimetals. For certain methods of the present invention, preferably, each metal M is selected from the group of metals of Groups IIIB (Sc, Y), IVB (Ti, Zr, Hf), VB (V, Nb, Ta), VIB (Cr, Mo, W), VIIB (Mn, Tc, Re), IIIA (Al, Ga, In, Tl), IVA (Si, Ge, Sn, Pb), and the lanthanides (La, Ce, Pr, etc.), which are also referred to as Groups 3-7, 13, 14, the lanthanides of the Periodic Chart. More preferably, M is selected from the group of Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Si, and Al.
  • The precursor compounds useful in this invention are of the formulas M1(O)x(OR1)y (Formula I) and M2(NR2)w(NR3R4)z (Formula II), wherein each R is independently hydrogen or an organic group. As used herein, the term “organic group” is used for the purpose of this invention to mean a hydrocarbon group that is classified as an aliphatic group, cyclic group, or combination of aliphatic and cyclic groups (e.g., alkaryl and aralkyl groups). In the context of the present invention, suitable organic groups for precursor compounds of this invention are those that do not interfere with the formation of a metal oxide layer using vapor deposition techniques. In the context of the present invention, the term “aliphatic group” means a saturated or unsaturated linear or branched hydrocarbon group. This term is used to encompass alkyl, alkenyl, and alkynyl groups, for example. The term “alkyl group” means a saturated linear or branched monovalent hydrocarbon group including, for example, methyl, ethyl, n-propyl, isopropyl, t-butyl, amyl, heptyl, and the like. The term “alkenyl group” means an unsaturated, linear or branched monovalent hydrocarbon group with one or more olefinically unsaturated groups (i.e., carbon-carbon double bonds), such as a vinyl group. The term “alkynyl group” means an unsaturated, linear or branched monovalent hydrocarbon group with one or more carbon-carbon triple bonds. The term “cyclic group” means a closed ring hydrocarbon group that is classified as an alicyclic group, aromatic group, or heterocyclic group. The term “alicyclic group” means a cyclic hydrocarbon group having properties resembling those of aliphatic groups. The term “aromatic group” or “aryl group” means a mono- or polynuclear aromatic hydrocarbon group. The term “heterocyclic group” means a closed ring hydrocarbon in which one or more of the atoms in the ring is an element other than carbon (e.g., nitrogen, oxygen, sulfur, etc.).
  • As a means of simplifying the discussion and the recitation of certain terminology used throughout this application, the terms “group” and “moiety” are used to differentiate between chemical species that allow for substitution or that may be substituted and those that do not so allow for substitution or may not be so substituted. Thus, when the term “group” is used to describe a chemical substituent, the described chemical material includes the unsubstituted group and that group with nonperoxidic O, N, Si, F, or S atoms, for example, in the chain as well as carbonyl groups or other conventional substituents. Where the term “moiety” is used to describe a chemical compound or substituent, only an unsubstituted chemical material is intended to be included. For example, the phrase “alkyl group” is intended to include not only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, (-butyl, and the like, but also alkyl substituents bearing further substituents known in the art, such as hydroxy, alkoxy, alkylsulfonyl, halogen atoms, cyano, nitro, amino, carboxyl, etc. Thus, “alkyl group” includes ether groups, haloalkyls, nitroalkyls, carboxyalkyls, hydroxyalkyls, sulfoalkyls, etc. On the other hand, the phrase “alkyl moiety” is limited to the inclusion of only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like.
  • For all the precursor compounds of this invention, each R is independently and preferably hydrogen or an organic group, more preferably a (C1-C10) organic group, even more preferably a (C1-C8) organic group, even more preferably a (C1-C6) organic group, and even more preferably a “lower” (i.e., C1-C4) organic group. Even more preferably, each of these organic groups is an alkyl group. Most preferably, each organic group is an organic moiety, and preferably, an alkyl moiety.
  • In certain embodiments, the carbon atoms of the R groups are optionally replaced by or substituted with silicon, fluorine, oxygen, and/or nitrogen atoms or groups containing such atoms. Thus, siloxides are within the scope of Formula I and silylated amines and silylated imine-amines are within the scope of Formula II.
  • For the compounds of Formula I, M1 q(O)x(OR1)y, each R1is preferably (C1-C6) organic group. Examples of suitable precursor compounds include tetrakis(t-butoxide) hafnium, tetrakis(isopropoxy) titanium, and penta(ethoxy) tantalum. Such compounds are either commercially available from sources such as Sigma Aldrich, or they can be prepared using standard techniques (e.g., by alcoholysis of metal alkyl amides).
  • For the compounds of Formula II, M2(NR2)w(NR3R4)z, R2, R3, and R4 are each preferably a (C1-C6) organic group. Examples of suitable precursor compounds include tetrakis(dimethylamino)titanium, letrakis(dimethiylamino)hafnium, tetrakis(ethylmethylamino)hafnium, and Al(NMe2)2(N(Me)CH2CH2NMe2). Such compounds are either commercially available from sources such as Strem Chemical Co., or they can be prepared using standard techniques (e.g., by reacting metal chlorides with the corresponding lithium dialkyl amides).
  • Various precursor compounds can be used in various combinations, optionally with one or more organic solvents (particularly for CVD processes), to form a precursor composition. The precursor compounds may be liquids or solids at room temperature (preferably, they are liquids at the vaporization temperature). Typically, they are liquids sufficiently volatile to be employed using known vapor deposition techniques. However, as solids they may also be sufficiently volatile that they can be vaporized or sublimed from the solid state using known vapor deposition techniques. If they are less volatile solids, they are preferably sufficiently soluble in an organic solvent or have melting points below their decomposition temperatures such that they can be used in flash vaporization, bubbling, microdroplet formation techniques, etc. Herein, vaporized precursor compounds may be used either alone or optionally with vaporized molecules of other precursor compounds or optionally with vaporized solvent molecules, if used. As used herein, “liquid” refers to a solution or a neat liquid (a liquid at room temperature or a solid at room temperature that melts at an elevated temperature). As used herein, “solution” does not require complete solubility of the solid but may allow for some undissolved solid, as long as there is a sufficient amount of the solid delivered by the organic solvent into the vapor phase for chemical vapor deposition processing. If solvent dilution is used in deposition, the total molar concentration of solvent vapor generated may also be considered as a inert carrier gas.
  • The solvents that are suitable for this application (particularly for a CVD process) can be one or more of the following: aliphatic hydrocarbons or unsaturated hydrocarbons (C3-C20, and preferably C5-C10, cyclic, branched, or linear), aromatic hydrocarbons (C5-C20, and preferably C5-C10), halogenated hydrocarbons, silylated hydrocarbons such as alkylsilanes, alkylsilicates, ethers, polyethers, thioethers, esters, lactones, ammonia, amides, amines (aliphatic or aromatic, primary, secondary, or tertiary), polyamines, nitriles, cyanales, isocyanates, thiocyanates, silicone oils, alcohols, or compounds containing combinations of any of the above or mixtures of one or more of the above. The compounds are also generally compatible with each other, so that mixtures of variable quantities of the precursor compounds will not interact to significantly change their physical properties.
  • For this invention, preferably no reaction gas is employed to minimize oxidation of the substrate (typically silicon) to its oxide (typically silicon dioxide). That oxidizing process can also cause detrimental oxidation to other substrates such as metal electrodes or nitride barriers. Also, as is known in the art some layers can be pervious to oxidizing gases and cause detrimental oxidation of a layer below the top substrate layer.
  • The precursor compounds can be vaporized in the presence of an inert carrier gas if desired. Additionally, an inert carrier gas can be used in purging steps in an ALD process. The inert carrier gas is typically selected from the group consisting of nitrogen, helium, argon, and combinations thereof. In the context of the present invention, an inert carrier gas is one that does not interfere with the formation of the metal oxide layer. Whether done in the presence of a inert carrier gas or not, the vaporization is preferably done in the absence of oxygen to avoid oxygen contamination of the layer (e.g., oxidation of silicon to form silicon dioxide).
  • The deposition process for this invention is a vapor deposition process. Vapor deposition processes are generally favored in the semiconductor industry due to the process capability to quickly provide highly conformal layers even within deep contacts and other openings. Chemical vapor deposition (CVD) and atomic layer deposition (ALD) are two vapor deposition processes often employed to form thin, continuous, uniform, metal oxide (preferably dielectric) layers onto semiconductor substrates. Using either vapor deposition process, typically one or more precursor compounds are vaporized in a deposition chamber and optionally combined with one or more reaction gases to form a metal oxide layer onto a substrate. It will be readily apparent to one skilled in the art that the vapor deposition process may be enhanced by employing various related techniques such as plasma assistance, photo assistance, laser assistance, as well as other techniques.
  • The final layer (preferably, a dielectric layer) formed preferably has a thickness in the range of about 10 Å to about 500 Å. More preferably, the thickness of the metal oxide layer is in the range of about 30 Å to about 80 Å.
  • In most vapor deposition processes, the precursor compound(s) are typically reacted with an oxidizing or reducing reaction gas at elevated temperatures to form the metal oxide layer. However, in the practice of this invention, no such reaction gas is needed because the precursor of Formula I provides the oxygen to the film formed. However, oxidizing gases, such as O2, O3, H2O, H2O2, and N2O can be used if desired.
  • Chemical vapor deposition (CVD) has been extensively used for the preparation of metal oxide layers, such as dielectric layers, in semiconductor processing because of its ability to provide highly conformal and high quality dielectric layers at relatively fast processing times. The desired precursor compounds are vaporized and then introduced into a deposition chamber containing a heated substrate with optional reaction gases and/or inert carrier gases. In a typical CVD process, vaporized precursors are contacted with reaction gas(es) at the substrate surface to form a layer (e.g., dielectric layer). The single deposition cycle is allowed to continue until the desired thickness of the layer is achieved.
  • Typical CVD processes generally employ precursor compounds in vaporization chambers that are separated from the process chamber wherein the deposition surface or wafer is located. For example, liquid precursor compounds are typically placed in bubblers and heated to a temperature at which they vaporize, and the vaporized liquid precursor compound is then transported by an inert carrier gas passing over the bubbler or through the liquid precursor compound. The vapors are then swept through a gas line to the deposition chamber for depositing a layer on substrate surface(s) therein. Many techniques have been developed to precisely control this process. For example, the amount of precursor material transported to the deposition chamber can be precisely controlled by the temperature of the reservoir containing the precursor compound and by the flow of an inert carrier gas bubbled through or passed over the reservoir.
  • Preferred embodiments of the precursor compounds described herein are particularly suitable for chemical vapor deposition (CVD). The deposition temperature at the substrate surface is preferably held at a temperature in a range of about 100° C. to about 600° C., more preferably in the range of about 200° C. to about 500° C. The deposition chamber pressure is preferably maintained at a deposition pressure of about 0.1 torr to about 10 torr. The partial pressure of precursor compounds in the inert carrier gas is preferably about 0.001 torr to about 10 torr.
  • Several modifications of the CVD process and chambers are possible, for example, using atmospheric pressure chemical vapor deposition, low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), hot wall or cold wall reactors or any other chemical vapor deposition technique. Furthermore, pulsed CVD can be used, which is similar to ALD (discussed in greater detail below) but does not rigorously avoid intermixing of percursor and reactant gas streams. Also, for pulsed CVD, the deposition thickness is dependent on the exposure time, as opposed to ALD, which is self-limiting (discussed in greater detail below).
  • A typical CVD process may be carried out in a chemical vapor deposition reactor, such as a deposition chamber available tinder the trade designation of 7000 from Genus, Inc. (Sunnyvale, Calif.), a deposition chamber available under the trade designation of 5000 from Applied Materials, Inc. (Santa Clara, Calif.), or a deposition chamber available under the trade designation of Prism from Novelus, Inc. (San Jose, Calif.). However, any deposition chamber suitable for performing CVD may be used.
  • Alternatively, and preferably, the vapor deposition process employed in the methods of the present invention is a multi-cycle ALD process. Such a process is advantageous (particularly over a CVD process) in that in provides for optimum control of atomic-level thickness and uniformity to the deposited layer (e.g., dielectric layer) and to expose the metal precursor compounds to lower volatilization and reaction temperatures to minimize degradation. Typically, in an ALD process, each reactant is pulsed sequentially onto a suitable substrate, typically at deposition temperatures of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes. Under such conditions the film growth is typically self-limiting (i.e., when the reactive sites on a surface are used up in an ALD process, the deposition generally stops), insuring not only excellent conformality but also good large area uniformity plus simple and accurate thickness control. Due to alternate dosing of the precursor compounds and/or reaction gases, detrimental vapor-phase reactions are inherently eliminated, in contrast to the CVD process that is carried out by continuous coreaction of the precursors and/or reaction gases. (See Vehkamäki et al, “Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition,” Electrochemical and Solid-State Letters, 2(10): 504-506 (1999)).
  • A typical ALD process includes exposing an initial substrate to a first chemical species (e.g., a precursor compound of Formula I) to accomplish chemisorption of the species onto the substrate. Theoretically, the chemisorption forms a monolayer that is uniformly one atom or molecule thick on the entire exposed initial substrate. In other words, a saturated monolayer. Practically, chemisorption might not occur on all portions of the substrate. Nevertheless, such an imperfect monolayer is still a monolayer in the context of the present invention. In many applications, merely a substantially saturated monolayer may be suitable. A substantially saturated monolayer is one that will still yield a deposited layer exhibiting the quality and/or properties desired for such layer.
  • The first species is purged from over the substrate and a second chemical species (e.g., a different precursor compound of Formula I or a precursor compound of Formula II) is provided to react with the first monolayer of the first species. The second species is then purged and the steps are repeated with exposure of the second species monolayer to the first species. In some cases, the two monolayers may be of the same species. As an option, the second species can react with the first species, but not chemisorb additional material thereto. That is, the second species can cleave some portion of the chemisorbed first species, altering such monolayer without forming another monolayer thereon. Also, a third species or more may be successively chemisorbed (or reacted) and purged just as described for the first and second species. Optionally, the second species (or third or subsequent) can include at least one reaction gas if desired.
  • Purging may involve a variety of techniques including, but not limited to, contacting the substrate and/or monolayer with a carrier gas and/or lowering pressure to below the deposition pressure to reduce the concentration of a species contacting the substrate and/or chemisorbed species. Examples of carrier gases include N2, Ar, He, etc. Purging may instead include contacting the substrate and/or monolayer with any substance that allows chemisorption by-products to desorb and reduces the concentration of a contacting species preparatory to introducing another species. The contacting species may be reduced to some suitable concentration or partial pressure known to those skilled in the art based on the specifications for the product of a particular deposition process.
  • ALD is often described as a self-limiting process, in that a finite number of sites exist on a substrate to which the first species may form chemical bonds. The second species might only bond to the first species and thus may also be self-limiting. Once all of the finite number of sites on a substrate are bonded with a first species, the first species will often not bond to other of the first species already bonded with the substrate. However, process conditions can be varied in ALD to promote such bonding and render ALD not self-limiting. Accordingly, ALD may also encompass a species forming other than one monolayer at a time by stacking of a species, forming a layer more than one atom or molecule thick.
  • The described method indicates the “substantial absence” of the second precursor (i.e., second species) during chemisorption of the first precursor since insignificant amounts of the second precursor might be present. According to the knowledge and the preferences of those with ordinary skill in the art, a determination can be made as to the tolerable amount of second precursor and process conditions selected to achieve the substantial absence of the second precursor.
  • Thus, during the ALD process, numerous consecutive deposition cycles are conducted in the deposition chamber, each cycle depositing a very thin metal oxide layer (usually less than one monolayer such that the growth rate on average is from about 0.2 to about 3.0 Angstroms per cycle), until a layer of the desired thickness is built up on the substrate of interest. The layer deposition is accomplished by alternately introducing (i.e., by pulsing) precursor compounds into the deposition chamber containing a semiconductor substrate, chemisorbing the precursor compound(s) as a monolayer onto the substrate surfaces, and then reacting the chemisorbed precursor compound(s) with the other co-reactive precursor compound(s). The pulse duration of precursor compound(s) and inert carrier gas(es) is sufficient to saturate the substrate surface. Typically, the pulse duration is from about 0.1 to about 5 seconds, preferably from about 0.2 to about 1 second.
  • In comparison to the predominantly thermally driven CVD, ALD is predominantly chemically driven. Accordingly, ALD is often conducted at much lower temperatures than CVD. During the ALD process, the substrate temperature is maintained at a temperature sufficiently low to maintain intact bonds between the chemisorbed precursor compound(s) and the underlying substrate surface and to prevent decomposition of the precursor compound(s). The temperature is also sufficiently high to avoid condensation of the precursor compounds(s). Typically the substrate temperature is kept within the range of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes. Thus, the first species or precursor compound is chemisorbed at this temperature. Surface reaction of the second species or precursor compound can occur at substantially the same temperature as chemisorption of the first precursor or, less preferably, at a substantially different temperature. Clearly, some small variation in temperature, as judged by those of ordinary skill, can occur but still be a substantially same temperature by providing a reaction rate statistically the same as would occur at the temperature of the first precursor chemisorption. Chemisorption and subsequent reactions could instead occur at exactly the same temperature.
  • For a typical ALD process, the pressure inside the deposition chamber is kept at about 10−4 torr to about 1 torr, preferably about 10−4 torr to about 0.1 torr. Typically, the deposition chamber is purged with an inert carrier gas after the vaporized precursor compound(s) have been introduced into the chamber and/or reacted for each cycle. The inert carrier gas(es) can also be introduced with the vaporized precursor compound(s) during each cycle.
  • The reactivity of a precursor compound can significantly influence the process parameters in ALD. Under typical CVD process conditions, a highly reactive compound may react in the gas phase generating particulates, depositing prematurely on undesired surfaces, producing poor films, and/or yielding poor step coverage or otherwise yielding non-uniform deposition. For at least such reason, a highly reactive compound might be considered not suitable for CVD. However, some compounds not suitable for CVD are superior ALD precursors. For example, if the first precursor is gas phase reactive with the second precursor, such a combination of compounds might not be suitable for CVD, although they could be used in ALD. In the CVD context, concern might also exist regarding sticking coefficients and surface mobility, as known to those skilled in the art, when using highly gas-phase reactive precursors, however, little or no such concern would exist in the ALD context.
  • After layer formation on the substrate, an annealing process can be optionally performed in situ in the deposition chamber in a nitrogen atmosphere or oxidizing atmosphere. Preferably, the annealing temperature is within the range of about 400° C. to about 1000° C. Particularly after ALD, the annealing temperature is more preferably about 400° C. to about 750° C., and most preferably about 600° C. to about 700° C. The annealing operation is preferably performed for a time period of about 0.5 minute to about 60 minutes and more preferably for a time period of about 1 minute to about 10 minutes. One skilled in the art will recognize that such temperatures and lime periods may vary. For example, furnace anneals and rapid thermal annealing may be used, and further, such anneals may be performed in one or more annealing steps.
  • As stated above, the use of the complexes and methods of forming films of the present invention are beneficial for a wide variety of thin film applications in semiconductor structures, particularly those using high dielectric materials. For example, such applications include capacitors such as planar cells, trench cells (e.g., double sidewall trench capacitors), stacked cells (e-g., crown, V-cell, delta cell, multi-fingered, or cylindrical container stacked capacitors), as well as field effect transistor devices.
  • A specific example of where a dielectric layer is formed according to the present invention is a capacitor construction. Exemplary capacitor constructions are described with reference to FIGS. 1-3. Referring to FIG. 1, a semiconductor wafer fragment 10 includes a capacitor construction 25 formed by a method of the present invention. Wafer fragment 10 includes a substrate 12 having a conductive diffusion area 14 formed therein. Substrate 12 can include, for example, monocrystalline silicon. An insulating layer 16, typically borophosphosilicate glass (BPSG), is provided over substrate 12, with a contact opening 18 provided therein to diffusion area 14. A conductive material 20 fills contact opening 18, with material 20 and oxide layer 18 having been planarized as shown. Material 20 might be any suitable conductive material, such as, for example, tungsten or conductively doped polysilicon. Capacitor construction 25 is provided atop layer 16 and plug 20, and electrically connected to node 14 through plug 20.
  • Capacitor construction 25 includes a first capacitor electrode 26, which has been provided and patterned over node 20. Examplary materials include conductively doped polysilicon, Pi, Ir, Rh, Ru, RuO2, IrO2, RhO2. A capacitor dielectric layer 28 is provided over first capacitor electrode 26. The materials of the present invention can be used to form the capacitor dielectric layer 28. Preferably, if first capacitor electrode 26 includes polysilicon, a surface of the polysilicon is cleaned by an in situ HF dip prior to deposition of the dielectric material. An exemplary thickness for layer 28 in accordance with 256 Mb integration is 100 Angstroms.
  • A diffusion barrier layer 30 is provided over dielectric layer 28. Diffusion barrier layer 30 includes conductive materials such as TiN, TaN, metal silicide, or metal silicide-nitride, and can be provided by CVD, for example, using conditions well known to those of skill in the art. After formation of barrier layer 30, a second capacitor electrode 32 is formed over barrier layer 30 to complete construction of capacitor 25. Second capacitor electrode 32 can include constructions similar to those discussed above regarding the first capacitor electrode 26, and can accordingly include, for example, conductively doped polysilicon. Diffusion barrier layer 30 preferably prevents components (e.g., oxygen) from diffusing from dielectric material 28 into electrode 32. If, for example, oxygen diffuses into a silicon-containing electrode 32, it can undesirably form SiO2, which will significantly reduce the capacitance of capacitor 25. Diffusion barrier layer 30 can also prevent diffusion of silicon from metal electrode 32 to dielectric layer 28.
  • FIG. 2 illustrates an alternative embodiment of a capacitor construction. Like numerals from FIG. 1 have been utilized where appropriate, with differences indicated by the suffix “a”. Wafer fragment 10 a includes a capacitor construction 25a differing from the construction 25 of FIG. 2 in provision of a barrier layer 30 a between first electrode 26 and dielectric layer 28, rather than between dielectric layer 28 and second capacitor electrode 32. Barrier layer 30 a can include constructions identical to those discussed above with reference to FIG. 1.
  • FIG. 3 illustrates yet another alternative embodiment of a capacitor construction. Like numerals from FIG. 1 are utilized where appropriate, with differences being indicated by the suffix “b” or by different numerals. Wafer fragment 10 b includes a capacitor construction 25 b having the first and second capacitor plate 26 and 32, respectively, of the first described embodiment. However, wafer fragment 10 b differs from wafer fragment 10 of FIG. 2 in that wafer fragment 10 b includes a second barrier layer 40 in addition to the barrier layer 30. Barrier layer 40 is provided between first capacitor electrode 26 and dielectric layer 28, whereas barrier layer 30 is between second capacitor electrode 32 and dielectric layer 28. Barrier layer 40 can be formed by methods identical to those discussed above with reference to FIG. 1 for formation of the barrier layer 30.
  • In the embodiments of FIGS. 1-3, the barrier layers are shown and described as being distinct layers separate from the capacitor electrodes. It is to be understood, however, that the barrier layers can include conductive materials and can accordingly, in such embodiments, be understood to include at least a portion of the capacitor electrodes. In particular embodiments an entirety of a capacitor electrode can include conductive barrier layer materials.
  • A system that can be used to perform vapor deposition processes (chemical vapor deposition or atomic layer deposition) of the present invention is shown in FIG. 4. The system includes an enclosed vapor deposition chamber 110, in which a vacuum may be created using turbo pump 112 and backing pump 114. One or more substrates 116 (e-g., semiconductor substrates or substrate assemblies) are positioned in chamber 110. A constant nominal temperature is established for substrate 116, which can vary depending on the process used. Substrate 116 may be heated, for example, by an electrical resistance heater 118 on which substrate 116 is mounted. Other known methods of heating the substrate may also be utilized.
  • In this process, precursor compounds 160 (e.g., a refractory metal precursor compound and an ether) are stored in vessels 162. The precursor compounds are vaporized and separately fed along lines 164 and 166 to the deposition chamber 110 using, for example, an inert carrier gas 168. A reaction gas 170 may be supplied along line 172 as needed. Also, a purge gas 174, which is often the same as the inert carrier gas 168, may be supplied along line 176 as needed. As shown, a series of valves 180-185 are opened and closed as required.
  • The following examples are offered to further illustrate the various specific and preferred embodiments and techniques. It should be understood, however, that many variations and modifications may be made while remaining within the scope of the present invention, so the scope of the invention is not intended to be limited by the examples. Unless specified otherwise, all percentages shown in the examples are percentages by weight.
  • EXAMPLE Example 1 Atomic Layer Deposition of (Hf,Ti)O2
  • A chamber of configuration shown in FIG. 4 was set up with pneumatic valves under computer control to pulse the valves open in sequential manner. Two reservoirs connected to the chamber contained Ti(NMe2)4 and Hf(OC(CH3)3)4 (Strem Chemical, Newburyport, Mass.). The substrate was a silicon wafer having doped poly-silicon as a top layer and was maintained at 150° C. for the deposition.
  • Each cycle involved a 5-second pulse of Hf(OC(CH3)3)4 and a 5-second pulse of Ti(NMe2)4, each separated by a 10-second purge with argon and a 20-second pump down under dynamic vacuum. The precursors were introduced with helium carrier gas, using mass flow controllers set at 5 sccm. After 400 cycles a (Hf,Ti)O2 film 180 Å thick was obtained. The film was nearly 50/50 Hf/Ti based on x-ray photoelectron spectroscopy (XPS) analysis, and had no detectable nitrogen or carbon.
  • The complete disclosures of the patents, patent documents, and publications cited herein are incorporated by reference in their entirety as if each were individually incorporated. Various modifications and alterations to this invention will become apparent to those skilled in the art without departing from the scope and spirit of this invention. It should be understood that this invention is not intended to be unduly limited by the illustrative embodiments and examples set forth herein and that such examples and embodiments are presented by way of example only with the scope of the invention intended to be limited only by the claims set forth herein as follows.

Claims (29)

1-49. (canceled)
50. A vapor deposition apparatus comprising:
a vapor deposition chamber having a substrate positioned therein;
one or more vessels comprising one or more precursor compounds of the formula M1 q(O)x(OR1)y (Formula I); and
one or more vessels comprising one or more one precursor compounds of the formula M2(NR2)w(NR3R4)z (Formula II), wherein:
M1 and M2 are the same metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
x is 0 to 4;
y is 1 to 8;
w is 0 to 4;
z is 1 to 8;
q is 1 or 2; and
x, y, z, and w are dependent on the oxidation states of M1 and M2,
wherein the vapor deposition apparatus can vaporize and direct the precursor compounds using an atomic layer deposition process comprising a plurality of deposition cycles.
51. The apparatus of claim 50 wherein the substrate is a silicon wafer.
52. The apparatus of claim 50 further comprising one or more sources of an inert carrier gas for transferring the precursors to the vapor deposition chamber.
53. A vapor deposition system comprising:
a vapor deposition chamber having a substrate positioned therein;
one or more vessels comprising one or more precursor compounds of the formula M1 q(O)x(OR1)y (Formula I); and
one or more vessels comprising one or more one precursor compounds of the formula M2(NR2)w(NR3R4)z (Formula II), wherein:
M1 and M2 are the same metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
x is 0 to 4;
y is 1 to 8;
w is 0 to 4;
z is 1 to 8;
q is 1 or 2; and
x, y, z, and w are dependent on the oxidation states of M1 and M2,
wherein the vapor deposition system can vaporize and direct the precursor compounds using an atomic layer deposition process comprising a plurality of deposition cycles.
54. The system of claim 53 wherein the substrate is a semiconductor substrate or substrate assembly.
55. The system of claim 53 wherein the substrate is a silicon wafer.
56. The system of claim 53 further comprising one or more sources of an inert carrier gas for transferring the precursors to the vapor deposition chamber.
57. The system of claim 53 wherein M1 and M2 are each selected from the group of metals consisting of Groups 3, 4, 5, 6, 7, 13, 14, and the lanthanides.
58. The system of claim 53 wherein M1 and M2 are each selected from the group of metals consisting of Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Si, and Al.
59. The system of claim 53 wherein each R1 is independently an organic group.
60. The system of claim 59 wherein each R1 is independently a (C1-C6) organic group.
61. The system of claim 53 wherein R2, R3, and R4 are each independently hydrogen or a (C1-C6) organic group.
62. The system of claim 53 wherein x is 0 to 2 and y is 2 to 6.
63. The system of claim 53 wherein w is 0 to 2 and z is 2 to 6.
64. The system of claim 53 wherein the atomic layer deposition process alternately introduces the precursor compounds during each deposition cycle.
65. The system of claim 53 wherein the temperature of the substrate is about 25° C. to about 400° C.
66. The system of claim 53 wherein the substrate is in a deposition chamber having a pressure of about 10−4 torr to about 1 torr.
67. The system of claim 53 wherein the substrate in the deposition chamber has an electrode thereon.
68. A vapor deposition system comprising:
one or more vessels comprising one or more precursor compounds of the formula M1 q(O)x(OR1)y (Formula I); and
one or more vessels comprising one or more one precursor compounds of the formula M2(NR2)w(NR3R4)z (Formula II), wherein:
M1 and M2 are the same metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
x is 0 to 4;
y is 1 to 8;
w is 0 to 4;
z is 1 to 8;
q is 1 or 2; and
x, y, z, and w are dependent on the oxidation states of M1 and M2,
wherein the vapor deposition system can vaporize and direct the precursor compounds using an atomic layer deposition process comprising a plurality of deposition cycles.
69. The system of claim 68 further comprising one or more sources of an inert carrier gas for transferring the precursors.
70. The system of claim 68 wherein M1 and M2 are each selected from the group of metals consisting of Groups 3, 4, 5, 6, 7, 13, 14, and the lanthanides.
71. The system of claim 68 wherein M1 and M2 are each selected from the group of metals consisting of Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Si, and Al.
72. The system of claim 68 wherein each R1 is independently an organic group.
73. The system of claim 72 wherein each R1 is independently a (C1-C6) organic group.
74. The system of claim 68 wherein R2, R3, and R4 are each independently hydrogen or a (C1-C6) organic group.
75. The system of claim 68 wherein x is 0 to 2 and y is 2 to 6.
76. The system of claim 68 wherein w is 0 to 2 and z is 2 to 6.
77. The system of claim 68 wherein the atomic layer deposition process alternately introduces the precursor compounds during each deposition cycle.
US11/216,629 2002-08-28 2005-08-31 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides Abandoned US20050287819A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/216,629 US20050287819A1 (en) 2002-08-28 2005-08-31 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/229,627 US6958300B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US11/216,629 US20050287819A1 (en) 2002-08-28 2005-08-31 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/229,627 Division US6958300B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Publications (1)

Publication Number Publication Date
US20050287819A1 true US20050287819A1 (en) 2005-12-29

Family

ID=31976278

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/229,627 Expired - Lifetime US6958300B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US11/216,629 Abandoned US20050287819A1 (en) 2002-08-28 2005-08-31 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/229,627 Expired - Lifetime US6958300B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides

Country Status (8)

Country Link
US (2) US6958300B2 (en)
EP (1) EP1532291B1 (en)
JP (1) JP4355656B2 (en)
KR (1) KR20050043926A (en)
CN (1) CN1688743A (en)
AU (1) AU2003262900A1 (en)
TW (1) TWI270579B (en)
WO (1) WO2004020689A2 (en)

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040043635A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20040043625A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20050221006A1 (en) * 2002-08-28 2005-10-06 Micron Technology, Inc. Metal-doped alumina and layers thereof
US20060172485A1 (en) * 2002-08-28 2006-08-03 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US20090127105A1 (en) * 2004-08-20 2009-05-21 Micron Technology, Inc. Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20100125128A1 (en) * 2008-11-14 2010-05-20 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US7875912B2 (en) 2005-08-29 2011-01-25 Micron Technology, Inc. Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7902582B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8273177B2 (en) 2006-04-07 2012-09-25 Micron Technology, Inc. Titanium-doped indium oxide films
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US8546594B2 (en) 2010-07-21 2013-10-01 Evonik Degussa Gmbh Indium oxoalkoxides for producing coatings containing indium oxide
US8581352B2 (en) 2006-08-25 2013-11-12 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US9184061B2 (en) 2002-08-28 2015-11-10 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US9309595B2 (en) 2009-08-21 2016-04-12 Evonik Degussa Gmbh Method for the production of metal oxide-containing layers

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
CN100439561C (en) * 2002-04-19 2008-12-03 马特森技术公司 System for depositing a film onto a substrate using a low vapor pressure gas precursor
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
FR2850282B1 (en) 2003-01-27 2007-04-06 Jerome Asius INJECTABLE IMPLANT BASED ON CERAMIC FOR THE FILLING OF WRINKLES, CUTANEOUS DEPRESSIONS AND SCARS, AND ITS PREPARATION
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP2007523994A (en) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド Atomic layer deposition of barrier materials
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
FR2869325B1 (en) * 2004-04-27 2006-06-16 Commissariat Energie Atomique METHOD FOR DEPOSITING A THIN LAYER ON AN OXIDE LAYER OF A SUBSTRATE
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR100829539B1 (en) * 2007-04-13 2008-05-16 삼성전자주식회사 Method of manufacturing a thin layer, methods of manufacturing gate structure and capacitor using the same
KR100888617B1 (en) * 2007-06-15 2009-03-17 삼성전자주식회사 Phase Change Memory Device and Method of Forming the Same
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
DE102009028801B3 (en) * 2009-08-21 2011-04-14 Evonik Degussa Gmbh Process for the preparation of indium oxide-containing layers, indium oxide-containing layer which can be produced by the process and their use
DE102010031895A1 (en) 2010-07-21 2012-01-26 Evonik Degussa Gmbh Indium oxoalkoxides for the production of indium oxide-containing layers
DE102012209918A1 (en) 2012-06-13 2013-12-19 Evonik Industries Ag Process for the preparation of indium oxide-containing layers
KR101953893B1 (en) * 2012-11-08 2019-03-06 주식회사 원익아이피에스 Method for fabricating oxide semiconductor thin film and apparatus for fabricating the same
EP3409813A1 (en) 2017-06-01 2018-12-05 Evonik Degussa GmbH Device containing metal oxide-containing layers
EP3410208A1 (en) 2017-06-01 2018-12-05 Evonik Degussa GmbH Device containing metal oxide-containing layers
WO2019099976A1 (en) * 2017-11-19 2019-05-23 Applied Materials, Inc. Methods for ald of metal oxides on metal surfaces

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US40501A (en) * 1863-11-03 Improved machine for grinding ores and amalgamating precious metals
US43149A (en) * 1864-06-14 Improvement in calisthenic pulleys and spring-cords
US43632A (en) * 1864-07-26 Improvement in churns
US46197A (en) * 1865-01-31 Improvement in the manufacture of molded candles
US54213A (en) * 1866-04-24 Improvement in hay and straw stackers
US70126A (en) * 1867-10-22 Benjamin franklin shbeman
US175393A (en) * 1876-03-28 Improvement in molds for casting stereotype-plates
US213987A (en) * 1879-04-08 Improvement in bevels
US5195018A (en) * 1991-07-03 1993-03-16 Samsung Electronics Co., Ltd. High dielectric constant capacitor and method for manufacturing the same
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6040594A (en) * 1994-07-27 2000-03-21 Fujitsu Limited High permittivity ST thin film and a capacitor for a semiconductor integrated circuit having such a thin film
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6221712B1 (en) * 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6313233B1 (en) * 1996-11-20 2001-11-06 Japan Synthetic Rubber Co., Ltd. Curable resin composition cured products
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6316064B1 (en) * 1999-01-25 2001-11-13 Asahi Denka Kogyo Kabushiki Kaisha Process of producing a ruthenium or ruthenium oxide thin film
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US6426307B2 (en) * 2000-06-20 2002-07-30 Hyundai Electronics Industries Co. Method of manufacturing an aluminum oxide film in a semiconductor device
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US6730523B2 (en) * 1997-06-26 2004-05-04 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic thin films useful in ferroelectric memory devices
US6784508B2 (en) * 2000-03-10 2004-08-31 Kabushiki Kaisha Toshiba Semiconductor device having a gate insulating film structure including an insulating film containing metal, silicon and oxygen and manufacturing method thereof
US6831315B2 (en) * 1999-12-03 2004-12-14 Asm International N.V. Conformal thin films over textured capacitor electrodes
US6849300B2 (en) * 2001-12-10 2005-02-01 Hynix Semiconductor, Inc. Method for forming high dielectric layers using atomic layer deposition
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6884675B2 (en) * 2001-01-19 2005-04-26 Samsung Electronics Co., Ltd. Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US7029985B2 (en) * 2002-05-16 2006-04-18 Micron Technology, Inc. Method of forming MIS capacitor
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05239650A (en) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd Production of silicon oxide film
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6312831B1 (en) 1999-04-30 2001-11-06 Visteon Global Technologies, Inc. Highly reflective, durable titanium/tin oxide films
JP2001108199A (en) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk Fluid transferring piping device and fluid supply device which facilitate purging of residual material, purging method for residual material in piping device, and fluid supply method
GB0007361D0 (en) 2000-03-28 2000-05-17 Ncr Int Inc Self-service terminal
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
TW486392B (en) * 2000-09-29 2002-05-11 Air Prod & Chem Solvent blend for use in high purity precursor removal
JP3627106B2 (en) 2002-05-27 2005-03-09 株式会社高純度化学研究所 Method for producing hafnium silicate thin film by atomic layer adsorption deposition
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films

Patent Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US40501A (en) * 1863-11-03 Improved machine for grinding ores and amalgamating precious metals
US43149A (en) * 1864-06-14 Improvement in calisthenic pulleys and spring-cords
US43632A (en) * 1864-07-26 Improvement in churns
US46197A (en) * 1865-01-31 Improvement in the manufacture of molded candles
US54213A (en) * 1866-04-24 Improvement in hay and straw stackers
US70126A (en) * 1867-10-22 Benjamin franklin shbeman
US175393A (en) * 1876-03-28 Improvement in molds for casting stereotype-plates
US213987A (en) * 1879-04-08 Improvement in bevels
US5195018A (en) * 1991-07-03 1993-03-16 Samsung Electronics Co., Ltd. High dielectric constant capacitor and method for manufacturing the same
US6040594A (en) * 1994-07-27 2000-03-21 Fujitsu Limited High permittivity ST thin film and a capacitor for a semiconductor integrated circuit having such a thin film
US6573182B2 (en) * 1996-05-31 2003-06-03 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6674169B2 (en) * 1996-05-31 2004-01-06 Micron Technology, Inc. Semiconductor device with titanium silicon oxide layer
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6313233B1 (en) * 1996-11-20 2001-11-06 Japan Synthetic Rubber Co., Ltd. Curable resin composition cured products
US6730523B2 (en) * 1997-06-26 2004-05-04 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic thin films useful in ferroelectric memory devices
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6316064B1 (en) * 1999-01-25 2001-11-13 Asahi Denka Kogyo Kabushiki Kaisha Process of producing a ruthenium or ruthenium oxide thin film
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6221712B1 (en) * 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6831315B2 (en) * 1999-12-03 2004-12-14 Asm International N.V. Conformal thin films over textured capacitor electrodes
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US6784508B2 (en) * 2000-03-10 2004-08-31 Kabushiki Kaisha Toshiba Semiconductor device having a gate insulating film structure including an insulating film containing metal, silicon and oxygen and manufacturing method thereof
US6426307B2 (en) * 2000-06-20 2002-07-30 Hyundai Electronics Industries Co. Method of manufacturing an aluminum oxide film in a semiconductor device
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6884675B2 (en) * 2001-01-19 2005-04-26 Samsung Electronics Co., Ltd. Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6849300B2 (en) * 2001-12-10 2005-02-01 Hynix Semiconductor, Inc. Method for forming high dielectric layers using atomic layer deposition
US7029985B2 (en) * 2002-05-16 2006-04-18 Micron Technology, Inc. Method of forming MIS capacitor
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US20060172485A1 (en) * 2002-08-28 2006-08-03 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20060261389A1 (en) * 2002-08-28 2006-11-23 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers

Cited By (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7473662B2 (en) 2002-08-28 2009-01-06 Micron Technology, Inc. Metal-doped alumina and layers thereof
US20060252279A1 (en) * 2002-08-28 2006-11-09 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20090042406A1 (en) * 2002-08-28 2009-02-12 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20090109731A1 (en) * 2002-08-28 2009-04-30 Micron Technology, Inc. Dielectric layers and memory cells including metal-doped alumina
US20060258175A1 (en) * 2002-08-28 2006-11-16 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US9184061B2 (en) 2002-08-28 2015-11-10 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US8653573B2 (en) 2002-08-28 2014-02-18 Micron Technology, Inc. Dielectric layers and memory cells including metal-doped alumina
US20070295273A1 (en) * 2002-08-28 2007-12-27 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7410918B2 (en) 2002-08-28 2008-08-12 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7439195B2 (en) 2002-08-28 2008-10-21 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20100099272A1 (en) * 2002-08-28 2010-04-22 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20060172485A1 (en) * 2002-08-28 2006-08-03 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US20050221006A1 (en) * 2002-08-28 2005-10-06 Micron Technology, Inc. Metal-doped alumina and layers thereof
US7253122B2 (en) 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7576378B2 (en) 2002-08-28 2009-08-18 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US8034728B2 (en) 2002-08-28 2011-10-11 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20110121376A1 (en) * 2002-08-28 2011-05-26 Micron Technology, Inc. Dielectric Layers and Memory Cells Including Metal-Doped Alumina
US7648926B2 (en) 2002-08-28 2010-01-19 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20040043635A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7666801B2 (en) 2002-08-28 2010-02-23 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20040043625A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7902099B2 (en) 2002-08-28 2011-03-08 Micron Technology, Inc. Dielectric layers and memory cells including metal-doped alumina
US7683001B2 (en) 2002-08-28 2010-03-23 Micron Technology, Inc. Dielectric layers and memory cells including metal-doped alumina
US20100171089A1 (en) * 2002-08-28 2010-07-08 Micron Technology, Inc. Dielectric layers and memory cells including metal-doped alumina
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7776762B2 (en) 2004-08-02 2010-08-17 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7837797B2 (en) 2004-08-20 2010-11-23 Micron Technology, Inc. Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition
US20090127105A1 (en) * 2004-08-20 2009-05-21 Micron Technology, Inc. Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7875912B2 (en) 2005-08-29 2011-01-25 Micron Technology, Inc. Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8497542B2 (en) 2005-08-29 2013-07-30 Micron Technology, Inc. ZrXHfYSn1-X-YO2 films as high K gate dielectrics
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US8093666B2 (en) 2005-12-08 2012-01-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US8405167B2 (en) 2005-12-08 2013-03-26 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8685815B2 (en) 2005-12-08 2014-04-01 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20100052033A1 (en) * 2005-12-08 2010-03-04 Ahn Kie Y Lanthanide yttrium aluminum oxide dielectric films
US7999334B2 (en) 2005-12-08 2011-08-16 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8399320B2 (en) 2005-12-08 2013-03-19 Micron Technology, Inc. Electronic apparatus containing lanthanide yttrium aluminum oxide
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US8628615B2 (en) 2006-04-07 2014-01-14 Micron Technology, Inc. Titanium-doped indium oxide films
US8273177B2 (en) 2006-04-07 2012-09-25 Micron Technology, Inc. Titanium-doped indium oxide films
US9502256B2 (en) 2006-08-03 2016-11-22 Micron Technology, Inc. ZrAION films
US9236245B2 (en) 2006-08-03 2016-01-12 Micron Technology, Inc. ZrA1ON films
US8993455B2 (en) 2006-08-03 2015-03-31 Micron Technology, Inc. ZrAlON films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US9202686B2 (en) 2006-08-25 2015-12-01 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US8581352B2 (en) 2006-08-25 2013-11-12 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US8168502B2 (en) 2006-08-31 2012-05-01 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US8951880B2 (en) 2006-08-31 2015-02-10 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8557672B2 (en) 2006-08-31 2013-10-15 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8772851B2 (en) 2006-08-31 2014-07-08 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US8519466B2 (en) 2006-08-31 2013-08-27 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US7902582B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20100125128A1 (en) * 2008-11-14 2010-05-20 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US9309595B2 (en) 2009-08-21 2016-04-12 Evonik Degussa Gmbh Method for the production of metal oxide-containing layers
US8546594B2 (en) 2010-07-21 2013-10-01 Evonik Degussa Gmbh Indium oxoalkoxides for producing coatings containing indium oxide

Also Published As

Publication number Publication date
US20040043630A1 (en) 2004-03-04
AU2003262900A8 (en) 2004-03-19
AU2003262900A1 (en) 2004-03-19
TW200406502A (en) 2004-05-01
CN1688743A (en) 2005-10-26
JP2005537638A (en) 2005-12-08
JP4355656B2 (en) 2009-11-04
EP1532291B1 (en) 2014-09-24
US6958300B2 (en) 2005-10-25
TWI270579B (en) 2007-01-11
WO2004020689A2 (en) 2004-03-11
WO2004020689A8 (en) 2004-11-25
EP1532291A2 (en) 2005-05-25
KR20050043926A (en) 2005-05-11
WO2004020689A3 (en) 2004-06-17

Similar Documents

Publication Publication Date Title
US6958300B2 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7410918B2 (en) Systems and methods for forming metal oxides using alcohols
US6984592B2 (en) Systems and methods for forming metal-doped alumina
US7648926B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7666801B2 (en) Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7482284B2 (en) Deposition methods for forming silicon oxide layers
US6784049B2 (en) Method for forming refractory metal oxide layers with tetramethyldisiloxane

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION