US20050287806A1 - Vertical CVD apparatus and CVD method using the same - Google Patents

Vertical CVD apparatus and CVD method using the same Download PDF

Info

Publication number
US20050287806A1
US20050287806A1 US10/874,371 US87437104A US2005287806A1 US 20050287806 A1 US20050287806 A1 US 20050287806A1 US 87437104 A US87437104 A US 87437104A US 2005287806 A1 US2005287806 A1 US 2005287806A1
Authority
US
United States
Prior art keywords
gas
process chamber
target substrates
exhaust
reactive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/874,371
Inventor
Hiroyuki Matsuura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US10/874,371 priority Critical patent/US20050287806A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MATSUURA, HIROYUKI
Priority to TW094116909A priority patent/TW200609374A/en
Priority to JP2005178009A priority patent/JP4189394B2/en
Priority to KR1020050054215A priority patent/KR100980125B1/en
Priority to CN2005100796765A priority patent/CN1712560B/en
Publication of US20050287806A1 publication Critical patent/US20050287806A1/en
Priority to US12/098,315 priority patent/US7927662B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Definitions

  • the present invention relates to a vertical CVD (Chemical Vapor Deposition) apparatus and a CVD method using the same, for a semiconductor processing system.
  • semiconductor process used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or a glass substrate used for an LCD (Liquid crystal display) or FPD (Flat Panel Display), by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • ALD Advanced Layer Deposition
  • ALD is performed by alternately supplying at least two reactive gases pulsewise to repeat two steps, i.e., a step of causing one of the reactive gases to be adsorbed on a wafer, and a step of causing the other of the reactive gases to act on the adsorbed reactive gas.
  • steps i.e., a step of causing one of the reactive gases to be adsorbed on a wafer, and a step of causing the other of the reactive gases to act on the adsorbed reactive gas.
  • the wafers are stacked at intervals in a holder called a wafer boat, while they are placed in a horizontal state.
  • the wafer boat with the wafers held thereon is placed on the lid, and loaded and unloaded into and from the process chamber through the load port by the elevator.
  • U.S. Pat. No. 6,585,823 B1 discloses an example of a vertical heat-processing apparatus arranged to perform a CVD process of the ALD type.
  • the apparatus disclosed in this publication includes a process chamber having a double tube structure, which is formed of an inner tube and an outer tube. Two reactive gases are alternately supplied from the bottom of the inner tube, and pass through gaps between the stacked wafers, and then flow into an exhaust passage from the top of the inner tube.
  • Jpn. Pat. Appln. KOKAI Publication Nos. 2003-45864 and 2003-297818 disclose other examples of a vertical heat-processing apparatus arranged to perform a CVD process of the ALD type.
  • the apparatuses disclosed in these publications include a process chamber having a single tube structure, in which a buffer chamber common to two reactive gases is disposed and extends in a vertical direction.
  • the buffer chamber is provided with delivery holes formed thereon and arrayed substantially entirely over the vertical length of stacked wafers.
  • the two reactive gases are alternately supplied into the buffer chamber, and flows out toward wafers through the delivery holes.
  • An object of the present invention is therefore to provide a vertical CVD apparatus and a CVD method using the same, for a semiconductor processing system, which can solve at least one of these problems.
  • a vertical CVD apparatus for performing a CVD process on a plurality of target substrates all together, the apparatus comprising;
  • an airtight process chamber configured to accommodate the target substrates
  • a holder configured to hold the target substrates stacked at intervals in the process chamber
  • a heater configured to heat an atmosphere in the process chamber
  • an exhaust system configured to exhaust the process chamber
  • a supply system configured to supply process gases into the process chamber, the supply system comprising a plurality of first delivery holes connected to a first reactive gas line to supply a first reactive gas, and a plurality of second delivery holes connected to a second reactive gas line to supply a second reactive gas, wherein each set of the first delivery holes and the second delivery holes are arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals; and
  • a control section configured to control an operation of the apparatus, so as to repeatedly execute first and second steps a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, wherein the first step is a performed by supplying one gas of the first and second reactive gases while stopping the other gas, so as to cause the one gas to be adsorbed on surfaces of the target substrates, and the second step is performed by supplying the other gas while stopping the one gas, so as to cause the other gas to act on the one gas adsorbed on the surfaces of the target substrates.
  • a vertical CVD apparatus for performing a CVD process on a plurality of target substrates all together, the apparatus comprising:
  • an airtight process chamber configured to accommodate the target substrates
  • a holder configured to hold the target substrates stacked at intervals in the process chamber
  • a heater configured to heat an atmosphere in the process chamber
  • an exhaust system configured to exhaust the process chamber
  • a supply system configured to supply process gases into the process chamber, the supply system comprising a first delivery hole connected to a first reactive gas line to supply a first reactive gas, and a plurality of second delivery holes connected to a second reactive gas line to supply a second reactive gas, wherein the first delivery hole is disposed at a substantial bottom of the process chamber, and the second delivery holes are arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals; and
  • a control section configured to control an operation of the apparatus, so as to repeatedly execute first and second steps a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, wherein the first step is a performed by supplying one gas of the first and second reactive gases while stopping the other gas, so as to cause the one gas to be adsorbed on surfaces of the target substrates, and the second step is performed by supplying the other gas while stopping the one gas, so as to cause the other gas to act on the one gas adsorbed on the surfaces of the target substrates.
  • a method for performing a CVD process on a plurality of target substrates all together in a vertical CVD apparatus is provided.
  • an airtight process chamber configured to accommodate the target substrates
  • a holder configured to hold the target substrates stacked at intervals in the process chamber
  • a heater configured to heat an atmosphere in the process chamber
  • an exhaust system configured to exhaust the process chamber
  • a supply system configured to supply process gases into the process chamber
  • first and second steps are repeatedly executed a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, and
  • first reactive gas is supplied from a plurality of first delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals
  • second reactive gas is supplied from a plurality of second delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals.
  • a method for performing a CVD process on a plurality of target substrates all together in a vertical CVD apparatus is provided.
  • an airtight process chamber configured to accommodate the target substrates
  • a holder configured to hold the target substrates stacked at intervals in the process chamber
  • a heater configured to heat an atmosphere in the process chamber
  • an exhaust system configured to exhaust the process chamber
  • a supply system configured to supply process gases into the process chamber
  • first and second steps are repeatedly executed a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, and
  • first reactive gas is supplied from a first delivery hole disposed at a substantial bottom of the process chamber
  • second reactive gas is supplied from a plurality of second delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals.
  • FIG. 1 is a sectional view showing a CVD apparatus according to a first embodiment of the present invention
  • FIG. 2 is a plan view of the apparatus shown in FIG. 1 ;
  • FIG. 3 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 1 , and the gas flow formed therein;
  • FIG. 4 is a timing chart showing gas supply patterns according to the first embodiment of the present invention.
  • FIG. 5 is a sectional view showing the process chamber of a CVD apparatus according to a second embodiment of the present invention.
  • FIG. 6 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 5 , and the gas flow formed therein;
  • FIG. 7 is a sectional view showing the process chamber of a CVD apparatus according to a third embodiment of the present invention.
  • FIG. 8 is a plan view of the apparatus shown in FIG. 7 ;
  • FIG. 9 is a sectional view showing the process chamber of a CVD apparatus according to a fourth embodiment of the present invention.
  • FIG. 10 is a sectional view showing a CVD apparatus according to a fifth embodiment of the present invention.
  • FIG. 11 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 10 , and the gas flow formed therein;
  • FIG. 12 is a timing chart showing gas supply patterns according to the fifth embodiment of the present invention.
  • FIG. 13 is a sectional view showing the process chamber of a CVD apparatus according to a sixth embodiment of the present invention.
  • FIG. 14 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 13 , and the gas flow formed therein;
  • FIG. 15 is a sectional view showing the process chamber of a CVD apparatus according to a seventh embodiment of the present invention.
  • FIG. 16 is a plan view of the apparatus shown in FIG. 15 .
  • the inventor studied the cause of problems in vertical heat-processing apparatuses arranged to perform a CVD process of the ALD type. As a result, the inventor has arrived at the findings given below.
  • FIG. 1 is a sectional view showing a CVD apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a plan view of the apparatus shown in FIG. 1 .
  • FIG. 3 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 1 , and the gas flow formed therein.
  • This CVD apparatus 2 is arranged to alternately supply a first gas consisting essentially of a silane family gas (silicon source gas), a second gas consisting essentially of a nitriding gas, and a third gas consisting essentially of a carbon hydride gas, so as to form a silicon nitride film.
  • a silane family gas silicon source gas
  • second gas consisting essentially of a nitriding gas
  • a third gas consisting essentially of a carbon hydride gas
  • the CVD apparatus 2 includes a process chamber 8 having a double tube structure, which is formed of a cylindrical inner tube 4 made of quartz, and an outer tube 6 made of quartz and disposed concentrically with the inner tube 4 with a predetermined gap 10 therebetween.
  • the process chamber 8 is surrounded by a heating cover 16 , which includes a heater or heating means 12 and a thermal insulator 14 .
  • the heating means 12 is disposed over the entire inner surface of thermal insulator 14 .
  • the inner tube 4 of the process chamber 8 has an inner diameter of about 240 mm, and a height of about 1300 mm.
  • the process chamber 8 has a volume of about 110 liters.
  • the bottom of the process chamber 8 is supported by a cylindrical manifold 18 made of, e.g., stainless steel.
  • a ring support plate 18 A extends inward from the inner wall of the manifold 18 and supports the bottom of the inner tube 4 .
  • a number of target substrates or semiconductor wafers W are stacked on a wafer boat 20 made of quartz.
  • the wafer boat 20 is loaded and unloaded into and from the process chamber 8 through the bottom of the manifold 18 .
  • the wafer boat 20 can support, e.g., 100 to 150 product wafers having a diameter of 200 mm at substantially regular intervals in the vertical direction.
  • the size of wafers W and the number of wafers W to be loaded are not limited to this example. For example, wafers having a diameter of 300 mm may be handled.
  • the wafer boat 20 is placed on a rotary table 24 through a heat-insulating cylinder 22 made of quartz.
  • the rotary table 24 is supported by a rotary shaft 28 , which penetrates a lid 26 used for opening and closing the bottom port of the manifold 18 .
  • the portion of the lid 26 where the rotary shaft 28 penetrates is provided with, e.g., a magnetic-fluid seal 30 , so that the rotary shaft 28 is rotatably supported in an airtightly sealed state.
  • a seal member 32 such as an O-ring is interposed between the periphery of the lid 26 and the bottom of the manifold 18 , so that the interior of the process chamber 8 can be kept sealed.
  • the rotary shaft 28 is attached at the distal end of an arm 36 supported by an elevating mechanism 34 , such as a boat elevator.
  • the elevating mechanism 34 moves up and down the wafer boat 20 and lid 26 integratedly.
  • An exhaust port 38 is formed in the side of the manifold 18 to exhaust the atmosphere in the process chamber 8 through the bottom of the gap 10 between the inner tube 4 and outer tube 6 .
  • the exhaust port 38 is connected to a vacuum exhaust section 39 including a vacuum pump and so forth.
  • a gas supply section 40 is connected to the side of the manifold 18 to supply predetermined process gases into the inner tube 4 . More specifically, the gas supply section 40 includes a silane family gas supply circuit 42 , a nitriding gas supply circuit 44 , and a carbon hydride gas supply circuit 46 .
  • the gas supply circuits 42 , 44 , and 46 respectively include gas nozzles 48 , 50 , and 52 , which penetrate the sidewall of the manifold 18 side by side in a horizontal direction. However, for the sake of convenience, FIG. 1 shows the gas nozzles 48 , 50 , and 52 in a state where they penetrate the sidewall of the manifold 18 side by side in a vertical direction.
  • Each of the gas nozzles 48 , 50 , and 52 makes a right-angled turn at the bottom of the process chamber 8 , and vertically extends along the wafer boat 20 to the uppermost position. Since the gas nozzles 48 , 50 , and 52 penetrate the sidewall of the manifold 18 side by side in a horizontal direction, their vertical portions are also arrayed side by side around the wafer boat 20 , as shown in FIG. 2 .
  • the vertical portion of each of the gas nozzles 48 , 50 , and 52 is provided with a number of delivery holes 53 formed thereon for supplying a process gas, as shown in FIG. 3 .
  • the delivery holes 53 are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • the gas nozzles 48 , 50 , and 52 are respectively connected to gas passages 60 , 62 , and 64 provided with flow rate controllers 54 , 56 , and 58 , such as mass-flow controllers, and switching valves 55 , 57 , and 59 .
  • the gas passages 60 , 62 , and 64 are arranged to respectively supply a silane family gas, a nitriding gas, and a carbon hydride gas at controlled flow rates.
  • the silane family gas is DCS gas
  • the nitriding gas is NH 3 gas
  • the carbon hydride gas is ethylene (C 2 H 4 ) gas.
  • the gas supply section 40 also includes an inactive gas supply circuit 72 for supplying an inactive gas (to be used as carrier gas or purge gas).
  • the inactive gas supply circuit 72 includes inactive gas lines 76 a , 76 b , and 76 c respectively connected to the gas passages 60 , 62 , and 64 .
  • the inactive gas lines 76 a , 76 b , and 76 c are respectively provided with flow rate controllers 74 a , 74 b , and 74 c , such as mass-flow controllers, and switching valves 75 a , 75 b , and 75 c .
  • N 2 gas or Ar is used as the inactive gas.
  • the gas supply circuits 42 , 44 , and 46 of the apparatus according to the first embodiment respectively include gas nozzles 48 , 50 , and 52 , each of which can supply the corresponding reactive gas and an inactive gas selectively or simultaneously.
  • Each of the nozzles 48 , 50 , and 52 is provided with a number of delivery holes 53 formed thereon, which are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • An inner exhaust passage 9 is formed along the inner surface of the inner tube 4 around the wafers W.
  • the inner exhaust passage 9 extends in a vertical direction at a position adjacent to the edges of the wafers W, so that it is present substantially entirely over the vertical length of the stacked wafers W. At the top of the inner tube 4 , the inner exhaust passage 9 communicates with the gap (outer exhaust passage) 10 formed between the inner tube 4 and outer tube 6 and connected to the vacuum exhaust section 39 .
  • the following method can be performed in accordance with a CVD process recipe stored in advance in the memory section 5 s of a CPU 5 , e.g., in accordance with the film thickness of an silicon nitride film to be formed.
  • the relationship between the process gas flow rates and the film thickness of a silicon nitride film to be formed is also stored in advance in the memory section 5 s as a control data. Accordingly, the CPU 5 can control the gas supply section 40 and so forth, based on the stored process recipe and control data.
  • the interior of the process chamber 8 is kept at a process temperature of, e.g., about 550 ° C.
  • a number of wafers e.g., 100 wafers W are transferred into the wafer boat 20 .
  • the wafer boat 20 which is at a normal temperature, is moved up from below the process chamber 8 and loaded into the process chamber 8 .
  • the lid 26 closes the bottom port of the manifold 18 to airtightly seal the interior of the process chamber 8 .
  • the interior of the process chamber 8 is vacuum exhausted and kept at a predetermined process pressure. Furthermore, the wafer temperature is increased to a process temperature for film formation. After the temperature becomes stable, DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas are supplied from the respective nozzles 48 , 50 , and 52 of the gas supply section 40 at controlled flow rates. At this time, the following gas supply patterns are used to form a silicon nitride film. The interior of the process chamber 8 is kept vacuum-exhausted throughout the periods of the film formation.
  • FIG. 4 is a timing chart showing gas supply patterns according to the first embodiment of the present invention.
  • the supply periods i.e., supply timings, of the reactive gases of three kinds differ from each other.
  • one cycle is formed of first supplying DCS gas (T 1 ), then supplying NH 3 gas (T 3 ), and lastly supplying C 2 H 4 gas (T 5 ).
  • This cycle is continuously repeated a plurality of times.
  • intermitting periods T 2 , T 4 , and T 6 are respectively interposed, where all the three reactive gases are stopped and purging is performed with an inactive gas.
  • the flow rate of DCS gas is set at 50 to 2000 sccm, e.g., 300 sccm
  • the flow rate of NH 3 gas is set at 150 to 5000 sccm, e.g., 1000 sccm
  • the flow rate of C 2 H 4 gas is set at 50 to 2000 sccm, e.g., 500 sccm.
  • the process temperature is set at a constant value of 450 to 600° C., e.g., 550° C.
  • the process pressure is set at 13 Pa to 1.33 kPa, e.g., 133 Pa (1 Torr) during the gas supply periods T 1 , T 3 , and T 5 , and at 13 to 133 Pa, e.g., 40 Pa (0.3 Torr) during the intermitting periods T 2 , T 4 , and T 6 .
  • Each one (one pulse) of the gas supply periods T 1 , T 3 , and T 5 is set at 15 to 60 seconds
  • each one of the intermitting periods T 2 , T 4 , and T 6 is set at 30 to 180 seconds.
  • the length of one cycle T 1 to T 6 totals around three minutes.
  • each cycle T 1 to T 6 the following process proceeds on the surface of each wafer W. Specifically, in the first supply period T 1 where the first reactive gas or DCS gas is supplied, the DCS gas is adsorbed on the surface of the wafer W. In the second supply period T 3 where the second reactive gas or NH 3 gas is supplied, the NH 3 gas acts on the adsorbed DCS gas on the surface of the wafer W, and a unit layer of silicon nitride is thereby formed on the surface of the wafer W.
  • n-bonds of C ⁇ C double bonds of the C 2 H 4 gas are split and react with silicon nitride, so that carbon components are contained in the unit layer of silicon nitride.
  • Thin unit layers thus formed by respective cycles (T 1 to T 6 ) are stacked to complete a silicon nitride film that contains carbon components and has a predetermined thickness.
  • purging is performed with an inactive gas, thereby removing unnecessary gases from the surface of the wafer W. Since the interior of the process chamber 8 is kept vacuum-exhausted throughout the periods T 1 to T 6 of the film formation, the purging can be performed by stopping supply of the three gases, and only supplying an inactive gas, such as N 2 gas, from the delivery holes 53 of the respective nozzles 48 , 50 , and 52 . In this respect, only vacuum-exhaust of the interior of the process chamber 8 may be maintained, without supplying an inactive gas.
  • an inactive gas such as N 2 gas
  • a carbon hydride gas such as C 2 H 4 gas
  • C 2 H 4 gas is supplied into the process chamber 8 , and carbon components are thereby contained in the silicon nitride film.
  • the silicon nitride film it is possible to prevent the silicon nitride film from being excessively etched during the cleaning process, thereby improving the controllability in the film thickness. Furthermore, it is possible for the silicon nitride film to sufficiently function as an etching stopper film.
  • Each of the intermitting periods T 2 , T 4 , and T 6 functions as a reforming period for improving the quality of the film formed on the surface of the wafer W.
  • the reforming behavior in the intermitting periods is thought to proceed as follows. Specifically, when a silicon nitride film containing carbon atoms is formed, some C 1 atoms derived from DCS gas cannot separate from the uppermost surface of the thin film during the deposition, but bond thereto in an activated state. In the intermitting period where supply of the DCS gas is stopped, C atoms or N atoms derived from C 2 H 4 gas or NH 3 gas replace the C 1 atoms in the uppermost surface of the thin film.
  • the film decreases in C 1 components contained therein, thereby providing a lower etching rate.
  • C 2 H 4 gas is used, C atoms taken into the silicon nitride film increase, thereby providing a still lower etching rate.
  • the gas supply periods T 1 , T 3 , and T 5 are performed such that the respective gases of three kinds are forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 53 of the corresponding one of the nozzles 48 , 50 , and 52 (see arrows A 1 in FIG. 3 ).
  • the intermitting periods T 2 , T 4 , and T 6 used as purging periods are performed such that an inactive gas is forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 53 of the corresponding one of the nozzles 48 , 50 , and 52 (see arrows A 1 in FIG. 3 ).
  • the gases thus supplied are exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W and flow upward through the inner exhaust passage 9 that extends in a vertical direction at a position adjacent to the edges of the wafers W (see arrows A 2 in FIG. 3 ).
  • the gas supply and exhaust described above allows all the wafers W to be equally supplied with the reactive gases, irrespective of the position of the wafers W in a vertical direction.
  • films formed on the wafers W are improved in the inter-substrate uniformity (uniformity among wafers) in terms of characteristics, such as the quality and thickness of the films.
  • the gases are forcibly fed into the gaps between the wafers W, the reactive gases are efficiently exchanged on the surface of the wafers W.
  • the purging periods (intermitting periods) can be shorter to shorten each cycle T 1 to T 6 , thereby improving the productivity by that much.
  • each of the purging periods may be performed such that an inactive gas is supplied through only one nozzle that has been used to supply the corresponding reactive gas until immediately before it. In other words, it is optional to use the other nozzles along with the former one to supply an inactive gas.
  • FIG. 5 is a sectional view showing the process chamber of a CVD apparatus according to a second embodiment of the present invention.
  • FIG. 6 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 5 , and the gas flow formed therein.
  • This apparatus is also arranged to alternately supply DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas, so as to form a silicon nitride film.
  • the apparatus shown in FIG. 5 is similar to the apparatus shown in FIG. 1 , but has a different arrangement in relation to the exhaust system.
  • a plurality of exhaust holes 81 are formed in an inner tube 4 X on a second side opposite a first side where delivery holes 53 are arrayed on gas nozzles 48 , 50 , and 52 .
  • the exhaust holes 81 are arrayed in a vertical direction at a position adjacent to the edges of wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • the exhaust holes 81 communicate with a gap (outer exhaust passage) 10 formed between the inner tube 4 X and outer tube 6 and connected to a vacuum exhaust section 39 .
  • the inner tube 4 X has a top portion completely closed by a top plate 80 to prevent gases from flowing out.
  • a CVD method performed in the apparatus shown in FIG. 5 is substantially the same as that explained with reference to the apparatus shown in FIG. 1 .
  • gas supply patterns used are as those shown in the timing chart of FIG. 4 .
  • each of the nozzles 48 , 50 , and 52 is arranged to forcibly feed gases from the delivery holes 53 into the gaps between the wafers W in almost horizontal directions (see arrows AS in FIG. 6 ).
  • the gases thus supplied are drawn and exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W through the exhaust holes 81 in almost horizontal directions into the outer exhaust passage 10 (see arrows A 6 in FIG. 6 ).
  • the gas supply and exhaust described above allows the apparatus shown in FIG. 5 to provide the following effects in addition to those of the apparatus shown in FIG. 1 .
  • the gases are drawn from the gaps between the wafers W through the exhaust holes 81 in almost parallel with the surface of the wafers W, a uniform laminar flow tends to be formed from one end to the other on the surface of each wafer W.
  • a film formed on each wafer W is improved in the planar uniformity (uniformity on the surface of one wafer) in terms of characteristics, such as the quality and thickness of the film.
  • the exhaust holes 81 are arrayed at a position adjacent to the edges of the wafers W, the gases are more efficiently exhausted from the gaps between the wafers W.
  • the purging periods can be shorter to shorten each cycle T 1 to T 6 , thereby improving the productivity by that much.
  • FIG. 7 is a sectional view showing the process chamber of a CVD apparatus according to a third embodiment of the present invention.
  • FIG. 8 is a plan view of the apparatus shown in FIG. 7 .
  • This apparatus is also arranged to alternately supply DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas, so as to form a silicon nitride film.
  • the apparatus shown in FIG. 7 is similar to the apparatus shown in FIG. 5 , but has a process chamber 8 X of the single tube type with no inner tube.
  • the process chamber 8 X is provided with a thin shape exhaust pipe 85 extending vertically on a side opposite to a side where gas nozzles 48 , 50 , and 52 extend vertically, with stacked wafers W interposed therebetween (i.e., with a wafer boat 20 interposed therebetween).
  • the exhaust pipe 85 is defined by a casing 87 airtightly connected onto the inner surface of the quartz tube by welding.
  • the casing 87 is provided with a plurality of exhaust holes 86 formed in the wall facing the wafers W.
  • the exhaust holes 86 are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • the apparatus shown in FIG. 7 has the process chamber 8 X of the single tube type, it can provide almost the same operations and effects as those of the apparatus shown in FIG. 5 .
  • FIG. 9 is a sectional view showing the process chamber of a CVD apparatus according to a fourth embodiment of the present invention.
  • This apparatus is also arranged to alternately supply DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas, so as to form a silicon nitride film.
  • the apparatus shown in FIG. 9 is similar to the apparatus shown in FIG. 5 , but has an exhaust port 38 X formed at the top of an outer tube 6 to exhaust the atmosphere in a process chamber 8 .
  • the exhaust port 38 X is connected to a vacuum exhaust section 39 including a vacuum pump and so forth, through a pipe passing through the top of a heating cover 16 (see FIG. 1 ). Since the exhaust port 38 X is disposed at the top of the process chamber 8 , the apparatus can be made compact as a whole. In the other respects, the apparatus shown in FIG. 9 can provide almost the same operations and effects as those of the apparatus shown in FIG. 5 .
  • the supply order of the reactive gases shown in the timing chart of FIG. 4 is only an example, and may be arbitrarily changed.
  • a process gas containing C so as to form Si—C bonds in the silicon surface and thereby protect the silicon surface.
  • DCS gas alone or along with C 2 H 4 gas
  • NH 3 gas If NH 3 gas is first supplied, N—Si bonds are formed in the wafer surface, which are low in chemical resistance (i.e., easy to etch).
  • DCS gas and/or C 2 H 4 gas is first supplied to form Si—C bonds, which are high in chemical resistance (i.e., difficult to etch).
  • C 2 H 4 (ethylene) has been given as an example of a carbon hydride gas for a silicon nitride film to contain carbon components.
  • the carbon hydride gas may be a single or a plurality of gases selected from the group consisting of acetylene, ethylene, methane, ethane, propane, and butane.
  • the gas is preferably preheated to about 500 to 1000° C., and then supplied into the process chamber 8 .
  • C 2 H 4 gas or the carbon hydride gas is used to reduce the etching rate of a silicon nitride film relative to dilute hydrofluoric acid. Accordingly, depending on the intended use of a silicon nitride film, no carbon hydride gas needs to be supplied, i.e., the carbon hydride gas supply circuit 46 (see FIG. 1 ) is unnecessary. In this case, the timing chart of FIG. 4 is modified such that each cycle for forming a unit layer of a silicon nitride film is formed of the periods T 1 to T 4 .
  • dichlorosilane has been given as an example of a silane family gas for forming a silicon nitride film.
  • the silane family gas for forming a silicon nitride film may be a single or a plurality of gases selected from the group consisting of monosilane (SiH 4 ), disilane (Si 2 H 6 ), trichlorosilane (SiHCl 3 ), tetra-chlorosilane (SiCl 4 ), and bistertialbutylaminosilane (BTBAS).
  • the apparatus according to any one of the first to fourth embodiments may be applied to a process for forming a film other than a silicon nitride film.
  • a process for forming an alumina (Al 2 O 3 ) film is used as a first reactive gas, and an oxidizing gas, such as O 2 , O 3 , or H 2 O, is used as a second reactive gas.
  • a gas supplied through the gas nozzles 48 , 50 , and 52 preferably has a vapor pressure of 2.66 kPa or more, and a bond-dissociation energy of 250 kJ/mol or more, and more preferably has a vapor pressure of 4 kPa or more, and a bond-dissociation energy of 300 kJ/mol or more.
  • FIG. 10 is a sectional view showing a CVD apparatus according to a fifth embodiment of the present invention.
  • FIG. 11 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 10 , and the gas flow formed therein.
  • This CVD apparatus 102 is arranged to alternately supply a first gas consisting essentially of an organic metal gas containing tantalum, and a second gas consisting essentially of an oxidizing gas, so as to form a tantalum oxide film.
  • a metal alkoxide of tantalum such as Ta(OC 2 H 5 ) 5 (pentoethoxytantalum: PET) gas and H 2 O gas (water vapor) are used to deposit a tantalum oxide film (Ta 2 O 5 ).
  • a gas supply section 140 is connected to the side of a manifold 18 to supply predetermined process gases into an inner tube 4 .
  • the gas supply section 140 includes an organic metal gas supply circuit 142 , and an oxidizing gas supply circuit 144 .
  • the gas supply circuits 142 and 144 respectively include gas nozzles 148 and 150 , which penetrate the sidewall of the manifold 18 side by side in a horizontal direction.
  • FIG. 10 shows the gas nozzles 148 and 150 in a state where they penetrate the sidewall of the manifold 18 side by side in a vertical direction.
  • the gas nozzle 148 for supplying PET used as an organic metal gas is opened upward at the bottom of the process chamber 8 .
  • the gas nozzle 150 for supplying water vapor used as an oxidizing gas makes a right-angled turn at the bottom of the process chamber 8 , and vertically extends along a wafer boat 20 to the uppermost position.
  • the vertical portion of the gas nozzle 150 is provided with a number of delivery holes 153 formed thereon for supplying a process gas, as shown in FIG. 11 .
  • the delivery holes 153 are arrayed in a vertical direction at a position adjacent to the edges of wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • the gas nozzles 148 and 150 are respectively connected to gas passages 160 and 162 provided with flow rate controllers 154 and 156 , such as mass-flow controllers, and switching valves 155 and 157 .
  • the gas passages 160 and 162 are arranged to respectively supply an organic metal gas and an oxidizing gas at controlled flow rates.
  • the organic metal gas is PET gas
  • the oxidizing gas is water vapor.
  • the gas supply section 140 also includes an inactive gas supply circuit 72 for supplying an inactive gas (to be used as carrier gas or purge gas).
  • the inactive gas supply circuit 72 includes inactive gas lines 76 a and 76 b respectively connected to the gas passages 160 and 162 .
  • the inactive gas lines 76 a and 76 b are respectively provided with flow rate controllers 74 a and 74 b , such as mass-flow controllers, and switching valves 75 a and 75 b .
  • flow rate controllers 74 a and 74 b such as mass-flow controllers
  • switching valves 75 a and 75 b for example, N 2 gas or Ar is used as the inactive gas.
  • the gas supply circuits 142 and 144 of the apparatus according to the fifth embodiment respectively include gas nozzles 148 and 150 , each of which can supply the corresponding reactive gas and an inactive gas selectively or simultaneously.
  • the gas nozzle 148 is provided with a delivery hole opened upward at the bottom of the process chamber 8 .
  • the nozzle 150 is provided with a number of delivery holes 153 formed thereon, which are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • An inner exhaust passage 9 is formed along the inner surface of the inner tube 4 around the wafers W.
  • the inner exhaust passage 9 extends in a vertical direction at a position adjacent to the edges of the wafers W, so that it is present substantially entirely over the vertical length of the stacked wafers W. At the top of the inner tube 4 , the inner exhaust passage 9 communicates with a gap (outer exhaust passage) 10 formed between the inner tube 4 and outer tube 6 and connected to a vacuum exhaust section 39 .
  • the following method can be performed in accordance with a CVD process recipe stored in advance in the memory section 5 s of a CPU 5 , e.g., in accordance with the film thickness of a tantalum oxide film to be formed.
  • the relationship between the process gas flow rates and the film thickness of a tantalum oxide film to be formed is also stored in advance in the memory section 5 s as a control data. Accordingly, the CPU 5 can control the gas supply section 140 and so forth, based on the stored process recipe and control data.
  • the interior of the process chamber 8 is kept at a process temperature of, e.g., about 300° C.
  • a number of wafers e.g., 100 wafers W are transferred into the wafer boat 20 .
  • the wafer boat 20 which is at a normal temperature, is moved up from below the process chamber 8 and loaded into the process chamber 8 .
  • the lid 26 closes the bottom port of the manifold 18 to airtightly seal the interior of the process chamber 8 .
  • the interior of the process chamber 8 is vacuum exhausted and kept at a predetermined process pressure. Furthermore, the wafer temperature is increased to a process temperature for film formation. After the temperature becomes stable, PET gas used as an organic metal gas and water vapor used as an oxidizing gas are supplied from the respective nozzles 148 and 150 of the gas supply section 140 at controlled flow rates. At this time, the following gas supply patterns are used to form a tantalum oxide film. The interior of the process chamber 8 is kept vacuum-exhausted throughout the periods of the film formation.
  • FIG. 12 is a timing chart showing gas supply patterns according to the fifth embodiment of the present invention.
  • the supply periods, i.e., supply timings, of the reactive gases of two kinds differ from each other. Specifically, one cycle is formed of first supplying water vapor (T 11 ), and then supplying PET gas (T 13 ). This cycle is continuously repeated a plurality of times.
  • the PET gas is supplied along with an inactive gas, such as N 2 gas, used as a carrier gas.
  • intermitting periods T 12 and T 14 are respectively interposed, where all the two reactive gases are stopped and purging is performed with an inactive gas.
  • the flow rate of water vapor is set at 10 to 1000 sccm
  • the flow rate of PET gas is set at about 0.05 to 5.0 ml/min in a value converted into liquid PET
  • the flow rate of N 2 gas used as a carrier gas is set at 1000 sccm.
  • the process temperature is set at a constant value of 200 to 450° C.
  • the process pressure is set at 13 to 133 Pa during the gas supply periods T 11 and T 13 , and at 13 to 133 Pa during the intermitting periods T 12 and T 14 .
  • Each one (one pulse) of the gas supply periods T 11 and T 13 is set at 60 to 120 seconds, while each one of the intermitting periods T 12 and T 14 is set at 30 to 60 seconds. For example, where the gas supply period is set at 60 seconds and the intermitting period is set at 30 seconds, the length of one cycle T 11 to T 14 totals around three minutes.
  • each cycle T 11 to T 14 the following process proceeds on the surface of each wafer W. Specifically, in the first supply period T 11 where the first reactive gas or water vapor is supplied, the water vapor is adsorbed on the surface of the wafer W. In the second supply period T 13 where the second reactive gas or PET gas is supplied, the PET gas acts on the adsorbed water vapor on the surface of the wafer W, and a unit layer of tantalum oxide is thereby formed on the surface of the wafer W. Thin unit layers thus formed by respective cycles (T 11 to T 14 ) are stacked to complete a tantalum oxide film having a predetermined thickness.
  • purging is performed with an inactive gas, thereby removing unnecessary gases from the surface of the wafer W. Since the interior of the process chamber 8 is kept vacuum-exhausted throughout the periods T 11 to T 14 of the film formation, the purging can be performed by stopping supply of the two gases, and only supplying an inactive gas, such as N 2 gas, from the nozzle 148 and delivery holes 153 of the nozzle 150 . In this respect, only vacuum-exhaust of the interior of the process chamber 8 may be maintained, without supplying an inactive gas.
  • an inactive gas such as N 2 gas
  • Each of the intermitting periods T 12 and T 14 functions as a reforming period for improving the quality of the film formed on the surface of the wafer W.
  • the gas supply period T 11 is performed such that water vapor is forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 153 of the nozzle 150 (see arrows A 11 in FIG. 11 ).
  • the intermitting period T 12 used as a purging period is performed such that an inactive gas is forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 153 of the nozzle 150 (see arrows A 11 in FIG. 11 ).
  • the gases thus supplied are exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W and flow upward through the inner exhaust passage 9 that extends in a vertical direction at a position adjacent to the edges of the wafers W (see arrows A 12 in FIG. 11 ).
  • the gas supply and exhaust described above allows all the wafers W to be equally supplied with water vapor, irrespective of the position of the wafers W in a vertical direction.
  • films formed on the wafers W are improved in the inter-substrate uniformity (uniformity among wafers) in terms of characteristics, such as the quality and thickness of the films.
  • the gases are forcibly fed into the gaps between the wafers W, the reactive gases are efficiently exchanged on the surface of the wafers W.
  • the purging periods (intermitting periods) can be shorter to shorten each cycle T 11 to T 14 , thereby improving the productivity by that much.
  • PET gas which has a low bond-dissociation energy
  • the PET gas is drawn upward and flows in the gaps between the wafers W by the agency of the vacuum exhaust section 39 . Since the nozzle 148 includes substantially no vertical portion that receives the influence of heat in the process chamber 8 , the PET gas is less likely to be decomposed (a cause of by-product deposition) in the nozzle 148 .
  • each of the purging periods may be performed such that an inactive gas is supplied through only one nozzle that has been used to supply the corresponding reactive gas until immediately before it. In other words, it is optional to use the other nozzle along with the former one to supply an inactive gas.
  • FIG. 13 is a sectional view showing the process chamber of a CVD apparatus according to a sixth embodiment of the present invention.
  • FIG. 14 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 13 , and the gas flow formed therein.
  • This apparatus is also arranged to alternately supply PET gas used as an organic metal gas containing tantalum and water vapor used as an oxidizing gas, so as to form a tantalum oxide film.
  • the apparatus shown in FIG. 13 is similar to the apparatus shown in FIG. 10 , but has a different arrangement in relation to the exhaust system.
  • an exhaust port 38 X is formed at the top of an outer tube 6 to exhaust the atmosphere in a process chamber 8 .
  • the exhaust port 38 X is connected to a vacuum exhaust section 39 including a vacuum pump and so forth, through a pipe passing through the top of a heating cover 16 (see FIG. 10 ).
  • a plurality of exhaust holes 81 are formed in an inner tube 4 X on a second side opposite a first side where delivery holes 153 are arrayed on a gas nozzle 150 .
  • the exhaust holes 81 are arrayed in a vertical direction at a position adjacent to the edges of wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • the exhaust holes 81 communicate with a gap (outer exhaust passage) 10 formed between the inner tube 4 X and outer tube 6 and connected to a vacuum exhaust section 39 .
  • the inner tube 4 X has a top portion completely closed by a top plate 80 to prevent gases from flowing out.
  • a CVD method performed in the apparatus shown in FIG. 13 is substantially the same as that explained with reference to the apparatus shown in FIG. 10 .
  • gas supply patterns used are as those shown in the timing chart of FIG. 12 .
  • the nozzle 150 is arranged to forcibly feed gases from the delivery holes 153 into the gaps between the wafers W in almost horizontal directions (see arrows A 15 in FIG. 14 ).
  • the nozzle 148 is arranged to feed gases from the delivery hole such that the gases flow upward from the bottom of the process chamber 8 and flow in the gaps between the wafers W.
  • the gases thus supplied are drawn and exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W through the exhaust holes 81 in almost horizontal directions into the outer exhaust passage 10 (see arrows A 16 in FIG. 14 ).
  • the gas supply and exhaust described above allows the apparatus shown in FIG. 13 to provide the following effects in addition to those of the apparatus shown in FIG. 10 .
  • the gases are drawn from the gaps between the wafers W through the exhaust holes 81 in almost parallel with the surface of the wafers W, a uniform laminar flow tends to be formed from one end to the other on the surface of each wafer W.
  • a film formed on each wafer W is improved in the planar uniformity (uniformity on the surface of one wafer) in terms of characteristics, such as the quality and thickness of the film.
  • the exhaust holes 81 are arrayed at a position adjacent to the edges of the wafers W, the gases are more efficiently exhausted from the gaps between the wafers W.
  • the purging periods can be shorter to shorten each cycle T 11 to T 14 , thereby improving the productivity by that much.
  • FIG. 15 is a sectional view showing the process chamber of a CVD apparatus according to a seventh embodiment of the present invention.
  • FIG. 16 is a plan view of the apparatus shown in FIG. 15 .
  • This apparatus is also arranged to alternately supply PET gas used as an organic metal gas containing tantalum and water vapor used as an oxidizing gas, so as to form a tantalum oxide film.
  • the apparatus shown in FIG. 15 is similar to the apparatus shown in FIG. 13 , but has a process chamber 8 X of the single tube type with no inner tube.
  • the process chamber 8 X is provided with a thin shape exhaust pipe 85 extending vertically on a side opposite to a side where a gas nozzle 150 extends vertically, with stacked wafers W interposed therebetween (i.e., with a wafer boat 20 interposed therebetween).
  • the exhaust pipe 85 is defined by a casing 87 airtightly connected onto the inner surface of the quartz tube by welding.
  • the casing 87 is provided with a plurality of exhaust holes 86 formed in the wall facing the wafers W.
  • the exhaust holes 86 are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • the apparatus shown in FIG. 15 has the process chamber 8 X of the single tube type, it can provide almost the same operations and effects as those of the apparatus shown in FIG. 13 .
  • PET has been given as an example of an organic metal gas for forming a tantalum oxide film.
  • another organic metal gas containing tantalum such as TBTDET (trisdiethylaminotertbutyl-imino tantalum: (C 4 H 10 N) 3 Ta(NC 4 H 9 )
  • TBTDET trisdiethylaminotertbutyl-imino tantalum: (C 4 H 10 N) 3 Ta(NC 4 H 9 )
  • Water vapor has been given as an example of an oxidizing gas for forming a tantalum oxide film.
  • another oxidizing gas such as O 2 or O 3 , may be used.
  • the apparatus according to any one of the fifth to seventh embodiments may be applied to a process for forming a film other than a tantalum oxide film.
  • a process for forming a silicon nitride film by supplying a silane family gas having a low bond-dissociation energy, such as hexachlorodisilane (HCD: Si 2 Cl 6 ) gas, and NH 3 gas.
  • HCD hexachlorodisilane
  • NH 3 gas is supplied from the nozzle 150 .
  • Another example is a process for forming a hafnium oxide (HfO x ) film by supplying TDMAH (tetrakis(dimethylamino)hafnium: Hf[N(CH 3 ) 2 ] 4 ) or TEMAH (tetrakis(ethylmethylamino)hafnium: Hf[N(CH 3 )(C 2 H 5 )] 4 ) gas, and an oxidizing gas.
  • TDMAH or TEMAH gas is supplied from the nozzle 148
  • the oxidizing gas is supplied from the nozzle 150 .
  • the apparatus according to any one of the fifth to seventh embodiments may be applied to a process for forming still another film.
  • a process for forming a silicon nitride film by supplying a silane family gas and a nitriding gas, as described with reference to first to fourth embodiments.
  • Another example is a process for forming an alumina (Al 2 O 3 ) film by supplying an organic metal gas containing aluminum, and an oxidizing gas, as described with reference to first to fourth embodiments.
  • the apparatus is preferably applied to a case where two reactive gases used greatly differ from each other in a characteristic, such as bond-dissociation energy or vapor pressure.
  • a reactive gas has a low bond-dissociation energy (easy to decompose), or a low vapor pressure (difficult to uniformly supply up to the uppermost position), it does not suit the nozzle 150 long in a vertical direction.
  • a reactive gas that has a vapor pressure of 1.33 kPa or less, or a bond-dissociation energy of 250 kJ/mol or. less is supplied from the shorter nozzle 148 .
  • a reactive gas that satisfies the requirement of vapor pressure or bond-dissociation energy described in “Matters common to first to fourth embodiments” is supplied from the longer nozzle 150 .

Abstract

A vertical CVD apparatus includes a supply system configured to supply process gases into a process chamber, and a control section configured to control an operation of the apparatus. The supply system includes a plurality of first delivery holes connected to a first reactive gas line to supply a first reactive gas, and a plurality of second delivery holes connected to a second reactive gas line to supply a second reactive gas. Each set of the first delivery holes and the second delivery holes are arrayed in a vertical direction at a position adjacent to edges of target substrates, so as to be distributed entirely over the vertical length of the target substrates stacked at intervals. The control section controls the supply system to alternately supply first and second reactive gases, thereby forming a thin film derived from the first and second reactive gases on the target substrates.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a vertical CVD (Chemical Vapor Deposition) apparatus and a CVD method using the same, for a semiconductor processing system. The term “semiconductor process” used herein includes various kinds of processes which are performed to manufacture a semiconductor device or a structure having wiring layers, electrodes, and the like to be connected to a semiconductor device, on a target substrate, such as a semiconductor wafer or a glass substrate used for an LCD (Liquid crystal display) or FPD (Flat Panel Display), by forming semiconductor layers, insulating layers, and conductive layers in predetermined patterns on the target substrate.
  • 2. Description of the Related Art
  • In order to manufacture semiconductor devices, CVD processes and pattern etching processes are repeatedly applied to a semiconductor wafer. As semiconductor devices are becoming more and more highly miniaturized and integrated, demands on CVD processes have become stricter. For example, very thin insulating films, such as capacitor insulating films and gate insulating films are still required to be thinner and to be more insulating.
  • In relation to CVD processes, a so-called ALD (Atomic Layer Deposition) is known as a technique for improving the quality of a film to be formed. ALD is performed by alternately supplying at least two reactive gases pulsewise to repeat two steps, i.e., a step of causing one of the reactive gases to be adsorbed on a wafer, and a step of causing the other of the reactive gases to act on the adsorbed reactive gas. As a consequence, thin layers formed by respective step-cycles are stacked, thereby forming a film having a predetermined thickness.
  • In the process of manufacturing semiconductor devices, a semiconductor processing apparatuses is used for performing a process on a target substrate, such as a semiconductor wafer. A vertical heat-processing apparatus for simultaneously heat-processing a number of wafers is known as a processing apparatus of this kind. In general, a vertical heat-processing apparatus includes an airtight vertical process chamber (reaction tube) configured to accommodate wafers. A load port is formed at the bottom of the process chamber and is selectively opened and closed by a lid, which is moved up and down by an elevator.
  • In the process chamber, the wafers are stacked at intervals in a holder called a wafer boat, while they are placed in a horizontal state. The wafer boat with the wafers held thereon is placed on the lid, and loaded and unloaded into and from the process chamber through the load port by the elevator.
  • U.S. Pat. No. 6,585,823 B1 discloses an example of a vertical heat-processing apparatus arranged to perform a CVD process of the ALD type. The apparatus disclosed in this publication includes a process chamber having a double tube structure, which is formed of an inner tube and an outer tube. Two reactive gases are alternately supplied from the bottom of the inner tube, and pass through gaps between the stacked wafers, and then flow into an exhaust passage from the top of the inner tube.
  • Jpn. Pat. Appln. KOKAI Publication Nos. 2003-45864 and 2003-297818 disclose other examples of a vertical heat-processing apparatus arranged to perform a CVD process of the ALD type. The apparatuses disclosed in these publications include a process chamber having a single tube structure, in which a buffer chamber common to two reactive gases is disposed and extends in a vertical direction. The buffer chamber is provided with delivery holes formed thereon and arrayed substantially entirely over the vertical length of stacked wafers. The two reactive gases are alternately supplied into the buffer chamber, and flows out toward wafers through the delivery holes.
  • BRIEF SUMMARY OF THE INVENTION
  • As described later, the present inventor has found problems in the above conventional apparatuses, in that films formed on wafers are not good in the inter-substrate uniformity (uniformity among wafers) in terms of characteristics, such as the quality and thickness of the films, and exchange of reactive gases is inefficient and thus brings about a low productivity. An object of the present invention is therefore to provide a vertical CVD apparatus and a CVD method using the same, for a semiconductor processing system, which can solve at least one of these problems.
  • According to a first aspect of the present invention, there is provided a vertical CVD apparatus for performing a CVD process on a plurality of target substrates all together, the apparatus comprising;
  • an airtight process chamber configured to accommodate the target substrates;
  • a holder configured to hold the target substrates stacked at intervals in the process chamber;
  • a heater configured to heat an atmosphere in the process chamber;
  • an exhaust system configured to exhaust the process chamber;
  • a supply system configured to supply process gases into the process chamber, the supply system comprising a plurality of first delivery holes connected to a first reactive gas line to supply a first reactive gas, and a plurality of second delivery holes connected to a second reactive gas line to supply a second reactive gas, wherein each set of the first delivery holes and the second delivery holes are arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals; and
  • a control section configured to control an operation of the apparatus, so as to repeatedly execute first and second steps a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, wherein the first step is a performed by supplying one gas of the first and second reactive gases while stopping the other gas, so as to cause the one gas to be adsorbed on surfaces of the target substrates, and the second step is performed by supplying the other gas while stopping the one gas, so as to cause the other gas to act on the one gas adsorbed on the surfaces of the target substrates.
  • According to a second aspect of the present invention, there is provided a vertical CVD apparatus for performing a CVD process on a plurality of target substrates all together, the apparatus comprising:
  • an airtight process chamber configured to accommodate the target substrates;
  • a holder configured to hold the target substrates stacked at intervals in the process chamber;
  • a heater configured to heat an atmosphere in the process chamber;
  • an exhaust system configured to exhaust the process chamber;
  • a supply system configured to supply process gases into the process chamber, the supply system comprising a first delivery hole connected to a first reactive gas line to supply a first reactive gas, and a plurality of second delivery holes connected to a second reactive gas line to supply a second reactive gas, wherein the first delivery hole is disposed at a substantial bottom of the process chamber, and the second delivery holes are arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals; and
  • a control section configured to control an operation of the apparatus, so as to repeatedly execute first and second steps a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, wherein the first step is a performed by supplying one gas of the first and second reactive gases while stopping the other gas, so as to cause the one gas to be adsorbed on surfaces of the target substrates, and the second step is performed by supplying the other gas while stopping the one gas, so as to cause the other gas to act on the one gas adsorbed on the surfaces of the target substrates.
  • According to a third aspect of the present invention, there is provided a method for performing a CVD process on a plurality of target substrates all together in a vertical CVD apparatus,
  • the apparatus comprising
  • an airtight process chamber configured to accommodate the target substrates,
  • a holder configured to hold the target substrates stacked at intervals in the process chamber,
  • a heater configured to heat an atmosphere in the process chamber,
  • an exhaust system configured to exhaust the process chamber, and
  • a supply system configured to supply process gases into the process chamber,
  • the method comprising:
  • a first step of supplying one gas of first and second reactive gases while stopping the other gas, so as to cause the one gas to be adsorbed on surfaces of the target substrates; and
  • a second step of supplying the other gas while stopping the one gas, so as to cause the other gas to act on the one gas adsorbed on the surfaces of the target substrates,
  • wherein the first and second steps are repeatedly executed a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, and
  • wherein the first reactive gas is supplied from a plurality of first delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals, and the second reactive gas is supplied from a plurality of second delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals.
  • According to a fourth aspect of the present invention, there is provided a method for performing a CVD process on a plurality of target substrates all together in a vertical CVD apparatus,
  • the apparatus comprising
  • an airtight process chamber configured to accommodate the target substrates,
  • a holder configured to hold the target substrates stacked at intervals in the process chamber,
  • a heater configured to heat an atmosphere in the process chamber,
  • an exhaust system configured to exhaust the process chamber, and
  • a supply system configured to supply process gases into the process chamber,
  • the method comprising:
  • a first step of supplying one gas of first and second reactive gases while stopping the other gas, so as to cause the one gas to be adsorbed on surfaces of the target substrates; and
  • a second step of supplying the other gas while stopping the one gas, so as to cause the other gas to act on the one gas adsorbed on the surfaces of the target substrates,
  • wherein the first and second steps are repeatedly executed a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, and
  • wherein the first reactive gas is supplied from a first delivery hole disposed at a substantial bottom of the process chamber, and the second reactive gas is supplied from a plurality of second delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals.
  • Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
  • The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate presently preferred embodiments of the invention, and together with the general description given above and the detailed description of the preferred embodiments given below, serve to explain the principles of the invention.
  • FIG. 1 is a sectional view showing a CVD apparatus according to a first embodiment of the present invention;
  • FIG. 2 is a plan view of the apparatus shown in FIG. 1;
  • FIG. 3 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 1, and the gas flow formed therein;
  • FIG. 4 is a timing chart showing gas supply patterns according to the first embodiment of the present invention;
  • FIG. 5 is a sectional view showing the process chamber of a CVD apparatus according to a second embodiment of the present invention;
  • FIG. 6 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 5, and the gas flow formed therein;
  • FIG. 7 is a sectional view showing the process chamber of a CVD apparatus according to a third embodiment of the present invention;
  • FIG. 8 is a plan view of the apparatus shown in FIG. 7;
  • FIG. 9 is a sectional view showing the process chamber of a CVD apparatus according to a fourth embodiment of the present invention;
  • FIG. 10 is a sectional view showing a CVD apparatus according to a fifth embodiment of the present invention;
  • FIG. 11 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 10, and the gas flow formed therein;
  • FIG. 12 is a timing chart showing gas supply patterns according to the fifth embodiment of the present invention;
  • FIG. 13 is a sectional view showing the process chamber of a CVD apparatus according to a sixth embodiment of the present invention;
  • FIG. 14 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 13, and the gas flow formed therein;
  • FIG. 15 is a sectional view showing the process chamber of a CVD apparatus according to a seventh embodiment of the present invention; and
  • FIG. 16 is a plan view of the apparatus shown in FIG. 15.
  • DESCRIPTION OF THE INVENTION
  • In the process of developing the present invention, the inventor studied the cause of problems in vertical heat-processing apparatuses arranged to perform a CVD process of the ALD type. As a result, the inventor has arrived at the findings given below.
  • In the case of the apparatus disclosed in U.S. Pat. No. 6,585,823 B1, a wafer closer to the side from which reactive gases are fed (the bottom side) tends to be given a larger amount of adsorbed process gas molecules, although this depends on process conditions (temperature, gas flow rate, pressure, time, etc.). Accordingly, the quality and thickness of films formed on wafers vary depending on the position of the wafers in a vertical direction (i.e., the inter-substrate uniformity is low). Furthermore, the reactive gases tend to stagnate between the wafers, and thus exchange of the reactive gases is inefficient. As a consequence, purging between supply pulses of the reactive gases takes a longer time, which brings about a low productivity.
  • In the case of the apparatuses disclosed in Jpn. Pat. Appln. KOKAI Publication Nos. 2003-45864 and 2003-297818, reactive gases tend to remain in the common buffer chamber in which the two reactive gases are alternately supplied. As a consequence, a problem arises in that reaction by-products are deposited in the buffer chamber, or partially block delivery holes, which hinders the gas supply from taking place as designed. In order to solve this problem, it is necessary to increase the purge time between supply pulses of the reactive gases, which brings about a low productivity.
  • Embodiments of the present invention achieved on the basis of the findings given above will now be described with reference to the accompanying drawings. In the following description, the constituent elements having substantially the same function and arrangement are denoted by the same reference numerals, and a repetitive description will be made only when necessary.
  • First Embodiment
  • FIG. 1 is a sectional view showing a CVD apparatus according to a first embodiment of the present invention. FIG. 2 is a plan view of the apparatus shown in FIG. 1. FIG. 3 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 1, and the gas flow formed therein. This CVD apparatus 2 is arranged to alternately supply a first gas consisting essentially of a silane family gas (silicon source gas), a second gas consisting essentially of a nitriding gas, and a third gas consisting essentially of a carbon hydride gas, so as to form a silicon nitride film. For example, where dichlorosilane (DCS: SiH2Cl2) and NH3 gases are used to deposit a silicon nitride film, a carbon hydride gas is supplied to cause carbon components to be contained in the film.
  • As shown in FIG. 1, the CVD apparatus 2 includes a process chamber 8 having a double tube structure, which is formed of a cylindrical inner tube 4 made of quartz, and an outer tube 6 made of quartz and disposed concentrically with the inner tube 4 with a predetermined gap 10 therebetween. The process chamber 8 is surrounded by a heating cover 16, which includes a heater or heating means 12 and a thermal insulator 14. The heating means 12 is disposed over the entire inner surface of thermal insulator 14. In this embodiment, the inner tube 4 of the process chamber 8 has an inner diameter of about 240 mm, and a height of about 1300 mm. The process chamber 8 has a volume of about 110 liters.
  • The bottom of the process chamber 8 is supported by a cylindrical manifold 18 made of, e.g., stainless steel. A ring support plate 18A extends inward from the inner wall of the manifold 18 and supports the bottom of the inner tube 4. A number of target substrates or semiconductor wafers W are stacked on a wafer boat 20 made of quartz. The wafer boat 20 is loaded and unloaded into and from the process chamber 8 through the bottom of the manifold 18. In this embodiment, the wafer boat 20 can support, e.g., 100 to 150 product wafers having a diameter of 200 mm at substantially regular intervals in the vertical direction. The size of wafers W and the number of wafers W to be loaded are not limited to this example. For example, wafers having a diameter of 300 mm may be handled.
  • The wafer boat 20 is placed on a rotary table 24 through a heat-insulating cylinder 22 made of quartz. The rotary table 24 is supported by a rotary shaft 28, which penetrates a lid 26 used for opening and closing the bottom port of the manifold 18. The portion of the lid 26 where the rotary shaft 28 penetrates is provided with, e.g., a magnetic-fluid seal 30, so that the rotary shaft 28 is rotatably supported in an airtightly sealed state. A seal member 32, such as an O-ring is interposed between the periphery of the lid 26 and the bottom of the manifold 18, so that the interior of the process chamber 8 can be kept sealed.
  • The rotary shaft 28 is attached at the distal end of an arm 36 supported by an elevating mechanism 34, such as a boat elevator. The elevating mechanism 34 moves up and down the wafer boat 20 and lid 26 integratedly. An exhaust port 38 is formed in the side of the manifold 18 to exhaust the atmosphere in the process chamber 8 through the bottom of the gap 10 between the inner tube 4 and outer tube 6. The exhaust port 38 is connected to a vacuum exhaust section 39 including a vacuum pump and so forth.
  • A gas supply section 40 is connected to the side of the manifold 18 to supply predetermined process gases into the inner tube 4. More specifically, the gas supply section 40 includes a silane family gas supply circuit 42, a nitriding gas supply circuit 44, and a carbon hydride gas supply circuit 46. The gas supply circuits 42, 44, and 46 respectively include gas nozzles 48, 50, and 52, which penetrate the sidewall of the manifold 18 side by side in a horizontal direction. However, for the sake of convenience, FIG. 1 shows the gas nozzles 48, 50, and 52 in a state where they penetrate the sidewall of the manifold 18 side by side in a vertical direction.
  • Each of the gas nozzles 48, 50, and 52 makes a right-angled turn at the bottom of the process chamber 8, and vertically extends along the wafer boat 20 to the uppermost position. Since the gas nozzles 48, 50, and 52 penetrate the sidewall of the manifold 18 side by side in a horizontal direction, their vertical portions are also arrayed side by side around the wafer boat 20, as shown in FIG. 2. The vertical portion of each of the gas nozzles 48, 50, and 52 is provided with a number of delivery holes 53 formed thereon for supplying a process gas, as shown in FIG. 3. The delivery holes 53 are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • The gas nozzles 48, 50, and 52 are respectively connected to gas passages 60, 62, and 64 provided with flow rate controllers 54, 56, and 58, such as mass-flow controllers, and switching valves 55, 57, and 59. The gas passages 60, 62, and 64 are arranged to respectively supply a silane family gas, a nitriding gas, and a carbon hydride gas at controlled flow rates. For example, the silane family gas is DCS gas, the nitriding gas is NH3 gas, and the carbon hydride gas is ethylene (C2H4) gas.
  • The gas supply section 40 also includes an inactive gas supply circuit 72 for supplying an inactive gas (to be used as carrier gas or purge gas). The inactive gas supply circuit 72 includes inactive gas lines 76 a, 76 b, and 76 c respectively connected to the gas passages 60, 62, and 64. The inactive gas lines 76 a, 76 b, and 76 c are respectively provided with flow rate controllers 74 a, 74 b, and 74 c, such as mass-flow controllers, and switching valves 75 a, 75 b, and 75 c. For example, N2 gas or Ar is used as the inactive gas.
  • To summarize, the gas supply circuits 42, 44, and 46 of the apparatus according to the first embodiment respectively include gas nozzles 48, 50, and 52, each of which can supply the corresponding reactive gas and an inactive gas selectively or simultaneously. Each of the nozzles 48, 50, and 52 is provided with a number of delivery holes 53 formed thereon, which are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W. An inner exhaust passage 9 is formed along the inner surface of the inner tube 4 around the wafers W. The inner exhaust passage 9 extends in a vertical direction at a position adjacent to the edges of the wafers W, so that it is present substantially entirely over the vertical length of the stacked wafers W. At the top of the inner tube 4, the inner exhaust passage 9 communicates with the gap (outer exhaust passage) 10 formed between the inner tube 4 and outer tube 6 and connected to the vacuum exhaust section 39.
  • Next, an explanation will be given of a CVD method performed in the apparatus described above. The following method (including gas supply and stop) can be performed in accordance with a CVD process recipe stored in advance in the memory section 5 s of a CPU 5, e.g., in accordance with the film thickness of an silicon nitride film to be formed. The relationship between the process gas flow rates and the film thickness of a silicon nitride film to be formed is also stored in advance in the memory section 5 s as a control data. Accordingly, the CPU 5 can control the gas supply section 40 and so forth, based on the stored process recipe and control data.
  • At first, when the CVD apparatus is in a waiting state with no wafers loaded therein, the interior of the process chamber 8 is kept at a process temperature of, e.g., about 550 ° C. On the other hand, a number of wafers, e.g., 100 wafers W are transferred into the wafer boat 20. After the wafers are transferred, the wafer boat 20, which is at a normal temperature, is moved up from below the process chamber 8 and loaded into the process chamber 8. Then, the lid 26 closes the bottom port of the manifold 18 to airtightly seal the interior of the process chamber 8.
  • Then, the interior of the process chamber 8 is vacuum exhausted and kept at a predetermined process pressure. Furthermore, the wafer temperature is increased to a process temperature for film formation. After the temperature becomes stable, DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas are supplied from the respective nozzles 48, 50, and 52 of the gas supply section 40 at controlled flow rates. At this time, the following gas supply patterns are used to form a silicon nitride film. The interior of the process chamber 8 is kept vacuum-exhausted throughout the periods of the film formation.
  • FIG. 4 is a timing chart showing gas supply patterns according to the first embodiment of the present invention. As shown in FIG. 4, the supply periods, i.e., supply timings, of the reactive gases of three kinds differ from each other. Specifically, one cycle is formed of first supplying DCS gas (T1), then supplying NH3 gas (T3), and lastly supplying C2H4 gas (T5). This cycle is continuously repeated a plurality of times. Between the gas supply periods T1, T3, and T5, intermitting periods T2, T4, and T6 are respectively interposed, where all the three reactive gases are stopped and purging is performed with an inactive gas.
  • The flow rate of DCS gas is set at 50 to 2000 sccm, e.g., 300 sccm, the flow rate of NH3 gas is set at 150 to 5000 sccm, e.g., 1000 sccm, and the flow rate of C2H4 gas is set at 50 to 2000 sccm, e.g., 500 sccm. The process temperature is set at a constant value of 450 to 600° C., e.g., 550° C., and the process pressure is set at 13 Pa to 1.33 kPa, e.g., 133 Pa (1 Torr) during the gas supply periods T1, T3, and T5, and at 13 to 133 Pa, e.g., 40 Pa (0.3 Torr) during the intermitting periods T2, T4, and T6. Each one (one pulse) of the gas supply periods T1, T3, and T5 is set at 15 to 60 seconds, while each one of the intermitting periods T2, T4, and T6 is set at 30 to 180 seconds. For example, where the gas supply period is set at 30 seconds and the intermitting period is set at 30 seconds, the length of one cycle T1 to T6 totals around three minutes.
  • In each cycle T1 to T6, the following process proceeds on the surface of each wafer W. Specifically, in the first supply period T1 where the first reactive gas or DCS gas is supplied, the DCS gas is adsorbed on the surface of the wafer W. In the second supply period T3 where the second reactive gas or NH3 gas is supplied, the NH3 gas acts on the adsorbed DCS gas on the surface of the wafer W, and a unit layer of silicon nitride is thereby formed on the surface of the wafer W. In the third supply period T5 where the third reactive gas or C2H4 gas is supplied, n-bonds of C═C double bonds of the C2H4 gas are split and react with silicon nitride, so that carbon components are contained in the unit layer of silicon nitride. Thin unit layers thus formed by respective cycles (T1 to T6) are stacked to complete a silicon nitride film that contains carbon components and has a predetermined thickness.
  • In the intermitting periods T2, T4, and T6, purging is performed with an inactive gas, thereby removing unnecessary gases from the surface of the wafer W. Since the interior of the process chamber 8 is kept vacuum-exhausted throughout the periods T1 to T6 of the film formation, the purging can be performed by stopping supply of the three gases, and only supplying an inactive gas, such as N2 gas, from the delivery holes 53 of the respective nozzles 48, 50, and 52. In this respect, only vacuum-exhaust of the interior of the process chamber 8 may be maintained, without supplying an inactive gas.
  • As described above, when a silicon nitride film is formed on a wafer surface, a carbon hydride gas, such as C2H4 gas, is supplied into the process chamber 8, and carbon components are thereby contained in the silicon nitride film. This brings about a low etching rate of the silicon nitride film surface relative to dilute hydrofluoric acid used in a cleaning process or etching process, even though the film-formation temperature is set at, e.g., 550° C., which is lower than the conventional film-formation temperature of, e.g., about 760° C. As a consequence, it is possible to prevent the silicon nitride film from being excessively etched during the cleaning process, thereby improving the controllability in the film thickness. Furthermore, it is possible for the silicon nitride film to sufficiently function as an etching stopper film.
  • Each of the intermitting periods T2, T4, and T6 functions as a reforming period for improving the quality of the film formed on the surface of the wafer W. The reforming behavior in the intermitting periods is thought to proceed as follows. Specifically, when a silicon nitride film containing carbon atoms is formed, some C1 atoms derived from DCS gas cannot separate from the uppermost surface of the thin film during the deposition, but bond thereto in an activated state. In the intermitting period where supply of the DCS gas is stopped, C atoms or N atoms derived from C2H4 gas or NH3 gas replace the C1 atoms in the uppermost surface of the thin film. As a consequence, the film decreases in C1 components contained therein, thereby providing a lower etching rate. Particularly, where C2H4 gas is used, C atoms taken into the silicon nitride film increase, thereby providing a still lower etching rate.
  • In the apparatus according to the first embodiment, the gas supply periods T1, T3, and T5 are performed such that the respective gases of three kinds are forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 53 of the corresponding one of the nozzles 48, 50, and 52 (see arrows A1 in FIG. 3). Furthermore, the intermitting periods T2, T4, and T6 used as purging periods are performed such that an inactive gas is forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 53 of the corresponding one of the nozzles 48, 50, and 52 (see arrows A1 in FIG. 3). The gases thus supplied are exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W and flow upward through the inner exhaust passage 9 that extends in a vertical direction at a position adjacent to the edges of the wafers W (see arrows A2 in FIG. 3).
  • The gas supply and exhaust described above allows all the wafers W to be equally supplied with the reactive gases, irrespective of the position of the wafers W in a vertical direction. As a consequence, films formed on the wafers W are improved in the inter-substrate uniformity (uniformity among wafers) in terms of characteristics, such as the quality and thickness of the films. Furthermore, since the gases are forcibly fed into the gaps between the wafers W, the reactive gases are efficiently exchanged on the surface of the wafers W. As a consequence, the purging periods (intermitting periods) can be shorter to shorten each cycle T1 to T6, thereby improving the productivity by that much.
  • Since an inactive gas is supplied through the nozzles 48, 50, and 52, by-products are prevented from being deposited in the nozzles 48, 50, and 52 or at the delivery holes 53. In this respect, each of the purging periods may be performed such that an inactive gas is supplied through only one nozzle that has been used to supply the corresponding reactive gas until immediately before it. In other words, it is optional to use the other nozzles along with the former one to supply an inactive gas.
  • Second Embodiment
  • FIG. 5 is a sectional view showing the process chamber of a CVD apparatus according to a second embodiment of the present invention. FIG. 6 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 5, and the gas flow formed therein. This apparatus is also arranged to alternately supply DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas, so as to form a silicon nitride film.
  • The apparatus shown in FIG. 5 is similar to the apparatus shown in FIG. 1, but has a different arrangement in relation to the exhaust system. As shown in FIGS. 5 and 6, a plurality of exhaust holes 81 are formed in an inner tube 4X on a second side opposite a first side where delivery holes 53 are arrayed on gas nozzles 48, 50, and 52. The exhaust holes 81 are arrayed in a vertical direction at a position adjacent to the edges of wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W. The exhaust holes 81 communicate with a gap (outer exhaust passage) 10 formed between the inner tube 4X and outer tube 6 and connected to a vacuum exhaust section 39. The inner tube 4X has a top portion completely closed by a top plate 80 to prevent gases from flowing out.
  • A CVD method performed in the apparatus shown in FIG. 5 is substantially the same as that explained with reference to the apparatus shown in FIG. 1. In this method, gas supply patterns used are as those shown in the timing chart of FIG. 4. Also in the apparatus shown in FIG. 5, each of the nozzles 48, 50, and 52 is arranged to forcibly feed gases from the delivery holes 53 into the gaps between the wafers W in almost horizontal directions (see arrows AS in FIG. 6). On the other hand, the gases thus supplied are drawn and exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W through the exhaust holes 81 in almost horizontal directions into the outer exhaust passage 10 (see arrows A6 in FIG. 6).
  • The gas supply and exhaust described above allows the apparatus shown in FIG. 5 to provide the following effects in addition to those of the apparatus shown in FIG. 1. Specifically, the gases are drawn from the gaps between the wafers W through the exhaust holes 81 in almost parallel with the surface of the wafers W, a uniform laminar flow tends to be formed from one end to the other on the surface of each wafer W. As a consequence, a film formed on each wafer W is improved in the planar uniformity (uniformity on the surface of one wafer) in terms of characteristics, such as the quality and thickness of the film. Furthermore, since the exhaust holes 81 are arrayed at a position adjacent to the edges of the wafers W, the gases are more efficiently exhausted from the gaps between the wafers W. As a consequence, the purging periods (intermitting periods) can be shorter to shorten each cycle T1 to T6, thereby improving the productivity by that much.
  • Third Embodiment
  • FIG. 7 is a sectional view showing the process chamber of a CVD apparatus according to a third embodiment of the present invention. FIG. 8 is a plan view of the apparatus shown in FIG. 7. This apparatus is also arranged to alternately supply DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas, so as to form a silicon nitride film.
  • The apparatus shown in FIG. 7 is similar to the apparatus shown in FIG. 5, but has a process chamber 8X of the single tube type with no inner tube. The process chamber 8X is provided with a thin shape exhaust pipe 85 extending vertically on a side opposite to a side where gas nozzles 48, 50, and 52 extend vertically, with stacked wafers W interposed therebetween (i.e., with a wafer boat 20 interposed therebetween). The exhaust pipe 85 is defined by a casing 87 airtightly connected onto the inner surface of the quartz tube by welding. The casing 87 is provided with a plurality of exhaust holes 86 formed in the wall facing the wafers W. The exhaust holes 86 are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • Although the apparatus shown in FIG. 7 has the process chamber 8X of the single tube type, it can provide almost the same operations and effects as those of the apparatus shown in FIG. 5.
  • Fourth Embodiment
  • FIG. 9 is a sectional view showing the process chamber of a CVD apparatus according to a fourth embodiment of the present invention. This apparatus is also arranged to alternately supply DCS gas used as a silane family gas, ammonia gas used as a nitriding gas, and ethylene gas used as a carbon hydride gas, so as to form a silicon nitride film.
  • The apparatus shown in FIG. 9 is similar to the apparatus shown in FIG. 5, but has an exhaust port 38X formed at the top of an outer tube 6 to exhaust the atmosphere in a process chamber 8. The exhaust port 38X is connected to a vacuum exhaust section 39 including a vacuum pump and so forth, through a pipe passing through the top of a heating cover 16 (see FIG. 1). Since the exhaust port 38X is disposed at the top of the process chamber 8, the apparatus can be made compact as a whole. In the other respects, the apparatus shown in FIG. 9 can provide almost the same operations and effects as those of the apparatus shown in FIG. 5.
  • Matters Common to First to Fourth Embodiments
  • The supply order of the reactive gases shown in the timing chart of FIG. 4 is only an example, and may be arbitrarily changed. However, in processing a target substrate having a silicon surface, it is preferable to first supply a process gas containing C, so as to form Si—C bonds in the silicon surface and thereby protect the silicon surface. Specifically, it is preferable to first supply DCS gas alone (or along with C2H4 gas) and then supply NH3 gas. If NH3 gas is first supplied, N—Si bonds are formed in the wafer surface, which are low in chemical resistance (i.e., easy to etch). In order to prevent this problem, DCS gas and/or C2H4 gas is first supplied to form Si—C bonds, which are high in chemical resistance (i.e., difficult to etch).
  • C2H4 (ethylene) has been given as an example of a carbon hydride gas for a silicon nitride film to contain carbon components. In this respect, the carbon hydride gas may be a single or a plurality of gases selected from the group consisting of acetylene, ethylene, methane, ethane, propane, and butane. For example, where the carbon hydride gas is ethane, the gas is preferably preheated to about 500 to 1000° C., and then supplied into the process chamber 8.
  • C2H4 gas or the carbon hydride gas is used to reduce the etching rate of a silicon nitride film relative to dilute hydrofluoric acid. Accordingly, depending on the intended use of a silicon nitride film, no carbon hydride gas needs to be supplied, i.e., the carbon hydride gas supply circuit 46 (see FIG. 1) is unnecessary. In this case, the timing chart of FIG. 4 is modified such that each cycle for forming a unit layer of a silicon nitride film is formed of the periods T1 to T4.
  • Dichlorosilane (DCS) has been given as an example of a silane family gas for forming a silicon nitride film. In this respect, suitably for the apparatus according to any one of the first to fourth embodiments, the silane family gas for forming a silicon nitride film may be a single or a plurality of gases selected from the group consisting of monosilane (SiH4), disilane (Si2H6), trichlorosilane (SiHCl3), tetra-chlorosilane (SiCl4), and bistertialbutylaminosilane (BTBAS).
  • The apparatus according to any one of the first to fourth embodiments may be applied to a process for forming a film other than a silicon nitride film. One example is a process for forming an alumina (Al2O3) film. In this case, an organic metal gas containing aluminum, such as Al(CH3)3, is used as a first reactive gas, and an oxidizing gas, such as O2, O3, or H2O, is used as a second reactive gas.
  • In the apparatus according to any one of the first to fourth embodiments, since all the gas nozzles 48, 50, and 52 extend to the uppermost wafer W, it is necessary to consider the type of reactive gas to be supplied therethrough. Specifically, where a reactive gas has a low bond-dissociation energy (easy to decompose), or a low vapor pressure (difficult to uniformly supply up to the uppermost position), it does not suit a nozzle long in a vertical direction. In light of this, a gas supplied through the gas nozzles 48, 50, and 52 preferably has a vapor pressure of 2.66 kPa or more, and a bond-dissociation energy of 250 kJ/mol or more, and more preferably has a vapor pressure of 4 kPa or more, and a bond-dissociation energy of 300 kJ/mol or more.
  • Fifth Embodiment
  • FIG. 10 is a sectional view showing a CVD apparatus according to a fifth embodiment of the present invention. FIG. 11 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 10, and the gas flow formed therein. This CVD apparatus 102 is arranged to alternately supply a first gas consisting essentially of an organic metal gas containing tantalum, and a second gas consisting essentially of an oxidizing gas, so as to form a tantalum oxide film. For example, a metal alkoxide of tantalum, such as Ta(OC2H5)5 (pentoethoxytantalum: PET) gas and H2O gas (water vapor) are used to deposit a tantalum oxide film (Ta2O5).
  • Although the apparatus shown in FIG. 10 is similar to the apparatus shown in FIG. 1, it has a gas supply section and a control section both totally different from those of the apparatus shown in FIG. 1, due to difference in deposition film. Specifically, a gas supply section 140 is connected to the side of a manifold 18 to supply predetermined process gases into an inner tube 4. More specifically, the gas supply section 140 includes an organic metal gas supply circuit 142, and an oxidizing gas supply circuit 144. The gas supply circuits 142 and 144 respectively include gas nozzles 148 and 150, which penetrate the sidewall of the manifold 18 side by side in a horizontal direction. However, for the sake of convenience, FIG. 10 shows the gas nozzles 148 and 150 in a state where they penetrate the sidewall of the manifold 18 side by side in a vertical direction.
  • The gas nozzle 148 for supplying PET used as an organic metal gas is opened upward at the bottom of the process chamber 8. The gas nozzle 150 for supplying water vapor used as an oxidizing gas makes a right-angled turn at the bottom of the process chamber 8, and vertically extends along a wafer boat 20 to the uppermost position. The vertical portion of the gas nozzle 150 is provided with a number of delivery holes 153 formed thereon for supplying a process gas, as shown in FIG. 11. The delivery holes 153 are arrayed in a vertical direction at a position adjacent to the edges of wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • The gas nozzles 148 and 150 are respectively connected to gas passages 160 and 162 provided with flow rate controllers 154 and 156, such as mass-flow controllers, and switching valves 155 and 157. The gas passages 160 and 162 are arranged to respectively supply an organic metal gas and an oxidizing gas at controlled flow rates. For example, the organic metal gas is PET gas, and the oxidizing gas is water vapor.
  • The gas supply section 140 also includes an inactive gas supply circuit 72 for supplying an inactive gas (to be used as carrier gas or purge gas). The inactive gas supply circuit 72 includes inactive gas lines 76 a and 76 b respectively connected to the gas passages 160 and 162. The inactive gas lines 76 a and 76 b are respectively provided with flow rate controllers 74 a and 74 b, such as mass-flow controllers, and switching valves 75 a and 75 b. For example, N2 gas or Ar is used as the inactive gas.
  • To summarize, the gas supply circuits 142 and 144 of the apparatus according to the fifth embodiment respectively include gas nozzles 148 and 150, each of which can supply the corresponding reactive gas and an inactive gas selectively or simultaneously. The gas nozzle 148 is provided with a delivery hole opened upward at the bottom of the process chamber 8. The nozzle 150 is provided with a number of delivery holes 153 formed thereon, which are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W. An inner exhaust passage 9 is formed along the inner surface of the inner tube 4 around the wafers W. The inner exhaust passage 9 extends in a vertical direction at a position adjacent to the edges of the wafers W, so that it is present substantially entirely over the vertical length of the stacked wafers W. At the top of the inner tube 4, the inner exhaust passage 9 communicates with a gap (outer exhaust passage) 10 formed between the inner tube 4 and outer tube 6 and connected to a vacuum exhaust section 39.
  • Next, an explanation will be given of a CVD method performed in the apparatus described above. The following method (including gas supply and stop) can be performed in accordance with a CVD process recipe stored in advance in the memory section 5 s of a CPU 5, e.g., in accordance with the film thickness of a tantalum oxide film to be formed. The relationship between the process gas flow rates and the film thickness of a tantalum oxide film to be formed is also stored in advance in the memory section 5 s as a control data. Accordingly, the CPU 5 can control the gas supply section 140 and so forth, based on the stored process recipe and control data.
  • At first, when the CVD apparatus is in a waiting state with no wafers loaded therein, the interior of the process chamber 8 is kept at a process temperature of, e.g., about 300° C. On the other hand, a number of wafers, e.g., 100 wafers W are transferred into the wafer boat 20. After the wafers are transferred, the wafer boat 20, which is at a normal temperature, is moved up from below the process chamber 8 and loaded into the process chamber 8. Then, the lid 26 closes the bottom port of the manifold 18 to airtightly seal the interior of the process chamber 8.
  • Then, the interior of the process chamber 8 is vacuum exhausted and kept at a predetermined process pressure. Furthermore, the wafer temperature is increased to a process temperature for film formation. After the temperature becomes stable, PET gas used as an organic metal gas and water vapor used as an oxidizing gas are supplied from the respective nozzles 148 and 150 of the gas supply section 140 at controlled flow rates. At this time, the following gas supply patterns are used to form a tantalum oxide film. The interior of the process chamber 8 is kept vacuum-exhausted throughout the periods of the film formation.
  • FIG. 12 is a timing chart showing gas supply patterns according to the fifth embodiment of the present invention. As shown in FIG. 12, the supply periods, i.e., supply timings, of the reactive gases of two kinds differ from each other. Specifically, one cycle is formed of first supplying water vapor (T11), and then supplying PET gas (T13). This cycle is continuously repeated a plurality of times. The PET gas is supplied along with an inactive gas, such as N2 gas, used as a carrier gas. Between the gas supply periods T11 and T13, intermitting periods T12 and T14 are respectively interposed, where all the two reactive gases are stopped and purging is performed with an inactive gas.
  • The flow rate of water vapor is set at 10 to 1000 sccm, and the flow rate of PET gas is set at about 0.05 to 5.0 ml/min in a value converted into liquid PET, and the flow rate of N2 gas used as a carrier gas is set at 1000 sccm. The process temperature is set at a constant value of 200 to 450° C., and the process pressure is set at 13 to 133 Pa during the gas supply periods T11 and T13, and at 13 to 133 Pa during the intermitting periods T12 and T14. Each one (one pulse) of the gas supply periods T11 and T13 is set at 60 to 120 seconds, while each one of the intermitting periods T12 and T14 is set at 30 to 60 seconds. For example, where the gas supply period is set at 60 seconds and the intermitting period is set at 30 seconds, the length of one cycle T11 to T14 totals around three minutes.
  • In each cycle T11 to T14, the following process proceeds on the surface of each wafer W. Specifically, in the first supply period T11 where the first reactive gas or water vapor is supplied, the water vapor is adsorbed on the surface of the wafer W. In the second supply period T13 where the second reactive gas or PET gas is supplied, the PET gas acts on the adsorbed water vapor on the surface of the wafer W, and a unit layer of tantalum oxide is thereby formed on the surface of the wafer W. Thin unit layers thus formed by respective cycles (T11 to T14) are stacked to complete a tantalum oxide film having a predetermined thickness.
  • In the intermitting periods T12 and T14, purging is performed with an inactive gas, thereby removing unnecessary gases from the surface of the wafer W. Since the interior of the process chamber 8 is kept vacuum-exhausted throughout the periods T11 to T14 of the film formation, the purging can be performed by stopping supply of the two gases, and only supplying an inactive gas, such as N2 gas, from the nozzle 148 and delivery holes 153 of the nozzle 150. In this respect, only vacuum-exhaust of the interior of the process chamber 8 may be maintained, without supplying an inactive gas.
  • As described above, since thin unit layers are stacked to form a tantalum oxide film, the surface morphology and electrical characteristics of the tantalum oxide film are improved. Each of the intermitting periods T12 and T14 functions as a reforming period for improving the quality of the film formed on the surface of the wafer W.
  • In the apparatus according to the fifth embodiment, the gas supply period T11 is performed such that water vapor is forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 153 of the nozzle 150 (see arrows A11 in FIG. 11). Furthermore, the intermitting period T12 used as a purging period is performed such that an inactive gas is forcibly fed into the gaps between the wafers W in almost horizontal directions from the delivery holes 153 of the nozzle 150 (see arrows A11 in FIG. 11). The gases thus supplied are exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W and flow upward through the inner exhaust passage 9 that extends in a vertical direction at a position adjacent to the edges of the wafers W (see arrows A12 in FIG. 11).
  • The gas supply and exhaust described above allows all the wafers W to be equally supplied with water vapor, irrespective of the position of the wafers W in a vertical direction. As a consequence, films formed on the wafers W are improved in the inter-substrate uniformity (uniformity among wafers) in terms of characteristics, such as the quality and thickness of the films. Furthermore, since the gases are forcibly fed into the gaps between the wafers W, the reactive gases are efficiently exchanged on the surface of the wafers W. As a consequence, the purging periods (intermitting periods) can be shorter to shorten each cycle T11 to T14, thereby improving the productivity by that much.
  • On the other hand, PET gas, which has a low bond-dissociation energy, is supplied from the delivery hole of the nozzle 148 opened at the bottom of the process chamber 8. The PET gas is drawn upward and flows in the gaps between the wafers W by the agency of the vacuum exhaust section 39. Since the nozzle 148 includes substantially no vertical portion that receives the influence of heat in the process chamber 8, the PET gas is less likely to be decomposed (a cause of by-product deposition) in the nozzle 148.
  • Since an inactive gas is supplied through the nozzles 148 and 150, by-products are prevented from being deposited in the nozzles 148 and 150 or at the delivery holes 153. In this respect, each of the purging periods may be performed such that an inactive gas is supplied through only one nozzle that has been used to supply the corresponding reactive gas until immediately before it. In other words, it is optional to use the other nozzle along with the former one to supply an inactive gas.
  • Sixth Embodiment
  • FIG. 13 is a sectional view showing the process chamber of a CVD apparatus according to a sixth embodiment of the present invention. FIG. 14 is an enlarged view showing an upper portion of the process chamber of the apparatus shown in FIG. 13, and the gas flow formed therein. This apparatus is also arranged to alternately supply PET gas used as an organic metal gas containing tantalum and water vapor used as an oxidizing gas, so as to form a tantalum oxide film.
  • The apparatus shown in FIG. 13 is similar to the apparatus shown in FIG. 10, but has a different arrangement in relation to the exhaust system. As shown in FIGS. 13 and 14, an exhaust port 38X is formed at the top of an outer tube 6 to exhaust the atmosphere in a process chamber 8. The exhaust port 38X is connected to a vacuum exhaust section 39 including a vacuum pump and so forth, through a pipe passing through the top of a heating cover 16 (see FIG. 10).
  • A plurality of exhaust holes 81 are formed in an inner tube 4X on a second side opposite a first side where delivery holes 153 are arrayed on a gas nozzle 150. The exhaust holes 81 are arrayed in a vertical direction at a position adjacent to the edges of wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W. The exhaust holes 81 communicate with a gap (outer exhaust passage) 10 formed between the inner tube 4X and outer tube 6 and connected to a vacuum exhaust section 39. The inner tube 4X has a top portion completely closed by a top plate 80 to prevent gases from flowing out.
  • A CVD method performed in the apparatus shown in FIG. 13 is substantially the same as that explained with reference to the apparatus shown in FIG. 10. In this method, gas supply patterns used are as those shown in the timing chart of FIG. 12. Also in the apparatus shown in FIG. 13, the nozzle 150 is arranged to forcibly feed gases from the delivery holes 153 into the gaps between the wafers W in almost horizontal directions (see arrows A15 in FIG. 14). The nozzle 148 is arranged to feed gases from the delivery hole such that the gases flow upward from the bottom of the process chamber 8 and flow in the gaps between the wafers W. On the other hand, the gases thus supplied are drawn and exhausted by the agency of the vacuum exhaust section 39 from the gaps between the wafers W through the exhaust holes 81 in almost horizontal directions into the outer exhaust passage 10 (see arrows A16 in FIG. 14).
  • The gas supply and exhaust described above allows the apparatus shown in FIG. 13 to provide the following effects in addition to those of the apparatus shown in FIG. 10. Specifically, the gases are drawn from the gaps between the wafers W through the exhaust holes 81 in almost parallel with the surface of the wafers W, a uniform laminar flow tends to be formed from one end to the other on the surface of each wafer W. As a consequence, a film formed on each wafer W is improved in the planar uniformity (uniformity on the surface of one wafer) in terms of characteristics, such as the quality and thickness of the film. Furthermore, since the exhaust holes 81 are arrayed at a position adjacent to the edges of the wafers W, the gases are more efficiently exhausted from the gaps between the wafers W. As a consequence, the purging periods (intermitting periods) can be shorter to shorten each cycle T11 to T14, thereby improving the productivity by that much.
  • Seventh Embodiment
  • FIG. 15 is a sectional view showing the process chamber of a CVD apparatus according to a seventh embodiment of the present invention. FIG. 16 is a plan view of the apparatus shown in FIG. 15. This apparatus is also arranged to alternately supply PET gas used as an organic metal gas containing tantalum and water vapor used as an oxidizing gas, so as to form a tantalum oxide film.
  • The apparatus shown in FIG. 15 is similar to the apparatus shown in FIG. 13, but has a process chamber 8X of the single tube type with no inner tube. The process chamber 8X is provided with a thin shape exhaust pipe 85 extending vertically on a side opposite to a side where a gas nozzle 150 extends vertically, with stacked wafers W interposed therebetween (i.e., with a wafer boat 20 interposed therebetween). The exhaust pipe 85 is defined by a casing 87 airtightly connected onto the inner surface of the quartz tube by welding. The casing 87 is provided with a plurality of exhaust holes 86 formed in the wall facing the wafers W. The exhaust holes 86 are arrayed in a vertical direction at a position adjacent to the edges of the wafers W, so that they are distributed substantially entirely over the vertical length of the stacked wafers W.
  • Although the apparatus shown in FIG. 15 has the process chamber 8X of the single tube type, it can provide almost the same operations and effects as those of the apparatus shown in FIG. 13.
  • Matters Common to Fifth to Seventh Embodiments
  • The supply order of the reactive gases shown in the timing chart of FIG. 12 is only an example, and may be reversed. PET has been given as an example of an organic metal gas for forming a tantalum oxide film. In this respect, another organic metal gas containing tantalum, such as TBTDET (trisdiethylaminotertbutyl-imino tantalum: (C4H10N)3Ta(NC4H9)), may be used. Water vapor has been given as an example of an oxidizing gas for forming a tantalum oxide film. In this respect, another oxidizing gas, such as O2 or O3, may be used.
  • The apparatus according to any one of the fifth to seventh embodiments may be applied to a process for forming a film other than a tantalum oxide film. One example is a process for forming a silicon nitride film by supplying a silane family gas having a low bond-dissociation energy, such as hexachlorodisilane (HCD: Si2Cl6) gas, and NH3 gas. In this case, the silane family gas is supplied from the nozzle 148, and the NH3 gas is supplied from the nozzle 150. Another example is a process for forming a hafnium oxide (HfOx) film by supplying TDMAH (tetrakis(dimethylamino)hafnium: Hf[N(CH3)2]4) or TEMAH (tetrakis(ethylmethylamino)hafnium: Hf[N(CH3)(C2H5)]4) gas, and an oxidizing gas. In this case, the TDMAH or TEMAH gas is supplied from the nozzle 148, and the oxidizing gas is supplied from the nozzle 150.
  • The apparatus according to any one of the fifth to seventh embodiments may be applied to a process for forming still another film. One example is a process for forming a silicon nitride film by supplying a silane family gas and a nitriding gas, as described with reference to first to fourth embodiments. Another example is a process for forming an alumina (Al2O3) film by supplying an organic metal gas containing aluminum, and an oxidizing gas, as described with reference to first to fourth embodiments. In these cases, it is preferable to use the shorter nozzle 148 to supply a gas easier to decompose or lower in vapor pressure.
  • To summarize, the apparatus according to any one of the fifth to seventh embodiments is preferably applied to a case where two reactive gases used greatly differ from each other in a characteristic, such as bond-dissociation energy or vapor pressure. Specifically, where a reactive gas has a low bond-dissociation energy (easy to decompose), or a low vapor pressure (difficult to uniformly supply up to the uppermost position), it does not suit the nozzle 150 long in a vertical direction. In light of this, a reactive gas that has a vapor pressure of 1.33 kPa or less, or a bond-dissociation energy of 250 kJ/mol or. less, is supplied from the shorter nozzle 148. On the other hand, a reactive gas that satisfies the requirement of vapor pressure or bond-dissociation energy described in “Matters common to first to fourth embodiments” is supplied from the longer nozzle 150.
  • Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (26)

1. A vertical CVD apparatus for performing a CVD process on a plurality of target substrates all together, the apparatus comprising:
an airtight process chamber configured to accommodate the target substrates;
a holder configured to hold the target substrates stacked at intervals in the process chamber;
a heater configured to heat an atmosphere in the process chamber;
an exhaust system configured to exhaust the process chamber;
a supply system configured to supply process gases into the process chamber, the supply system comprising a plurality of first delivery holes connected to a first reactive gas line to supply a first reactive gas, and a plurality of second delivery holes connected to a second reactive gas line to supply a second reactive gas, wherein each set of the first delivery holes and the second delivery holes are arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals; and
a control section configured to control an operation of the apparatus, so as to repeatedly execute first and second steps a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, wherein the first step is a performed by supplying one gas of the first and second reactive gases while stopping the other gas, so as to cause said one gas to be adsorbed on surfaces of the target substrates, and the second step is performed by supplying said other gas while stopping said one gas, so as to cause said other gas to act on said one gas adsorbed on the surfaces of the target substrates.
2. The apparatus according to claim 1, wherein the supply system comprises first and second pipes extending in a vertical direction at a position adjacent to edges of the target substrates, so as to be present substantially entirely over a vertical length of the target substrates stacked at intervals, and wherein the first delivery holes comprise holes formed in the first pipe and the second delivery holes comprise holes formed in the second pipe.
3. The apparatus according to claim 1, wherein the supply system comprises a first inactive gas line connected to the first delivery holes, and a second inactive gas line connected to the second delivery holes.
4. The apparatus according to claim 3, wherein the control section is configured to execute a first purge step between the first and second steps, and execute a second purge step between the second and first steps, and wherein the first purge step is performed by exhausting the process chamber while supplying an inactive gas from the first delivery holes, so as to purge the first reactive gas from the process chamber, and the second purge step is performed by exhausting the process chamber while supplying an inactive gas from the second delivery holes, so as to purge the second reactive gas from the process chamber.
5. The apparatus according to claim 1, wherein the exhaust system comprises an inner exhaust passage extending in a vertical direction at a position adjacent to edges of the target substrates, so as to be present substantially entirely over a vertical length of the target substrates stacked at intervals.
6. The apparatus according to claim 5, wherein the process chamber comprises an inner tube configured to accommodate the holder, and an outer tube disposed concentrically with the inner tube with a gap therebetween, the inner exhaust passage is formed along an inner surface of the inner tube, an outer exhaust passage is formed between the inner tube and the outer tube and communicates with the inner exhaust passage at an end of the inner tube, and the outer exhaust passage is connected to an exhaust apparatus disposed outside the process chamber.
7. The apparatus according to claim 1, wherein the exhaust system comprises a plurality of exhaust holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals, and the first and second delivery holes are disposed on a first side of the process chamber and the exhaust holes are disposed on a second side of the process chamber opposite the first side.
8. The apparatus according to claim 7, wherein the process chamber comprises an inner tube configured to accommodate the holder, and an outer tube disposed concentrically with the inner tube with a gap therebetween, the exhaust holes comprise holes formed in a wall of the inner tube, an outer exhaust passage is formed between the inner tube and the outer tube and communicates with the exhaust holes, and the outer exhaust passage is connected to an exhaust apparatus disposed outside the process chamber.
9. The apparatus according to claim 7, wherein the exhaust system comprises an exhaust pipe extending in a vertical direction at a position adjacent to edges of the target substrates, so as to be present substantially entirely over a vertical length of the target substrates stacked at intervals, and wherein the exhaust holes comprise holes formed in the exhaust pipe.
10. The apparatus according to claim 1, wherein the first and second reactive gases comprise a combination selected from the group consisting of a first combination in which the first reactive gas is a silane family gas and the second reactive gas is ammonia gas, and a second combination in which the first reactive gas is an organic metal gas containing aluminum and the second reactive gas is an oxidizing gas.
11. A vertical CVD apparatus for performing a CVD process on a plurality of target substrates all together, the apparatus comprising:
an airtight process chamber configured to accommodate the target substrates;
a holder configured to hold the target substrates stacked at intervals in the process chamber;
a heater configured to heat an atmosphere in the process chamber;
an exhaust system configured to exhaust the process chamber;
a supply system configured to supply process gases into the process chamber, the supply system comprising a first delivery hole connected to a first reactive gas line to supply a first reactive gas, and a plurality of second delivery holes connected to a second reactive gas line to supply a second reactive gas, wherein the first delivery hole is disposed at a substantial bottom of the process chamber, and the second delivery holes are arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals; and
a control section configured to control an operation of the apparatus, so as to repeatedly execute first and second steps a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, wherein the first step is a performed by supplying one gas of the first and second reactive gases while stopping the other gas, so as to cause said one gas to be adsorbed on surfaces of the target substrates, and the second step is performed by supplying said other gas while stopping said one gas, so as to cause said other gas to act on said one gas adsorbed on the surfaces of the target substrates.
12. The apparatus according to claim 11, wherein the supply system comprises a supply pipe extending in a vertical direction at a position adjacent to edges of the target substrates, so as to be present substantially entirely over a vertical length of the target substrates stacked at intervals, and wherein the second delivery holes comprise holes formed in the supply pipe.
13. The apparatus according to claim 11, wherein the supply system comprises a first inactive gas line connected to the first delivery hole, and a second inactive gas line connected to the second delivery holes.
14. The apparatus according to claim 13, wherein the control section is configured to execute a first purge step between the first and second steps, and execute a second purge step between the second and first steps, and wherein the first purge step is performed by exhausting the process chamber while supplying an inactive gas from the first delivery hole, so as to purge the first reactive gas from the process chamber, and the second purge step is performed by exhausting the process chamber while supplying an inactive gas from the second delivery holes, so as to purge the second reactive gas from the process chamber.
15. The apparatus according to claim 11, wherein the exhaust system comprises an inner exhaust passage extending in a vertical direction at a position adjacent to edges of the target substrates, so as to be present substantially entirely over a vertical length of the target substrates stacked at intervals.
16. The apparatus according to claim 15, wherein the process chamber comprises an inner tube configured to accommodate the holder, and an outer tube disposed concentrically with the inner tube with a gap therebetween, the inner exhaust passage is formed along an inner surface of the inner tube, an outer exhaust passage is formed between the inner tube and the outer tube and communicates with the inner exhaust passage at an end of the inner tube, and the outer exhaust passage is connected to an exhaust apparatus disposed outside the process chamber.
17. The apparatus according to claim 11, wherein the exhaust system comprises a plurality of exhaust holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals, and the first and second delivery holes are disposed on a first side of the process chamber and the exhaust holes are disposed on a second side of the process chamber opposite the first side.
18. The apparatus according to claim 17, wherein the process chamber comprises an inner tube configured to accommodate the holder, and an outer tube disposed concentrically with the inner tube with a gap therebetween, the exhaust holes comprise holes formed in a wall of the inner tube, an outer exhaust passage is formed between the inner tube and the outer tube and communicates with the exhaust holes, and the outer exhaust passage is connected to an exhaust apparatus disposed outside the process chamber.
19. The apparatus according to claim 17, wherein the exhaust system comprises an exhaust pipe extending in a vertical direction at a position adjacent to edges of the target substrates, so as to be present substantially entirely over a vertical length of the target substrates stacked at intervals, and wherein the exhaust holes comprise holes formed in the exhaust pipe.
20. The apparatus according to claim 11, wherein the first and second reactive gases comprise a combination selected from the group consisting of a first combination in which the first reactive gas is a silane family gas and the second reactive gas is ammonia gas, a second combination in which the first reactive gas is an organic metal gas containing aluminum and the second reactive gas is an oxidizing gas, and a third combination in which the first reactive gas is an organic metal gas containing tantalum and the second reactive gas is an oxidizing gas.
21. The apparatus according to claim 11, wherein the first reactive gas has a vapor pressure of 1.33 kPa or less, or a bond-dissociation energy of 250 kJ/mol or less.
22. A method for performing a CVD process on a plurality of target substrates all together in a vertical CVD apparatus,
the apparatus comprising
an airtight process chamber configured to accommodate the target substrates,
a holder configured to hold the target substrates stacked at intervals in the process chamber,
a heater configured to heat an atmosphere in the process chamber,
an exhaust system configured to exhaust the process chamber, and
a supply system configured to supply process gases into the process chamber,
the method comprising:
a first step of supplying one gas of first and second reactive gases while stopping the other gas, so as to cause said one gas to be adsorbed on surfaces of the target substrates; and
a second step of supplying said other gas while stopping said one gas, so as to cause said other gas to act on said one gas adsorbed on the surfaces of the target substrates,
wherein the first and second steps are repeatedly executed a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, and
wherein the first reactive gas is supplied from a plurality of first delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals, and the second reactive gas is supplied from a plurality of second delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals.
23. The method according to claim 22, wherein the first and second reactive gases comprise a combination selected from the group consisting of a first combination in which the first reactive gas is a silane family gas and the second reactive gas is ammonia gas, and a second combination in which the first reactive gas is an organic metal gas containing aluminum and the second reactive gas is an oxidizing gas.
24. A method for performing a CVD process on a plurality of target substrates all together in a vertical CVD apparatus,
the apparatus comprising
an airtight process chamber configured to accommodate the target substrates,
a holder configured to hold the target substrates stacked at intervals in the process chamber,
a heater configured to heat an atmosphere in the process chamber,
an exhaust system configured to exhaust the process chamber, and
a supply system configured to supply process gases into the process chamber,
the method comprising:
a first step of supplying one gas of first and second reactive gases while stopping the other gas, so as to cause said one gas to be adsorbed on surfaces of the target substrates; and
a second step of supplying said other gas while stopping said one gas, so as to cause said other gas to act on said one gas adsorbed on the surfaces of the target substrates,
wherein the first and second steps are repeatedly executed a plurality of times, thereby forming a thin film derived from the first and second reactive gases on the target substrates, and
wherein the first reactive gas is supplied from a first delivery hole disposed at a substantial bottom of the process chamber, and the second reactive gas is supplied from a plurality of second delivery holes arrayed in a vertical direction at a position adjacent to edges of the target substrates, so as to be distributed substantially entirely over a vertical length of the target substrates stacked at intervals.
25. The method according to claim 24, wherein the first and second reactive gases comprise a combination selected from the group consisting of a first combination in which the first reactive gas is a silane family gas and the second reactive gas is ammonia gas, a second combination in which the first reactive gas is an organic metal gas containing aluminum and the second reactive gas is an oxidizing gas, and a third combination in which the first reactive gas is an organic metal gas containing tantalum and the second reactive gas is an oxidizing gas.
26. The method according to claim 24, wherein the first reactive gas has a vapor pressure of 1.33 kPa or less, or a bond-dissociation energy of 250 kJ/mol or less.
US10/874,371 2004-06-24 2004-06-24 Vertical CVD apparatus and CVD method using the same Abandoned US20050287806A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US10/874,371 US20050287806A1 (en) 2004-06-24 2004-06-24 Vertical CVD apparatus and CVD method using the same
TW094116909A TW200609374A (en) 2004-06-24 2005-05-24 Vertical cvd apparatus and cvd method using the same
JP2005178009A JP4189394B2 (en) 2004-06-24 2005-06-17 CVD method using vertical CVD apparatus
KR1020050054215A KR100980125B1 (en) 2004-06-24 2005-06-23 Vertical cvd apparatus and cvd method using the same
CN2005100796765A CN1712560B (en) 2004-06-24 2005-06-24 Vertical CVD apparatus and CVD method using the same
US12/098,315 US7927662B2 (en) 2004-06-24 2008-04-04 CVD method in vertical CVD apparatus using different reactive gases

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/874,371 US20050287806A1 (en) 2004-06-24 2004-06-24 Vertical CVD apparatus and CVD method using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/098,315 Division US7927662B2 (en) 2004-06-24 2008-04-04 CVD method in vertical CVD apparatus using different reactive gases

Publications (1)

Publication Number Publication Date
US20050287806A1 true US20050287806A1 (en) 2005-12-29

Family

ID=35506460

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/874,371 Abandoned US20050287806A1 (en) 2004-06-24 2004-06-24 Vertical CVD apparatus and CVD method using the same
US12/098,315 Active US7927662B2 (en) 2004-06-24 2008-04-04 CVD method in vertical CVD apparatus using different reactive gases

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/098,315 Active US7927662B2 (en) 2004-06-24 2008-04-04 CVD method in vertical CVD apparatus using different reactive gases

Country Status (5)

Country Link
US (2) US20050287806A1 (en)
JP (1) JP4189394B2 (en)
KR (1) KR100980125B1 (en)
CN (1) CN1712560B (en)
TW (1) TW200609374A (en)

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060154436A1 (en) * 2004-12-03 2006-07-13 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and a fabricating method thereof
US20080135516A1 (en) * 2006-11-10 2008-06-12 Hitachi Kokusai Electric Inc. Substrate treatment device
US20080213478A1 (en) * 2004-06-24 2008-09-04 Tokyo Electron Limited Vertical cvd apparatus and cvd method using the same
US20090114156A1 (en) * 2007-10-11 2009-05-07 Nobutake Nodera Film formation apparatus for semiconductor process
US20090191717A1 (en) * 2008-01-24 2009-07-30 Ki-Hyun Kim Atomic layer deposition apparatus
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20100035437A1 (en) * 2008-07-30 2010-02-11 Hitachi Kokusai Electric, Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20100083898A1 (en) * 2008-07-23 2010-04-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US20100203741A1 (en) * 2006-05-23 2010-08-12 Tokyo Electron Limited Semiconductor manufacturing system
US20100221427A1 (en) * 2009-02-27 2010-09-02 Goodrich Corporation Methods and apparatus for controlled chemical vapor deposition
US20100275848A1 (en) * 2009-05-01 2010-11-04 Hitachi-Kokusai Electric Inc. Heat treatment apparatus
EP2249379A2 (en) * 2008-02-12 2010-11-10 Kyu-Jeong Choi Batch-type atomic layer vapour-deposition device
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20110268872A1 (en) * 2007-03-16 2011-11-03 Tokyo Electron Limited Film formation method for forming hafnium oxide film
US20110312188A1 (en) * 2010-06-18 2011-12-22 Tokyo Electron Limited Processing apparatus and film forming method
CN102330072A (en) * 2010-07-12 2012-01-25 三星Led株式会社 Chemical vapor deposition apparatus and method of forming semiconductor epitaxial thin film using the same
US20120240857A1 (en) * 2010-09-29 2012-09-27 Tokyo Electron Limited Vertical heat treatment apparatus
US20130125819A1 (en) * 2010-07-26 2013-05-23 Altatech Semiconductor Chemical gas deposition reactor
US20130213301A1 (en) * 2008-09-29 2013-08-22 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
TWI415206B (en) * 2008-01-31 2013-11-11 Hitachi Int Electric Inc A substrate processing apparatus, and a method of manufacturing the semiconductor device
US20130327273A1 (en) * 2009-02-27 2013-12-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20140345801A1 (en) * 2011-11-17 2014-11-27 Eugene Technology Co., Ltd. Apparatus for processing substrate for supplying reaction gas having phase difference
US20150013909A1 (en) * 2011-11-17 2015-01-15 Eugene Technology Co., Ltd. Substrate processing apparatus including auxiliary gas supply port
US20160289833A1 (en) * 2015-03-31 2016-10-06 Tokyo Electron Limited Vertical Heat Treatment Apparatus
US9496134B2 (en) 2010-11-11 2016-11-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
US9970112B2 (en) * 2011-12-27 2018-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20180258528A1 (en) * 2017-03-07 2018-09-13 Tokyo Electron Limited Substrate processing apparatus

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4434149B2 (en) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP4990594B2 (en) * 2006-10-12 2012-08-01 東京エレクトロン株式会社 Gas supply apparatus, gas supply method, thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
JP4476313B2 (en) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP5090097B2 (en) * 2007-07-26 2012-12-05 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
JP5423205B2 (en) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 Deposition equipment
JP2010073822A (en) * 2008-09-17 2010-04-02 Tokyo Electron Ltd Film deposition apparatus, film deposition method, program and computer readable storage medium
JP5088331B2 (en) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 Component parts for heat treatment apparatus and heat treatment apparatus
TW201036090A (en) * 2009-01-30 2010-10-01 Tera Semicon Corp Batch type substrate treatment apparatus
KR101039153B1 (en) * 2009-04-23 2011-06-07 주식회사 테라세미콘 A Gas Injector in Large Area Substrate Processing System
JP4927147B2 (en) * 2009-10-21 2012-05-09 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR101144284B1 (en) * 2010-02-05 2012-05-11 주식회사 피에스티 Apparatus of forming the gate nitride film using plasma
KR101219381B1 (en) * 2010-12-15 2013-01-21 주식회사 엔씨디 Thin layer deposition method
KR101173085B1 (en) * 2010-12-15 2012-08-10 주식회사 엔씨디 Thin layer deposition apparatus
JP5243519B2 (en) 2010-12-22 2013-07-24 東京エレクトロン株式会社 Deposition equipment
JP5604289B2 (en) * 2010-12-22 2014-10-08 東京エレクトロン株式会社 Deposition equipment
JP2012195565A (en) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc Substrate processing apparatus, substrate processing method, and manufacturing method of semiconductor device
CN102560422A (en) * 2011-12-23 2012-07-11 嘉兴科民电子设备技术有限公司 Multi-chip long-distance plasma enhanced atomic layer deposit chamber
JP5766647B2 (en) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 Heat treatment system, heat treatment method, and program
CN103451624A (en) * 2012-05-30 2013-12-18 北大方正集团有限公司 Deposition furnace tube and method for depositing thin films
WO2014017776A1 (en) * 2012-07-26 2014-01-30 주식회사 메카로닉스 Method for manufacturing thin-film solar cell by using chemical vapor repetitive cycle deposition
KR102162366B1 (en) * 2014-01-21 2020-10-06 우범제 Apparatus for removing fume
JP6021977B2 (en) * 2015-03-25 2016-11-09 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
KR101695948B1 (en) * 2015-06-26 2017-01-13 주식회사 테라세미콘 Substrate processing apparatus
JP6436886B2 (en) * 2015-09-28 2018-12-12 株式会社Kokusai Electric Semiconductor device manufacturing method and program
JP6541599B2 (en) * 2016-03-28 2019-07-10 東京エレクトロン株式会社 Control device, substrate processing system, substrate processing method and program
JP6095825B2 (en) * 2016-04-08 2017-03-15 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
JP6820816B2 (en) * 2017-09-26 2021-01-27 株式会社Kokusai Electric Substrate processing equipment, reaction tubes, semiconductor equipment manufacturing methods, and programs
KR102477770B1 (en) * 2018-05-08 2022-12-14 삼성전자주식회사 Film forming apparatus, film forming method, and method for manufacturing a semiconductor device using the film forming apparatus
JP6902060B2 (en) * 2019-02-13 2021-07-14 株式会社Kokusai Electric Substrate processing equipment, semiconductor equipment manufacturing methods, and programs
JP7296806B2 (en) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi film forming method and substrate processing system
JP6770617B1 (en) * 2019-08-09 2020-10-14 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing method and substrate holder
CN112575312B (en) * 2019-09-30 2023-08-29 长鑫存储技术有限公司 Film preparation equipment and film preparation method

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5029554A (en) * 1988-03-31 1991-07-09 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus including a temperature control mechanism
US5618349A (en) * 1993-07-24 1997-04-08 Yamaha Corporation Thermal treatment with enhanced intra-wafer, intra-and inter-batch uniformity
US5925188A (en) * 1995-10-30 1999-07-20 Tokyo Electron Limited Film forming apparatus
USRE36328E (en) * 1988-03-31 1999-10-05 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus including temperature control mechanism
US6238488B1 (en) * 1998-05-29 2001-05-29 Tokyo Electron Limited Method of cleaning film forming apparatus, cleaning system for carrying out the same and film forming system
US20010025979A1 (en) * 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010025605A1 (en) * 2000-03-28 2001-10-04 Nec Corporation Air-tight vessel equipped with gas feeder uniformly supplying gaseous component around plural wafers
US20010050054A1 (en) * 2000-03-17 2001-12-13 Samsung Electronics Co., Ltd. Process tube having slit type process gas injection portion and hole type waste gas exhaust portion, and apparatus for fabricating semiconductor device
US20020073923A1 (en) * 1998-11-27 2002-06-20 Yukimasa Saito Heat treatment apparatus and cleaning method of the same
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20030219528A1 (en) * 2002-05-24 2003-11-27 Carpenter Craig M. Apparatus and methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040009679A1 (en) * 2001-01-19 2004-01-15 Yeo Jae-Hyun Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US20040060519A1 (en) * 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US20040094091A1 (en) * 1997-04-22 2004-05-20 Yang Chang-Jip Apparatus and method for manufacturing a semiconductor device having hemispherical grains
US20040105935A1 (en) * 2002-11-12 2004-06-03 Park Young Hoon Method of depositing thin film using hafnium compound
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20040180493A1 (en) * 2001-01-19 2004-09-16 Chung Jeong-Hee Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same
US6814572B2 (en) * 2001-03-30 2004-11-09 Tokyo Electron Limited Heat treating method and heat treating device
US6855368B1 (en) * 2000-06-28 2005-02-15 Applied Materials, Inc. Method and system for controlling the presence of fluorine in refractory metal layers
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US20050070104A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method and processing system for monitoring status of system components
US20050070063A1 (en) * 2003-09-30 2005-03-31 Ki-Vin Im High performance MIS capacitor with HfO2 dielectric
US20050271813A1 (en) * 2004-05-12 2005-12-08 Shreyas Kher Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626188B2 (en) 1987-09-24 1994-04-06 日本電気株式会社 Vapor phase growth equipment
JP3056241B2 (en) * 1990-11-20 2000-06-26 東京エレクトロン株式会社 Heat treatment equipment
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP2000311862A (en) 1999-04-28 2000-11-07 Kokusai Electric Co Ltd Substrate treating system
CA2386382A1 (en) * 2000-02-18 2001-08-23 G.T. Equipment Technologies, Inc. Method and apparatus for chemical vapor deposition of polysilicon
JP2002353208A (en) * 2001-05-28 2002-12-06 Fujitsu Ltd Method and equipment for fabricating semiconductor device
JP2003045864A (en) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc Substrate processing system
KR100452525B1 (en) * 2001-12-26 2004-10-12 주성엔지니어링(주) Gas injector suitable for ALD process
JP3957549B2 (en) 2002-04-05 2007-08-15 株式会社日立国際電気 Substrate processing equipment
KR20030081144A (en) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
JP3670628B2 (en) 2002-06-20 2005-07-13 株式会社東芝 Film forming method, film forming apparatus, and semiconductor device manufacturing method
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
KR100474565B1 (en) * 2002-08-30 2005-03-10 삼성전자주식회사 Method and apparatus for supplying a source gas
JP2005259841A (en) 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc Substrate processing apparatus
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
KR20160027244A (en) * 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 Precursor compositions for atomic layer deposition and chemical vapor deposition of titanate, lanthanate, and tantalate dielectric films

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE36328E (en) * 1988-03-31 1999-10-05 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus including temperature control mechanism
US5029554A (en) * 1988-03-31 1991-07-09 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus including a temperature control mechanism
US5618349A (en) * 1993-07-24 1997-04-08 Yamaha Corporation Thermal treatment with enhanced intra-wafer, intra-and inter-batch uniformity
US5925188A (en) * 1995-10-30 1999-07-20 Tokyo Electron Limited Film forming apparatus
US20040094091A1 (en) * 1997-04-22 2004-05-20 Yang Chang-Jip Apparatus and method for manufacturing a semiconductor device having hemispherical grains
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6238488B1 (en) * 1998-05-29 2001-05-29 Tokyo Electron Limited Method of cleaning film forming apparatus, cleaning system for carrying out the same and film forming system
US20020073923A1 (en) * 1998-11-27 2002-06-20 Yukimasa Saito Heat treatment apparatus and cleaning method of the same
US20010025979A1 (en) * 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010050054A1 (en) * 2000-03-17 2001-12-13 Samsung Electronics Co., Ltd. Process tube having slit type process gas injection portion and hole type waste gas exhaust portion, and apparatus for fabricating semiconductor device
US20010025605A1 (en) * 2000-03-28 2001-10-04 Nec Corporation Air-tight vessel equipped with gas feeder uniformly supplying gaseous component around plural wafers
US6881295B2 (en) * 2000-03-28 2005-04-19 Nec Electronics Corporation Air-tight vessel equipped with gas feeder uniformly supplying gaseous component around plural wafers
US6855368B1 (en) * 2000-06-28 2005-02-15 Applied Materials, Inc. Method and system for controlling the presence of fluorine in refractory metal layers
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US20040180493A1 (en) * 2001-01-19 2004-09-16 Chung Jeong-Hee Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same
US20040009679A1 (en) * 2001-01-19 2004-01-15 Yeo Jae-Hyun Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6814572B2 (en) * 2001-03-30 2004-11-09 Tokyo Electron Limited Heat treating method and heat treating device
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US20030219528A1 (en) * 2002-05-24 2003-11-27 Carpenter Craig M. Apparatus and methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040060519A1 (en) * 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US20040105935A1 (en) * 2002-11-12 2004-06-03 Park Young Hoon Method of depositing thin film using hafnium compound
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US20050070104A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method and processing system for monitoring status of system components
US20050070063A1 (en) * 2003-09-30 2005-03-31 Ki-Vin Im High performance MIS capacitor with HfO2 dielectric
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
US20050271813A1 (en) * 2004-05-12 2005-12-08 Shreyas Kher Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials

Cited By (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7927662B2 (en) 2004-06-24 2011-04-19 Tokyo Electron Limited CVD method in vertical CVD apparatus using different reactive gases
US20080213478A1 (en) * 2004-06-24 2008-09-04 Tokyo Electron Limited Vertical cvd apparatus and cvd method using the same
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US20060154436A1 (en) * 2004-12-03 2006-07-13 Samsung Electronics Co., Ltd. Metal-insulator-metal capacitor and a fabricating method thereof
US20100203741A1 (en) * 2006-05-23 2010-08-12 Tokyo Electron Limited Semiconductor manufacturing system
US8277891B2 (en) * 2006-05-23 2012-10-02 Tokyo Electron Limited Method for suppressing particle generation during semiconductor manufacturing
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US8652258B2 (en) 2006-11-10 2014-02-18 Hitachi Kokusai Electric Inc. Substrate treatment device
US20110212623A1 (en) * 2006-11-10 2011-09-01 Hitachi Kokusai Electric Inc. Substrate treatment device
US20080135516A1 (en) * 2006-11-10 2008-06-12 Hitachi Kokusai Electric Inc. Substrate treatment device
US8586140B2 (en) * 2007-03-16 2013-11-19 Tokyo Electron Limited Film formation method for forming hafnium oxide film
US20110268872A1 (en) * 2007-03-16 2011-11-03 Tokyo Electron Limited Film formation method for forming hafnium oxide film
US20090114156A1 (en) * 2007-10-11 2009-05-07 Nobutake Nodera Film formation apparatus for semiconductor process
US8394201B2 (en) * 2008-01-24 2013-03-12 Samsung Electronics Co., Ltd. Atomic layer deposition apparatus
US8546270B2 (en) * 2008-01-24 2013-10-01 Samsung Electronics Co., Ltd. Atomic layer deposition apparatus
US20090191717A1 (en) * 2008-01-24 2009-07-30 Ki-Hyun Kim Atomic layer deposition apparatus
US20090223448A1 (en) * 2008-01-31 2009-09-10 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8828141B2 (en) * 2008-01-31 2014-09-09 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
TWI415206B (en) * 2008-01-31 2013-11-11 Hitachi Int Electric Inc A substrate processing apparatus, and a method of manufacturing the semiconductor device
US20120122318A1 (en) * 2008-01-31 2012-05-17 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8461062B2 (en) * 2008-01-31 2013-06-11 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
EP2249379A4 (en) * 2008-02-12 2011-05-04 Kyu-Jeong Choi Batch-type atomic layer vapour-deposition device
EP2249379A2 (en) * 2008-02-12 2010-11-10 Kyu-Jeong Choi Batch-type atomic layer vapour-deposition device
US20100083898A1 (en) * 2008-07-23 2010-04-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8741063B2 (en) * 2008-07-30 2014-06-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US9206931B2 (en) 2008-07-30 2015-12-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20100035437A1 (en) * 2008-07-30 2010-02-11 Hitachi Kokusai Electric, Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20130213301A1 (en) * 2008-09-29 2013-08-22 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US10191378B2 (en) 2008-09-29 2019-01-29 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US10141187B2 (en) 2008-09-29 2018-11-27 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US11881379B2 (en) 2008-09-29 2024-01-23 Tokyo Electron Limited Film deposition apparatus for fine pattern forming
US11404271B2 (en) 2008-09-29 2022-08-02 Tokyo Electron Limited Film deposition apparatus for fine pattern forming
US11404272B2 (en) 2008-09-29 2022-08-02 Tokyo Electron Limited Film deposition apparatus for fine pattern forming
US10879066B2 (en) 2008-09-29 2020-12-29 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US10176992B2 (en) * 2008-09-29 2019-01-08 Tokyo Electron Limited Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20130327273A1 (en) * 2009-02-27 2013-12-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20100221427A1 (en) * 2009-02-27 2010-09-02 Goodrich Corporation Methods and apparatus for controlled chemical vapor deposition
US10415138B2 (en) 2009-02-27 2019-09-17 Goodrich Corporation Methods and apparatus for controlled chemical vapor deposition
US8372482B2 (en) * 2009-02-27 2013-02-12 Goodrich Corporation Methods and apparatus for controlled chemical vapor deposition
US10131984B2 (en) * 2009-02-27 2018-11-20 Kokusai Electric Corporation Substrate processing apparatus
US20100275848A1 (en) * 2009-05-01 2010-11-04 Hitachi-Kokusai Electric Inc. Heat treatment apparatus
US9074284B2 (en) * 2009-05-01 2015-07-07 Hitachi Kokusai Electric, Inc. Heat treatment apparatus
US20110312188A1 (en) * 2010-06-18 2011-12-22 Tokyo Electron Limited Processing apparatus and film forming method
US9103029B2 (en) * 2010-06-18 2015-08-11 Tokyo Electron Limited Processing apparatus and film forming method
US8895356B2 (en) 2010-07-12 2014-11-25 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus and method of forming semiconductor epitaxial thin film using the same
KR101313262B1 (en) * 2010-07-12 2013-09-30 삼성전자주식회사 Chemical Vapor Deposition Apparatus and Method of Forming Semiconductor Thin Film Using The Same
US9171994B2 (en) 2010-07-12 2015-10-27 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus and method of forming semiconductor epitaxial thin film using the same
EP2407577A3 (en) * 2010-07-12 2012-04-25 Samsung LED Co., Ltd. Chemical Vapor Deposition Apparatus
CN102330072A (en) * 2010-07-12 2012-01-25 三星Led株式会社 Chemical vapor deposition apparatus and method of forming semiconductor epitaxial thin film using the same
US20130125819A1 (en) * 2010-07-26 2013-05-23 Altatech Semiconductor Chemical gas deposition reactor
US20120240857A1 (en) * 2010-09-29 2012-09-27 Tokyo Electron Limited Vertical heat treatment apparatus
US9496134B2 (en) 2010-11-11 2016-11-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
US9593415B2 (en) * 2011-11-17 2017-03-14 Eugene Technology Co., Ltd. Substrate processing apparatus including auxiliary gas supply port
US20140345801A1 (en) * 2011-11-17 2014-11-27 Eugene Technology Co., Ltd. Apparatus for processing substrate for supplying reaction gas having phase difference
US20150013909A1 (en) * 2011-11-17 2015-01-15 Eugene Technology Co., Ltd. Substrate processing apparatus including auxiliary gas supply port
US9620395B2 (en) * 2011-11-17 2017-04-11 Eugene Technology Co., Ltd. Apparatus for processing substrate for supplying reaction gas having phase difference
US9970112B2 (en) * 2011-12-27 2018-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US20160289833A1 (en) * 2015-03-31 2016-10-06 Tokyo Electron Limited Vertical Heat Treatment Apparatus
US20180258528A1 (en) * 2017-03-07 2018-09-13 Tokyo Electron Limited Substrate processing apparatus
US11208721B2 (en) * 2017-03-07 2021-12-28 Tokyo Electron Limited Substrate processing apparatus

Also Published As

Publication number Publication date
JP2006013490A (en) 2006-01-12
CN1712560B (en) 2011-03-30
CN1712560A (en) 2005-12-28
JP4189394B2 (en) 2008-12-03
US7927662B2 (en) 2011-04-19
KR100980125B1 (en) 2010-09-03
KR20060048480A (en) 2006-05-18
TW200609374A (en) 2006-03-16
US20080213478A1 (en) 2008-09-04

Similar Documents

Publication Publication Date Title
US7927662B2 (en) CVD method in vertical CVD apparatus using different reactive gases
US10312078B2 (en) Nitride film forming method and storage medium
JP6347544B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
US7462376B2 (en) CVD method for forming silicon nitride film
CN109943827B (en) Gas supply nozzle, substrate processing apparatus, and method for manufacturing semiconductor device
US8591989B2 (en) SiCN film formation method and apparatus
CN108122736B (en) Method for manufacturing semiconductor device, substrate processing apparatus, and storage medium
JP6086942B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
US20070234961A1 (en) Vertical plasma processing apparatus and method for semiconductor process
KR101992156B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, gas supply system and program
KR20150077357A (en) Semiconductor device manufacturing method, substrate processing apparatus and program
TWI523104B (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
CN102560417A (en) Method and apparatus for forming silicon nitride film
KR102276870B1 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus and program
CN110998806A (en) Substrate processing apparatus, method of manufacturing semiconductor device, and program
CN106356289B (en) Gas supply nozzle, substrate processing apparatus, and method for manufacturing semiconductor device
US11786946B2 (en) Cleaning method and film forming apparatus
US9984887B2 (en) Method of manufacturing a semiconductor device
KR20190074965A (en) Vertical heat treatment apparatus
JP6635839B2 (en) Method of forming nitride film
JP7166431B2 (en) Substrate processing method, semiconductor device manufacturing method, substrate processing apparatus, and program
CN116057677A (en) Method for manufacturing semiconductor device, substrate processing apparatus, and program
CN114342047A (en) Substrate processing apparatus, plasma generating apparatus, method for manufacturing semiconductor device, and program
KR20210035287A (en) Substrate processing apparatus, substrate holding part, manufacturing method and program of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MATSUURA, HIROYUKI;REEL/FRAME:016048/0536

Effective date: 20041015

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION