US20050280155A1 - Semiconductor bonding and layer transfer method - Google Patents

Semiconductor bonding and layer transfer method Download PDF

Info

Publication number
US20050280155A1
US20050280155A1 US11/092,501 US9250105A US2005280155A1 US 20050280155 A1 US20050280155 A1 US 20050280155A1 US 9250105 A US9250105 A US 9250105A US 2005280155 A1 US2005280155 A1 US 2005280155A1
Authority
US
United States
Prior art keywords
substrate
device structure
region
providing
interconnect region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/092,501
Inventor
Sang-Yun Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BeSang Inc
Original Assignee
Sang-Yun Lee
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/873,969 external-priority patent/US7052941B2/en
Priority to US11/092,501 priority Critical patent/US20050280155A1/en
Application filed by Sang-Yun Lee filed Critical Sang-Yun Lee
Publication of US20050280155A1 publication Critical patent/US20050280155A1/en
Priority to US11/873,851 priority patent/US7718508B2/en
Priority to US12/040,642 priority patent/US7800199B2/en
Priority to US12/397,309 priority patent/US7863748B2/en
Priority to US12/470,344 priority patent/US8058142B2/en
Priority to US12/475,294 priority patent/US7799675B2/en
Priority to US12/581,722 priority patent/US8471263B2/en
Priority to US12/618,542 priority patent/US7867822B2/en
Priority to US12/637,559 priority patent/US20100133695A1/en
Priority to US12/731,087 priority patent/US20100190334A1/en
Priority to US12/874,866 priority patent/US8071438B2/en
Priority to US12/881,628 priority patent/US20110001172A1/en
Priority to US12/881,961 priority patent/US8367524B2/en
Assigned to BESANG, INC. reassignment BESANG, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, SANG-YUN
Assigned to DAEHONG TECHNEW CORPORATION reassignment DAEHONG TECHNEW CORPORATION SECURITY AGREEMENT Assignors: BESANG INC.
Assigned to BESANG INC. reassignment BESANG INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: DAEHONG TECHNEW CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/102Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including bipolar components
    • H01L27/1027Thyristors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01038Strontium [Sr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01056Barium [Ba]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01093Neptunium [Np]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/078Adhesive characteristics other than chemical
    • H01L2924/07802Adhesive characteristics other than chemical not being an ohmic electrical conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1433Application-specific integrated circuit [ASIC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Definitions

  • the present invention relates generally to semiconductors and, more particularly, to forming circuitry using wafer bonding.
  • a typical computer system includes a main computer chip with a processor circuit, a control circuit, and a memory cache that are carried on a single major surface of a substrate.
  • the typical computer system also includes main memory which is positioned on a separate memory chip outside the main computer chip. Since the memory cache is positioned on the same substrate as the processor and control circuits in the main computer chip, it is often referred to as embedded memory.
  • the memory cache typically includes fast and expensive memory cells, such as Static Random Access Memory (SRAM) cells, and the main memory typically includes slower and less expensive Dynamic Random Access Memory (DRAM) cells. Both SRAM and DRAM cells are larger than the devices included in the processor and control circuits, with SRAM cells being much larger than DRAM cells.
  • cache memory L1 cache or L2 cache, for example
  • L1 cache or L2 cache is used to store information from a slower storage medium or subsystem, such as the main memory or peripherals like hard disks and CD-ROMs, that is accessed frequently to speed up the operation of the main computer chip.
  • the operation of the main computer chip is increased because its idle time is reduced.
  • the processor circuit accesses the main memory, it does so in about 60 nanoseconds (ns) because the main memory is external to the main computer chip and it includes slower memory cells.
  • ns nanoseconds
  • a typical processor circuit can have cycle times of about 2 nanoseconds.
  • the processor circuit is idle for many cycle times while it accesses the main memory.
  • the processor circuit can access the cache memory in about 10 ns to 30 ns, so the idle time is significantly reduced if the information needed is temporarily stored in the cache memory.
  • the access time of the processor circuit to a hard disk is even slower at about 10 milliseconds (ms) to 12 ms, and the access time to a CD-ROM drive is about 10 times greater than this.
  • cache memory uses a small amount of fast and expensive memory to allow the processor circuit faster access to information normally stored by a large amount of slower, less-expensive memory.
  • 3-D packages and 3-D ICs both include a substrate with a memory circuit bonded to it by a bonding region positioned therebetween.
  • the memory circuit typically includes lateral memory devices and the processor circuit typically includes lateral active and passive devices. Further, the memory and processor circuits are prefabricated before the bonding takes place.
  • the memory and processor devices are connected to large bonding pads included in respective circuits.
  • the bonding pads are connected together using wire bonds so that the memory and processor circuits can communicate with each other.
  • the bonding pads are connected together using conductive interconnects which extend therebetween.
  • wire bonds increases the access time between the processor and memory circuits because the impedance of wire bonds and large contact pads is high.
  • the contact pads are large in 3-D packages to make it easier to attach the wire bonds thereto.
  • the contact pads in 3-D ICs have correspondingly large capacitances which also increase the access time between the processor and memory circuits.
  • the contact pads are large in 3-D ICs to make the alignment between the lateral memory devices in the memory circuit, the lateral active and passive devices in the processor circuit, and the conductive interconnects extending therebetween easier. These devices need to be properly aligned with each other and the interconnects because they are fabricated before the bonding takes place.
  • Another problem is that the use of wire bonds is less reliable because the wire bonds can break and become detached.
  • the SRAM cells are larger and expensive, so increasing the number of them in the memory circuit would increase the cost of the computer chip dramatically.
  • DRAM cells are less expensive and smaller, but to include them in the memory circuit will still increase the cost.
  • One reason the costs increase for both embedded SRAM and DRAM cells is because they both use a number of masks to fabricate them.
  • the size of a conventional SRAM cell is about 70-120 F 2 and the size of a conventional DRAM memory cell is about 15 F 2 .
  • 1 F is the minimum photolithographic feature size. For example, if the computer chip is being fabricated using 90 nm lithography, then 1 F corresponds to 90 nm and 1 F 2 corresponds to an area that it 90 nm by 90 nm in size. If the computer chip is being fabricated using 60 nm lithography, then 1 F corresponds to 60 nm and 1 F 2 corresponds to an area that it 60 nm by 60 nm in size.
  • the DRAM or SRAM cells would have to be scaled to smaller dimensions, but this requires advances in lithography and increasingly expensive manufacturing equipment. Further, the DRAM and SRAM cells become less accurate and reliable when scaled to smaller dimension.
  • the present invention provides a method of coupling substrates together including providing first and second substrates, both the first and second substrates having a conductive bonding region formed thereon; and coupling the first and second substrates together with the conductive bonding regions, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • the present invention provides a method of coupling substrates together which includes providing a first substrate with a nonconductive or partially nonconductive bonding region coupled to it; providing a second substrate with a conductive bonding region coupled to it; and bonding the surface of the conductive bonding region to the first substrate so that the conductive bonding region and the first substrate are coupled together, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • the present invention provides a method of coupling substrates together including providing first and second substrates, both the first and second substrates having a nonconductive bonding region formed thereon; and coupling the first and second substrates together with the nonconductive bonding regions, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • the present invention further provides a method of forming a circuit providing first, second, and third substrates, each having various bonding regions formed thereon; and forming a bond between the bonding surfaces using the third substrate as a handle substrate so that the first and second substrates are coupled together, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • FIGS. 1-23 are simplified sectional views of steps in fabricating an integrated circuit using a semiconductor bonding transfer method in accordance with the present invention.
  • FIGS. 24-27 are simplified sectional views of another method of fabricating an integrated circuit using the semiconductor bonding transfer method in accordance with the present invention.
  • FIGS. 1-23 are simplified sectional views of steps in fabricating circuitry 100 using a semiconductor bonding transfer method in accordance with the present invention. It should be noted that in the following figures, like reference characters indicate corresponding elements throughout the several views.
  • circuitry 100 includes separate portions in which it is desired to bond them together. As will be discussed in more detail below, one portion is carried by an acceptor substrate and another portion is carried by a donor substrate. In accordance with the invention, the portion carried by the donor substrate is bonded to the portion carried by the acceptor substrate and then the donor substrate is removed. It should be noted that the portions carried by the donor and acceptor substrates can have many different configurations, but only a few are discussed herein.
  • the portions carried by the acceptor substrate are shown in FIGS. 1-5 and the portions carried by the donor substrate are shown in FIGS. 6-12 .
  • the donor and acceptor substrates preferably include single crystalline material which can have defects, but is generally better material quality than amorphous or polycrystalline material.
  • the preferred material for the donor and acceptor substrates is silicon although they can also include other materials, such as gallium arsenide, indium phosphide, and silicon carbide, among others.
  • Circuitry 100 is formed using a wafer bonding method which has several advantages.
  • One advantage is that circuitry 100 includes more electronic devices in a given volume because the devices extend laterally across the acceptor substrate as well as above it. This reduces manufacturing costs because the mask set used to fabricate the devices is less complicated. The mask set is less complicated because the devices positioned above the acceptor substrate can be formed with a different mask set than the devices formed on the acceptor substrate. The cost is further reduced because the yield increases. The yield increases because the die size decreases so that fewer chips will be defective. Still another advantage is that the donor substrate does not have to be aligned very accurately with the acceptor substrate when bonding them together. This is because the donor substrate includes blanket layers of semiconductor materials and the devices formed therewith are formed after the bonding has taken place.
  • partially fabricated circuitry 100 includes an acceptor substrate 130 which typically carries electronic devices, such as MOSFETs (Metal-Oxide-Semiconductor Field Effective Transistor), bipolar transistors, diodes, capacitors, and/or resistors, which are known in the art. However, these electronic devices are not shown here for simplicity and ease of discussion.
  • the electronic devices can extend into substrate 130 and/or extend out of substrate 130 through a surface 130 a .
  • acceptor substrate 130 can have portions doped n-type or p-type and some portions of it can even be undoped or compensated.
  • interconnect region 131 is positioned on surface 130 a .
  • interconnect region 131 includes an ILD (InterLayer Dielectric) region 133 with one or more interconnects extending therethrough.
  • the interconnect typically includes one or more interconnect line 132 and/or conductive vias 134 . Lines 132 and vias 134 extend therethrough region 131 between surface 130 a and a surface 131 a of region 131 .
  • Contacts 134 b are coupled to the electronic devices carried by substrate 130 and extend upwardly from surface 130 a .
  • ILD region 133 can be formed using many different methods, such as CVD (Chemical Vapor Deposition) and SOG (Spin On Glass).
  • Interconnection lines 132 and vias 134 include conductive materials, such as aluminum, copper, tungsten, tungsten silicide, titanium, titanium silicide, tantalum, and doped polysilicon.
  • Interconnect region 131 can have many different structures other than that shown in FIG. 1 .
  • surface 131 a can be defined by ILD region 133 and vias 134 at this step in the fabrication of circuitry 100 .
  • FIG. 2 shows an example of circuitry 100 where surface 131 a is defined by ILD region 133 only and is not in contact with either vias 134 or interconnection lines 132 .
  • vias 134 adjacent to surface 131 a are electrically coupled to a contact region 121 carried by interconnect region 131 on surface 131 a .
  • interconnection lines 132 , contacts 134 b , and vias 134 are coupled together through interconnect region 131 so that one or more signals can flow between the electronic devices carried by substrate 130 and contact region 121 .
  • Contact region 121 includes a conductive layer 122 as will be discussed in more detail below.
  • Conductive layer 122 defines surface 121 a and includes a material with a low resistivity so that current can flow therethrough. The material can be the same or similar to the materials included in lines 132 or vias 134 .
  • interconnect region 131 can include a blocking region 124 , as shown in FIG. 4 , which blocks the flow of oxygen from vapor and/or oxygen gas through interconnect region 131 during device processing.
  • blocking region 124 extends substantially parallel with surface 131 a although it can be at an angle relative to it in other examples.
  • Blocking region 124 can include silicon nitride (SiN) or polyamide, for example, or other materials which prevent the flow of contaminants through interconnect region 131 .
  • contact region 121 can include one or more layers of materials.
  • contact region 121 is shown as one layer which includes conductive layer 122 .
  • contact region 121 includes a conductive glue layer 123 positioned on a surface 122 a of region 122 so that region 121 includes two layers, as shown in FIGS. 4 and 5 .
  • surface 121 a is defined by conductive glue layer 123 .
  • Conductive glue layer 123 includes a conductive material with a low resistivity and it can have a lower melting point than conductive layer 122 so that its surface 121 a can be reflowed at an elevated temperature without negatively impacting the material properties of conductive layer 122 , connect layer 123 , conductive lines 132 and/or vias 134 .
  • the material in layer 122 can also be soft so that it can more easily bond to other layers positioned thereon with fewer defects, such as micro-voids.
  • contact region 121 is optional, as shown in FIG. 1 , where surface 131 a of interconnect region 131 can be used as the bonding surface.
  • FIG. 6 shows the portion of circuitry 100 that is carried by the donor substrate.
  • partially fabricated circuitry 100 includes a donor substrate 140 which can include silicon or another semiconductor material known in the art.
  • substrate 140 includes a device structure 141 positioned on a surface 140 a of substrate 140 and a detaching region 142 positioned near surface 140 a .
  • Device structure 141 can include various materials and/or stacks of doped semiconductor layers depending on the type of device it is desired to form therewith.
  • device structure 141 includes a stack of doped semiconductor layers for illustrative purposes with the understanding that it could include other layer structures, which includes semiconductors, conductors, and/or dielectrics.
  • Donor substrate 140 and device structure 141 preferably include single crystalline silicon which can have defects, but is generally better material quality compared to amorphous or polycrystalline silicon.
  • structure 141 includes an n + pn + stack, although it can have other layer stacks, such as npn, p + np + , and pnp.
  • the n + pn + stack includes an n + -doped region 143 a on surface 140 a , a p-doped region 143 b on region 143 a , and an n + -doped region 143 c on region 143 b .
  • regions 143 a - 143 c can be doped by ion implantation, diffusion, or plasma.
  • regions 143 a - 143 c can be doped during growth.
  • device structure 141 can include a structure with an n + pn + pnp + stack of semiconductor layers.
  • the stack can be processed into a negative differential resistance static random access memory device which includes a transistor and a thyristor. More information about this device structure can be found in a co-pending U.S. patent application titled “SEMICONDUCTOR MEMORY DEVICE” filed on an even date herewith by the same inventor and incorporated herein by reference.
  • Detaching region 142 can be formed in many different ways. For example, it can be formed by implanting hydrogen, forming an anodized porous material layer, or implanting oxygen therein so that it is defective and its mechanical strength and chemical compositions are different from adjacent material regions. As discussed in conjunction with FIGS. 24-27 , detaching region 142 can be a glue layer carried by a handle substrate.
  • a contact region 144 is positioned on a surface 141 a of device structure 141 .
  • Contact region 144 can have various configurations and can include one or more layers of materials.
  • region 144 includes a silicide layer 145 positioned adjacent to surface 141 a and a conductive layer 146 positioned on a surface 145 a of layer 145 .
  • layer 146 defines a surface 144 a of region 144 .
  • contact region 144 also includes a conductive glue layer 147 positioned on surface 146 a so that region 144 includes three layers and surface 144 a is defined by layer 147 .
  • a dielectric region 148 can be positioned on surface 141 a of device structure 141 as shown in FIG. 9 , instead of contact region 144 , as shown in FIGS. 7 and 8 .
  • Dielectric region 148 can include one layer as shown in FIG. 9 or it can include multiple regions.
  • dielectric region 148 includes a dielectric layer 149 a positioned on surface 141 a , a blocking layer 149 b positioned on layer 149 a , and a dielectric layer 149 c positioned on layer 149 b .
  • Blocking layer 149 b can have the same or similar properties as blocking layer 124 discussed in FIG. 4 above. In FIG.
  • conductive region 144 is positioned on surface 148 a of dielectric region 148 .
  • contact region 144 includes conductive layer 146 positioned on surface 148 a and conductive glue layer 147 positioned on layer 146 , as shown in FIG. 7 .
  • a device structure 149 is positioned on surface 148 a instead of contact region 144 as in FIG. 11 .
  • Device structure 149 can include various material layers depending on the type of device it is desired to form therewith.
  • device structure 149 includes a stack of doped semiconductor layers similar to structure 141 with the understanding that it could include other layer structures.
  • the stack includes an n + -type doped region 150 a on surface 148 a , a p-type doped region 150 b on region 150 a , and an n + -type doped region 150 c on region 150 b .
  • Contact region 144 is then positioned on a surface 149 a of device structure 149 .
  • contact region 144 is similar to that shown in FIG. 8 where it includes silicide layer 145 positioned adjacent to surface 149 a , conductive layer 146 positioned on surface 145 a of layer 145 , and conductive glue layer 147 positioned on surface 146 a of layer 146 .
  • the bonding can be done in many different ways.
  • the bonding can include heating the bonding surfaces shown in FIGS. 1-6 and coupling them to the bonding surfaces shown in FIGS. 7-12 . More information on wafer bonding can be found in co-pending U.S. patent application titled “WAFER BONDING METHOD” filed on an even date herewith by the same inventor and incorporated herein by reference.
  • FIG. 13 shows an example where contact region 121 of the structure shown in FIG. 3 is bonded to contact region 144 of the structure shown in FIG. 7 , so that surfaces 121 a and 144 a are adjacent to one another.
  • Regions 121 and 144 can be bonded together in many different ways. For example, layers 122 and/or 144 can be heated so that the material included therein flows together to form the bond.
  • FIG. 14 shows an example where contact region 121 of the structure shown in FIG. 5 is bonded to contact region 144 of the structure shown in FIG. 8 , so that surfaces 121 a and 144 a are coupled together.
  • surfaces 121 a and/or 144 a can be heated so that the material included in layers 123 and 147 adhere together to form the bond.
  • FIG. 15 shows an example where region 144 of the structure shown in FIG. 8 is bonded to interconnect region 131 of the structure shown in FIG. 1 , so that surfaces 131 a and 144 a are coupled together.
  • FIG. 16 shows an example where conductive glue layer 123 of the structure shown in FIG. 5 is bonded to device structure 141 of the structure shown in FIG. 6 , so that surfaces 121 a and 141 a are adjacent to one another.
  • FIG. 17 shows an example where conductive glue layer 123 of the structure shown in FIG. 5 is bonded to conductive glue layer 147 of the structure shown in FIG. 11 , so that surfaces 121 a and 144 a are bonded together.
  • surfaces 121 a and/or 144 a can be heated so that the material included in layers 123 and 147 adhere together to form the bond.
  • FIG. 18 shows an example where interconnect region 131 of the structure shown in FIG. 2 is bonded to dielectric region 148 of the structure shown in FIG. 9 , so that surfaces 131 a and 148 a are adjacent to one another.
  • FIG. 19 shows an example where conductive layer 146 of the structure shown in FIG. 7 is bonded to interconnect region 131 of the structure shown in FIG. 2 , so that surfaces 131 a and 144 a are adjacent to one another.
  • surfaces 131 a and/or 144 a can be heated so that the material included in region 133 and layer 146 adhere together to form a bond.
  • Plasma treatment can be used on bonding surface 131 a and/or 148 a to increase the bond strength.
  • the plasma treatment reduces the amount of hydrogen on surface 131 a and/or 148 a .
  • the presence of hydrogen makes the surface hydrophobic and its absence makes the surface hydrophilic. Hydrophilic surfaces tend to form stronger bonds with each other than hydrophobic surfaces.
  • FIG. 20 shows an example where region 141 of the structure shown in FIG. 6 is bonded to interconnect region 131 of the structure shown in FIG. 2 , so that surfaces 131 a and 141 a are adjacent to one another.
  • Plasma treatment can be used on bonding surfaces 131 a and/or 141 a to increase the bond strength therebetween.
  • device structure 141 or 149 is coupled to the electronic devices carried by acceptor substrate 130 through bonding, it is desirable to remove a portion of donor substrate 140 to leave device structure 141 .
  • portions of substrate 140 are removed so that device structure 141 can be subsequently processed to form electronic devices therewith.
  • the processing steps involved in the formation of the electronic devices out of device structure 141 includes steps well known in the art, such as lithography, etching, and deposition, among other steps. More details of the processing steps and examples of device structures can be found in a co-pending U.S. patent application titled “SEMICONDUCTOR MEMORY DEVICE” filed on an even date herewith by the same inventor and incorporated herein by reference.
  • the devices formed from device structure 141 and/or 151 are typically called “vertical” devices because their layer structure extends substantially perpendicular to surface 131 a .
  • the n + pn + layers of region 141 are stacked on top of each other so that current flow through them is substantially perpendicular to surface 131 a .
  • This is different from conventional devices which are often called lateral or planar devices.
  • Lateral devices have their layer structure extending horizontally relative to a surface of a material region that carries them.
  • the n + pn + layers included in a lateral device are positioned side-by-side so that current flow through them is substantially parallel to the supporting surface.
  • Substrate 140 can be removed in several different ways.
  • substrate 140 is removed using mechanical force to cleave along detach region 142 .
  • the mechanical force can include driving a wedge through detaching layer 142 so that device structure 141 is carried by acceptor substrate 130 and the rest of substrate 140 is removed.
  • the cleave is facilitated because if layer 142 is formed by hydrogen or oxygen implantation, then the defects from the implantation make it easier to cleave along layer 142 .
  • layer 142 includes an anodized porous material, then it will also have defects which facilitate it being cleaved to separate device structure 141 from substrate 140 .
  • the mechanical force can also include using a water jet to flow a high velocity stream of water or another liquid at and along detaching layer 142 so that substrate 140 and structure 141 are separated.
  • substrate 140 is removed using chemical force.
  • the chemical force is provided by heating substrate 140 to a temperature at which the implanted hydrogen outgasses from detaching layer 142 .
  • the outgassing hydrogen causes stress within layer 142 so that substrate 140 and structure 141 are separated.
  • substrate 140 or a portion thereof is removed by using conventional etching or chemical mechanical polishing (CMP), which is a process well known in the art.
  • CMP chemical mechanical polishing
  • FIGS. 24-27 are simplified sectional views of steps in fabricating circuitry 101 using a semiconductor bonding transfer method in accordance with the present invention.
  • circuitry 101 includes separate portions in which it is desired to bond them together in a manner similar to that discussed above.
  • circuitry 101 is formed using a handle substrate 110 to carry one of the portions and bond it to the other portion.
  • handle substrate 110 can be used to flip structure 141 .
  • the donor wafer is bonded to the handle wafer and then processed as described above in conjunction with FIGS. 21-23 .
  • This is desirable because the acceptor wafer is not subject to high temperature and/or high pressure processing that the donor wafer is subject to when using mechanical or chemical force to cleave detach region 142 .
  • the hydrogen is typically outgassed at a temperature that would damage the interconnect region 131 and/or electronic devices carried by acceptor substrate 130 .
  • the electronic devices and/or interconnect region can be damaged by pressure from driving the wedge through region 142 or from the chemical mechanical polishing process.
  • the acceptor wafer has electronic devices already formed thereon and high temperature and pressure processing can negatively impact the performance of these devices.
  • the donor wafer is attached to the handle wafer and processed. After processing, the donor wafer is bonded to the acceptor wafer and the handle wafer is removed.
  • FIG. 24 is a simplified sectional view of partially fabricated circuitry 101 .
  • Circuitry 101 includes donor substrate 140 which carries device structure 141 and dielectric region 148 positioned thereon.
  • a handle substrate 110 with a dielectric region 111 positioned thereon is provided.
  • Handle substrate 110 is preferably flat and may include glass, plastic, ceramic, metal, and/or semiconductor material.
  • Dielectric regions 111 and 148 are bonded together at surfaces 111 a and 148 a , respectively, and substrate 140 is removed from device structure 141 .
  • a plasma treatment can be used on surfaces 111 a and/or 148 a to increase the bond strength therebetween.
  • dielectric regions 111 and 148 can be bonded together with a glue layer, such as a polymeric adhesive, to provide easier and stronger bonding.
  • contact region 144 is positioned on device structure 141 opposite handle substrate 110 .
  • contact region 144 includes conductive layer 146 positioned adjacent to device structure 141 and conductive glue layer 147 positioned on conductive layer 146 .
  • acceptor substrate 130 is provided.
  • Substrate 130 carries interconnect region 131 thereon and contact region 121 is positioned on interconnect region 131 .
  • Contact region 121 includes conductive layer 122 and conductive glue layer 123 .
  • Surface 121 a is coupled to surface 144 a so that device structure 141 is coupled to the electronic devices carried by substrate 130 through interconnect region 131 .
  • Dielectric regions 111 and 148 are separated from each other to separate dielectric region 111 and handle substrate 110 from device structure 141 .
  • dielectric region 148 is removed from device structure 141 so that device structure 141 can be further processed to form electronic devices as discussed above.
  • the electronic devices formed from device structure 141 are electrically coupled to the electronic devices carried by acceptor substrate 130 through interconnection region 131 and bottom contact regions 121 and 144 .

Abstract

The present invention provides a method of coupling substrates together. The method includes providing first and second substrates and then coupling the first and second substrates together. One of the first and second substrates includes devices with an interconnect region positioned thereon and the other substrate carries a device structure.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This is a continuation-in-part of application Ser. No. 10/873,969, entitled “THREE-DIMENSIONAL INTEGRATED CIRCUIT STRUCTURE AND METHOD OF MAKING SAME”, which was filed 21 Jun. 2004 and is incorporated in its entirety herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to semiconductors and, more particularly, to forming circuitry using wafer bonding.
  • 2. Description of the Related Art
  • Advances in semiconductor manufacturing technology have provided computer chips with integrated circuits that include many millions of active and passive electronic devices, along with the interconnects to provide the desired circuit connections. As is well-known, most integrated circuits include laterally oriented active and passive electronic devices that are carried on a single major surface of a substrate. Active devices typically include transistors and passive devices typically include resistors, capacitors, and inductors. However, these laterally oriented devices consume significant amounts of chip area.
  • For example, a typical computer system includes a main computer chip with a processor circuit, a control circuit, and a memory cache that are carried on a single major surface of a substrate. The typical computer system also includes main memory which is positioned on a separate memory chip outside the main computer chip. Since the memory cache is positioned on the same substrate as the processor and control circuits in the main computer chip, it is often referred to as embedded memory.
  • The memory cache typically includes fast and expensive memory cells, such as Static Random Access Memory (SRAM) cells, and the main memory typically includes slower and less expensive Dynamic Random Access Memory (DRAM) cells. Both SRAM and DRAM cells are larger than the devices included in the processor and control circuits, with SRAM cells being much larger than DRAM cells. As is well-known in the art, cache memory (L1 cache or L2 cache, for example) is used to store information from a slower storage medium or subsystem, such as the main memory or peripherals like hard disks and CD-ROMs, that is accessed frequently to speed up the operation of the main computer chip.
  • The operation of the main computer chip is increased because its idle time is reduced. For example, when the processor circuit accesses the main memory, it does so in about 60 nanoseconds (ns) because the main memory is external to the main computer chip and it includes slower memory cells. However, a typical processor circuit can have cycle times of about 2 nanoseconds. As a result, the processor circuit is idle for many cycle times while it accesses the main memory. In this example, there are about 30 wasted cycles while the processor circuit accesses the main memory. The processor circuit, however, can access the cache memory in about 10 ns to 30 ns, so the idle time is significantly reduced if the information needed is temporarily stored in the cache memory. The access time of the processor circuit to a hard disk is even slower at about 10 milliseconds (ms) to 12 ms, and the access time to a CD-ROM drive is about 10 times greater than this. Hence, cache memory uses a small amount of fast and expensive memory to allow the processor circuit faster access to information normally stored by a large amount of slower, less-expensive memory.
  • With this in mind, it seems like the operation of the computer system can be increased even more by embedding the main memory with the main computer chip so it does not take as long for the processor to access it. One way to embed the main memory to the computer chip is to bond it thereto, as in a 3-D package or a 3-D integrated circuit (IC).
  • Conventional 3-D packages and 3-D ICs both include a substrate with a memory circuit bonded to it by a bonding region positioned therebetween. The memory circuit typically includes lateral memory devices and the processor circuit typically includes lateral active and passive devices. Further, the memory and processor circuits are prefabricated before the bonding takes place. In both the 3-D package and 3-D ICs, the memory and processor devices are connected to large bonding pads included in respective circuits. However, in the 3-D package, the bonding pads are connected together using wire bonds so that the memory and processor circuits can communicate with each other. In the 3-D IC, the bonding pads are connected together using conductive interconnects which extend therebetween. There are several problems, however, with using 3-D packages and 3-D ICs.
  • One problem is that the use of wire bonds increases the access time between the processor and memory circuits because the impedance of wire bonds and large contact pads is high. The contact pads are large in 3-D packages to make it easier to attach the wire bonds thereto. Similarly, the contact pads in 3-D ICs have correspondingly large capacitances which also increase the access time between the processor and memory circuits. The contact pads are large in 3-D ICs to make the alignment between the lateral memory devices in the memory circuit, the lateral active and passive devices in the processor circuit, and the conductive interconnects extending therebetween easier. These devices need to be properly aligned with each other and the interconnects because they are fabricated before the bonding takes place. Another problem is that the use of wire bonds is less reliable because the wire bonds can break and become detached.
  • Another problem with using 3-D packages and 3-D ICs is cost. The use of wire bonds is expensive because it is difficult to attach them between the processor and memory circuits and requires expensive equipment. Further, it requires expensive equipment to align the various devices in the 3-D IC. The bonding and alignment is made even more difficult and expensive because of the trend to scale devices to smaller dimensions.
  • As mentioned above, the SRAM cells are larger and expensive, so increasing the number of them in the memory circuit would increase the cost of the computer chip dramatically. DRAM cells are less expensive and smaller, but to include them in the memory circuit will still increase the cost. One reason the costs increase for both embedded SRAM and DRAM cells is because they both use a number of masks to fabricate them.
  • One problem with using lateral memory devices in the memory circuit is their size. The size of a conventional SRAM cell is about 70-120 F2 and the size of a conventional DRAM memory cell is about 15 F2. As is known in the art, 1 F is the minimum photolithographic feature size. For example, if the computer chip is being fabricated using 90 nm lithography, then 1 F corresponds to 90 nm and 1 F2 corresponds to an area that it 90 nm by 90 nm in size. If the computer chip is being fabricated using 60 nm lithography, then 1 F corresponds to 60 nm and 1 F2 corresponds to an area that it 60 nm by 60 nm in size. Hence, to increase the number of memory cells in the memory circuit, the DRAM or SRAM cells would have to be scaled to smaller dimensions, but this requires advances in lithography and increasingly expensive manufacturing equipment. Further, the DRAM and SRAM cells become less accurate and reliable when scaled to smaller dimension.
  • Accordingly, it is highly desirable to provide new structures and methods for fabricating computer chips which operate faster and are cost effective to fabricate.
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention provides a method of coupling substrates together including providing first and second substrates, both the first and second substrates having a conductive bonding region formed thereon; and coupling the first and second substrates together with the conductive bonding regions, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • The present invention provides a method of coupling substrates together which includes providing a first substrate with a nonconductive or partially nonconductive bonding region coupled to it; providing a second substrate with a conductive bonding region coupled to it; and bonding the surface of the conductive bonding region to the first substrate so that the conductive bonding region and the first substrate are coupled together, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • The present invention provides a method of coupling substrates together including providing first and second substrates, both the first and second substrates having a nonconductive bonding region formed thereon; and coupling the first and second substrates together with the nonconductive bonding regions, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • The present invention further provides a method of forming a circuit providing first, second, and third substrates, each having various bonding regions formed thereon; and forming a bond between the bonding surfaces using the third substrate as a handle substrate so that the first and second substrates are coupled together, where one of the substrate has devices with interconnect region and the other substrate has stack of doped semiconductor layers.
  • These and other features, aspects, and advantages of the present invention will become better understood with reference to the following drawings, description, and claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-23 are simplified sectional views of steps in fabricating an integrated circuit using a semiconductor bonding transfer method in accordance with the present invention; and
  • FIGS. 24-27 are simplified sectional views of another method of fabricating an integrated circuit using the semiconductor bonding transfer method in accordance with the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIGS. 1-23 are simplified sectional views of steps in fabricating circuitry 100 using a semiconductor bonding transfer method in accordance with the present invention. It should be noted that in the following figures, like reference characters indicate corresponding elements throughout the several views. In this embodiment, circuitry 100 includes separate portions in which it is desired to bond them together. As will be discussed in more detail below, one portion is carried by an acceptor substrate and another portion is carried by a donor substrate. In accordance with the invention, the portion carried by the donor substrate is bonded to the portion carried by the acceptor substrate and then the donor substrate is removed. It should be noted that the portions carried by the donor and acceptor substrates can have many different configurations, but only a few are discussed herein.
  • The portions carried by the acceptor substrate are shown in FIGS. 1-5 and the portions carried by the donor substrate are shown in FIGS. 6-12. The donor and acceptor substrates preferably include single crystalline material which can have defects, but is generally better material quality than amorphous or polycrystalline material. However, the preferred material for the donor and acceptor substrates is silicon although they can also include other materials, such as gallium arsenide, indium phosphide, and silicon carbide, among others.
  • Circuitry 100 is formed using a wafer bonding method which has several advantages. One advantage is that circuitry 100 includes more electronic devices in a given volume because the devices extend laterally across the acceptor substrate as well as above it. This reduces manufacturing costs because the mask set used to fabricate the devices is less complicated. The mask set is less complicated because the devices positioned above the acceptor substrate can be formed with a different mask set than the devices formed on the acceptor substrate. The cost is further reduced because the yield increases. The yield increases because the die size decreases so that fewer chips will be defective. Still another advantage is that the donor substrate does not have to be aligned very accurately with the acceptor substrate when bonding them together. This is because the donor substrate includes blanket layers of semiconductor materials and the devices formed therewith are formed after the bonding has taken place.
  • In FIG. 1, partially fabricated circuitry 100 includes an acceptor substrate 130 which typically carries electronic devices, such as MOSFETs (Metal-Oxide-Semiconductor Field Effective Transistor), bipolar transistors, diodes, capacitors, and/or resistors, which are known in the art. However, these electronic devices are not shown here for simplicity and ease of discussion. The electronic devices can extend into substrate 130 and/or extend out of substrate 130 through a surface 130 a. It should be noted that acceptor substrate 130 can have portions doped n-type or p-type and some portions of it can even be undoped or compensated.
  • An interconnect region 131 is positioned on surface 130 a. Here, interconnect region 131 includes an ILD (InterLayer Dielectric) region 133 with one or more interconnects extending therethrough. The interconnect typically includes one or more interconnect line 132 and/or conductive vias 134. Lines 132 and vias 134 extend therethrough region 131 between surface 130 a and a surface 131 a of region 131. Contacts 134 b are coupled to the electronic devices carried by substrate 130 and extend upwardly from surface 130 a. ILD region 133 can be formed using many different methods, such as CVD (Chemical Vapor Deposition) and SOG (Spin On Glass). Interconnection lines 132 and vias 134 include conductive materials, such as aluminum, copper, tungsten, tungsten silicide, titanium, titanium silicide, tantalum, and doped polysilicon.
  • Interconnect region 131 can have many different structures other than that shown in FIG. 1. For example, surface 131 a can be defined by ILD region 133 and vias 134 at this step in the fabrication of circuitry 100. FIG. 2 shows an example of circuitry 100 where surface 131 a is defined by ILD region 133 only and is not in contact with either vias 134 or interconnection lines 132. In FIG. 3, vias 134 adjacent to surface 131 a are electrically coupled to a contact region 121 carried by interconnect region 131 on surface 131 a. Hence, interconnection lines 132, contacts 134 b, and vias 134 are coupled together through interconnect region 131 so that one or more signals can flow between the electronic devices carried by substrate 130 and contact region 121. Contact region 121 includes a conductive layer 122 as will be discussed in more detail below. Conductive layer 122 defines surface 121 a and includes a material with a low resistivity so that current can flow therethrough. The material can be the same or similar to the materials included in lines 132 or vias 134.
  • It should be noted that interconnect region 131 can include a blocking region 124, as shown in FIG. 4, which blocks the flow of oxygen from vapor and/or oxygen gas through interconnect region 131 during device processing. In this example, blocking region 124 extends substantially parallel with surface 131 a although it can be at an angle relative to it in other examples. Blocking region 124 can include silicon nitride (SiN) or polyamide, for example, or other materials which prevent the flow of contaminants through interconnect region 131.
  • In some embodiments, contact region 121 can include one or more layers of materials. For example, in FIG. 3, contact region 121 is shown as one layer which includes conductive layer 122. In another example, contact region 121 includes a conductive glue layer 123 positioned on a surface 122 a of region 122 so that region 121 includes two layers, as shown in FIGS. 4 and 5. In FIGS. 4 and 5, surface 121 a is defined by conductive glue layer 123. Conductive glue layer 123 includes a conductive material with a low resistivity and it can have a lower melting point than conductive layer 122 so that its surface 121 a can be reflowed at an elevated temperature without negatively impacting the material properties of conductive layer 122, connect layer 123, conductive lines 132 and/or vias 134. The material in layer 122 can also be soft so that it can more easily bond to other layers positioned thereon with fewer defects, such as micro-voids. In other embodiments, however, contact region 121 is optional, as shown in FIG. 1, where surface 131 a of interconnect region 131 can be used as the bonding surface.
  • FIG. 6 shows the portion of circuitry 100 that is carried by the donor substrate. Here, partially fabricated circuitry 100 includes a donor substrate 140 which can include silicon or another semiconductor material known in the art. In this embodiment, substrate 140 includes a device structure 141 positioned on a surface 140 a of substrate 140 and a detaching region 142 positioned near surface 140 a. Device structure 141 can include various materials and/or stacks of doped semiconductor layers depending on the type of device it is desired to form therewith. Here, device structure 141 includes a stack of doped semiconductor layers for illustrative purposes with the understanding that it could include other layer structures, which includes semiconductors, conductors, and/or dielectrics. Donor substrate 140 and device structure 141 preferably include single crystalline silicon which can have defects, but is generally better material quality compared to amorphous or polycrystalline silicon.
  • In this particular example, structure 141 includes an n+pn+ stack, although it can have other layer stacks, such as npn, p+np+, and pnp. The n+pn+ stack includes an n+-doped region 143 a on surface 140 a, a p-doped region 143 b on region 143 a, and an n+-doped region 143 c on region 143 b. In this embodiment, regions 143 a-143 c can be doped by ion implantation, diffusion, or plasma. However, in other embodiments, regions 143 a-143 c can be doped during growth. More information about forming regions 143 a-143 c can be found in a co-pending U.S. patent application titled “SEMICONDUCTOR LAYER STRUCTURE AND METHOD OF MAKING THE SAME” filed on an even date herewith by the same inventor and incorporated herein by reference.
  • In another example, device structure 141 can include a structure with an n+pn+pnp+ stack of semiconductor layers. In this example, the stack can be processed into a negative differential resistance static random access memory device which includes a transistor and a thyristor. More information about this device structure can be found in a co-pending U.S. patent application titled “SEMICONDUCTOR MEMORY DEVICE” filed on an even date herewith by the same inventor and incorporated herein by reference.
  • Detaching region 142 can be formed in many different ways. For example, it can be formed by implanting hydrogen, forming an anodized porous material layer, or implanting oxygen therein so that it is defective and its mechanical strength and chemical compositions are different from adjacent material regions. As discussed in conjunction with FIGS. 24-27, detaching region 142 can be a glue layer carried by a handle substrate.
  • As shown in FIG. 7, a contact region 144 is positioned on a surface 141 a of device structure 141. Contact region 144 can have various configurations and can include one or more layers of materials. In this embodiment, region 144 includes a silicide layer 145 positioned adjacent to surface 141 a and a conductive layer 146 positioned on a surface 145 a of layer 145. Here, layer 146 defines a surface 144 a of region 144. In another example shown in FIG. 8, contact region 144 also includes a conductive glue layer 147 positioned on surface 146 a so that region 144 includes three layers and surface 144 a is defined by layer 147.
  • In other embodiments, a dielectric region 148 can be positioned on surface 141 a of device structure 141 as shown in FIG. 9, instead of contact region 144, as shown in FIGS. 7 and 8. Dielectric region 148 can include one layer as shown in FIG. 9 or it can include multiple regions. For example, as shown in FIG. 10, dielectric region 148 includes a dielectric layer 149 a positioned on surface 141 a, a blocking layer 149 b positioned on layer 149 a, and a dielectric layer 149 c positioned on layer 149 b. Blocking layer 149 b can have the same or similar properties as blocking layer 124 discussed in FIG. 4 above. In FIG. 11, conductive region 144 is positioned on surface 148 a of dielectric region 148. Here, contact region 144 includes conductive layer 146 positioned on surface 148 a and conductive glue layer 147 positioned on layer 146, as shown in FIG. 7.
  • In another embodiment as shown in FIG. 12, a device structure 149 is positioned on surface 148 a instead of contact region 144 as in FIG. 11. Device structure 149 can include various material layers depending on the type of device it is desired to form therewith. In this particular example, device structure 149 includes a stack of doped semiconductor layers similar to structure 141 with the understanding that it could include other layer structures. In this particular example, the stack includes an n+-type doped region 150 a on surface 148 a, a p-type doped region 150 b on region 150 a, and an n+-type doped region 150 c on region 150 b. Contact region 144 is then positioned on a surface 149 a of device structure 149. Here, contact region 144 is similar to that shown in FIG. 8 where it includes silicide layer 145 positioned adjacent to surface 149 a, conductive layer 146 positioned on surface 145 a of layer 145, and conductive glue layer 147 positioned on surface 146 a of layer 146.
  • In accordance with the invention, it is desired to couple device structure 141 and/or device structure 149 to the electronic devices carried by substrate 130. As shown in FIGS. 13-20, this can be done with the various configurations of structure carried by the donor and acceptor substrates discussed above. It should be noted that only some of the possible configurations are shown here for simplicity and ease of discussion and that others will become readily apparent to one skilled in the art. Further, the bonding can be done in many different ways. For example, the bonding can include heating the bonding surfaces shown in FIGS. 1-6 and coupling them to the bonding surfaces shown in FIGS. 7-12. More information on wafer bonding can be found in co-pending U.S. patent application titled “WAFER BONDING METHOD” filed on an even date herewith by the same inventor and incorporated herein by reference.
  • FIG. 13 shows an example where contact region 121 of the structure shown in FIG. 3 is bonded to contact region 144 of the structure shown in FIG. 7, so that surfaces 121 a and 144 a are adjacent to one another. Regions 121 and 144 can be bonded together in many different ways. For example, layers 122 and/or 144 can be heated so that the material included therein flows together to form the bond.
  • FIG. 14 shows an example where contact region 121 of the structure shown in FIG. 5 is bonded to contact region 144 of the structure shown in FIG. 8, so that surfaces 121 a and 144 a are coupled together. Here, surfaces 121 a and/or 144 a can be heated so that the material included in layers 123 and 147 adhere together to form the bond. FIG. 15 shows an example where region 144 of the structure shown in FIG. 8 is bonded to interconnect region 131 of the structure shown in FIG. 1, so that surfaces 131 a and 144 a are coupled together. FIG. 16 shows an example where conductive glue layer 123 of the structure shown in FIG. 5 is bonded to device structure 141 of the structure shown in FIG. 6, so that surfaces 121 a and 141 a are adjacent to one another.
  • FIG. 17 shows an example where conductive glue layer 123 of the structure shown in FIG. 5 is bonded to conductive glue layer 147 of the structure shown in FIG. 11, so that surfaces 121 a and 144 a are bonded together. Here, surfaces 121 a and/or 144 a can be heated so that the material included in layers 123 and 147 adhere together to form the bond. FIG. 18 shows an example where interconnect region 131 of the structure shown in FIG. 2 is bonded to dielectric region 148 of the structure shown in FIG. 9, so that surfaces 131 a and 148 a are adjacent to one another.
  • FIG. 19 shows an example where conductive layer 146 of the structure shown in FIG. 7 is bonded to interconnect region 131 of the structure shown in FIG. 2, so that surfaces 131 a and 144 a are adjacent to one another. Here, surfaces 131 a and/or 144 a can be heated so that the material included in region 133 and layer 146 adhere together to form a bond. Plasma treatment can be used on bonding surface 131 a and/or 148 a to increase the bond strength. The plasma treatment reduces the amount of hydrogen on surface 131 a and/or 148 a. The presence of hydrogen makes the surface hydrophobic and its absence makes the surface hydrophilic. Hydrophilic surfaces tend to form stronger bonds with each other than hydrophobic surfaces.
  • FIG. 20 shows an example where region 141 of the structure shown in FIG. 6 is bonded to interconnect region 131 of the structure shown in FIG. 2, so that surfaces 131 a and 141 a are adjacent to one another. Plasma treatment can be used on bonding surfaces 131 a and/or 141 a to increase the bond strength therebetween.
  • In accordance with the invention, once device structure 141 or 149 is coupled to the electronic devices carried by acceptor substrate 130 through bonding, it is desirable to remove a portion of donor substrate 140 to leave device structure 141. In the examples discussed below, it is shown that portions of substrate 140 are removed so that device structure 141 can be subsequently processed to form electronic devices therewith. The processing steps involved in the formation of the electronic devices out of device structure 141 includes steps well known in the art, such as lithography, etching, and deposition, among other steps. More details of the processing steps and examples of device structures can be found in a co-pending U.S. patent application titled “SEMICONDUCTOR MEMORY DEVICE” filed on an even date herewith by the same inventor and incorporated herein by reference.
  • The devices formed from device structure 141 and/or 151 are typically called “vertical” devices because their layer structure extends substantially perpendicular to surface 131 a. In other words, the n+pn+ layers of region 141 are stacked on top of each other so that current flow through them is substantially perpendicular to surface 131 a. This is different from conventional devices which are often called lateral or planar devices. Lateral devices have their layer structure extending horizontally relative to a surface of a material region that carries them. In other words, the n+pn+ layers included in a lateral device are positioned side-by-side so that current flow through them is substantially parallel to the supporting surface.
  • Substrate 140 can be removed in several different ways. In FIG. 21, substrate 140 is removed using mechanical force to cleave along detach region 142. The mechanical force can include driving a wedge through detaching layer 142 so that device structure 141 is carried by acceptor substrate 130 and the rest of substrate 140 is removed. The cleave is facilitated because if layer 142 is formed by hydrogen or oxygen implantation, then the defects from the implantation make it easier to cleave along layer 142. If layer 142 includes an anodized porous material, then it will also have defects which facilitate it being cleaved to separate device structure 141 from substrate 140. The mechanical force can also include using a water jet to flow a high velocity stream of water or another liquid at and along detaching layer 142 so that substrate 140 and structure 141 are separated.
  • In FIG. 22, substrate 140 is removed using chemical force. The chemical force is provided by heating substrate 140 to a temperature at which the implanted hydrogen outgasses from detaching layer 142. The outgassing hydrogen causes stress within layer 142 so that substrate 140 and structure 141 are separated. In FIG. 23, substrate 140 or a portion thereof is removed by using conventional etching or chemical mechanical polishing (CMP), which is a process well known in the art.
  • FIGS. 24-27 are simplified sectional views of steps in fabricating circuitry 101 using a semiconductor bonding transfer method in accordance with the present invention. In this embodiment, circuitry 101 includes separate portions in which it is desired to bond them together in a manner similar to that discussed above. Here, however, circuitry 101 is formed using a handle substrate 110 to carry one of the portions and bond it to the other portion. One advantage of this method is that handle substrate 110 can be used to flip structure 141.
  • Another advantage of this method is that the donor wafer is bonded to the handle wafer and then processed as described above in conjunction with FIGS. 21-23. This is desirable because the acceptor wafer is not subject to high temperature and/or high pressure processing that the donor wafer is subject to when using mechanical or chemical force to cleave detach region 142. For example, the hydrogen is typically outgassed at a temperature that would damage the interconnect region 131 and/or electronic devices carried by acceptor substrate 130. Further, the electronic devices and/or interconnect region can be damaged by pressure from driving the wedge through region 142 or from the chemical mechanical polishing process.
  • This is desired because the acceptor wafer has electronic devices already formed thereon and high temperature and pressure processing can negatively impact the performance of these devices. Hence, the donor wafer is attached to the handle wafer and processed. After processing, the donor wafer is bonded to the acceptor wafer and the handle wafer is removed.
  • FIG. 24 is a simplified sectional view of partially fabricated circuitry 101. Circuitry 101 includes donor substrate 140 which carries device structure 141 and dielectric region 148 positioned thereon. A handle substrate 110 with a dielectric region 111 positioned thereon is provided. Handle substrate 110 is preferably flat and may include glass, plastic, ceramic, metal, and/or semiconductor material. Dielectric regions 111 and 148 are bonded together at surfaces 111 a and 148 a, respectively, and substrate 140 is removed from device structure 141. A plasma treatment can be used on surfaces 111 a and/or 148 a to increase the bond strength therebetween. In some embodiments, dielectric regions 111 and 148 can be bonded together with a glue layer, such as a polymeric adhesive, to provide easier and stronger bonding.
  • In FIG. 25, contact region 144 is positioned on device structure 141 opposite handle substrate 110. Here, contact region 144 includes conductive layer 146 positioned adjacent to device structure 141 and conductive glue layer 147 positioned on conductive layer 146. In FIG. 26, acceptor substrate 130 is provided. Substrate 130 carries interconnect region 131 thereon and contact region 121 is positioned on interconnect region 131. Contact region 121 includes conductive layer 122 and conductive glue layer 123. Surface 121 a is coupled to surface 144 a so that device structure 141 is coupled to the electronic devices carried by substrate 130 through interconnect region 131.
  • Dielectric regions 111 and 148 are separated from each other to separate dielectric region 111 and handle substrate 110 from device structure 141. In FIG. 27, dielectric region 148 is removed from device structure 141 so that device structure 141 can be further processed to form electronic devices as discussed above. In this way, the electronic devices formed from device structure 141 are electrically coupled to the electronic devices carried by acceptor substrate 130 through interconnection region 131 and bottom contact regions 121 and 144.
  • The present invention is described above with reference to preferred embodiments. However, those skilled in the art will recognize that changes and modifications may be made in the described embodiments without departing from the nature and scope of the present invention. Various further changes and modifications will readily occur to those skilled in the art. To the extent that such modifications and variations do not depart from the spirit of the invention, they are intended to be included within the scope thereof.

Claims (20)

1. A method of forming circuitry comprising:
providing a first substrate with electronic devices formed thereon;
providing an interconnect region on a surface of the first substrate, the interconnect region having conductive interconnects and vias extending therethrough;
providing a second substrate with a device structure positioned thereon, the device structure including a stack of semiconductor layers; and
coupling the device structure to the interconnect region.
2. The method of claim 1 further including providing a contact region so that the device structure and interconnect region are coupled together through the contact region.
3. The method of claim 2 wherein the contact region includes at least one of a conductive glue layer, a conductive layer, and a silicide layer.
4. The method of claim 2 further including providing a dielectric region on the device structure so that the device structure and interconnect region are coupled together through the first contact region and the dielectric region.
5. The method of claim 1 wherein the step of coupling the device structure to the interconnect region includes providing heat to them.
6. The method of claim 1 wherein the step of providing the interconnect region includes providing a blocking region positioned to reduce the flow of contaminants therethrough.
7. The method of claim 1 further including removing at least a portion of the second substrate from the device structure.
8. The method of claim 1 further including providing a detaching layer carried by the second substrate, the detaching layer extending proximate to the device structure.
9. The method of claim 8 further including removing the second substrate from the device structure by separating them along the detaching layer.
10. The method of claim 1 further including providing the stack of semiconductor layers on the donor substrate has at least one single crystalline semiconductor layer.
11. A method of forming a circuit comprising:
providing a handle substrate;
providing a donor substrate with a device structure positioned thereon, the device structure including a stack of semiconductor layers;
coupling the first and second substrates together;
removing the donor substrate from the first device structure so that the first device structure is carried by the handle substrate;
providing an acceptor substrate which carries an interconnect region, the interconnect region being electrically coupled to electronic devices carried by the acceptor substrate;
coupling the first device structure and interconnect region together; and
removing the handle substrate and the first and second dielectric regions.
12. The method of claim 11 further including providing a contact region so that, after the donor wafer is removed, the first device structure and interconnect region are coupled together through the contact region.
13. The method of claim 11 wherein the step of providing the interconnect region includes providing a blocking region positioned to reduce the flow of contaminants therethrough.
14. The method of claim 11 further including etching portions of the device structure to form at least one electrical device, the electrical device(s) being coupled to the electronic devices carried by the acceptor wafer through the interconnect region.
15. A method of forming a circuit comprising:
providing a first substrate;
positioning an interconnect region on a surface of the first substrate, the interconnect region having conductive interconnects and vias extending therethrough;
providing a second substrate;
positioning a device structure on a surface of the second substrate, the device structure including a stack of doped semiconductor material layers; and
coupling the device structure to the interconnect region.
16. The method of claim 15 further including forming electronic devices near the surface of the first substrate.
17. The method of claim 15 wherein the step of coupling the device structure to the interconnect region includes providing heat to them.
18. The method of claim 15 wherein the step of providing the interconnect region includes providing a blocking region positioned therein to reduce the flow of oxygen therethrough.
19. The method of claim 15 further including processing the device structure to form at least one memory device therewith.
20. The method of claim 15 further including removing the second substrate from the device structure after the device structure has been coupled to the interconnect region.
US11/092,501 1996-11-04 2005-03-29 Semiconductor bonding and layer transfer method Abandoned US20050280155A1 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
US11/092,501 US20050280155A1 (en) 2004-06-21 2005-03-29 Semiconductor bonding and layer transfer method
US11/873,851 US7718508B2 (en) 2004-06-21 2007-10-17 Semiconductor bonding and layer transfer method
US12/040,642 US7800199B2 (en) 2003-06-24 2008-02-29 Semiconductor circuit
US12/397,309 US7863748B2 (en) 2003-06-24 2009-03-03 Semiconductor circuit and method of fabricating the same
US12/470,344 US8058142B2 (en) 1996-11-04 2009-05-21 Bonded semiconductor structure and method of making the same
US12/475,294 US7799675B2 (en) 2003-06-24 2009-05-29 Bonded semiconductor structure and method of fabricating the same
US12/581,722 US8471263B2 (en) 2003-06-24 2009-10-19 Information storage system which includes a bonded semiconductor structure
US12/618,542 US7867822B2 (en) 2003-06-24 2009-11-13 Semiconductor memory device
US12/637,559 US20100133695A1 (en) 2003-01-12 2009-12-14 Electronic circuit with embedded memory
US12/731,087 US20100190334A1 (en) 2003-06-24 2010-03-24 Three-dimensional semiconductor structure and method of manufacturing the same
US12/874,866 US8071438B2 (en) 2003-06-24 2010-09-02 Semiconductor circuit
US12/881,628 US20110001172A1 (en) 2005-03-29 2010-09-14 Three-dimensional integrated circuit structure
US12/881,961 US8367524B2 (en) 2005-03-29 2010-09-14 Three-dimensional integrated circuit structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/873,969 US7052941B2 (en) 2003-06-24 2004-06-21 Method for making a three-dimensional integrated circuit structure
US11/092,501 US20050280155A1 (en) 2004-06-21 2005-03-29 Semiconductor bonding and layer transfer method

Related Parent Applications (4)

Application Number Title Priority Date Filing Date
US10/873,969 Continuation-In-Part US7052941B2 (en) 1996-11-04 2004-06-21 Method for making a three-dimensional integrated circuit structure
US11/092,500 Continuation-In-Part US8018058B2 (en) 1996-11-04 2005-03-29 Semiconductor memory device
US11/092,521 Continuation-In-Part US7633162B2 (en) 1996-11-04 2005-03-29 Electronic circuit with embedded memory
US11/180,286 Continuation-In-Part US8779597B2 (en) 1996-11-04 2005-07-12 Semiconductor device with base support structure

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US11/092,500 Continuation-In-Part US8018058B2 (en) 1996-11-04 2005-03-29 Semiconductor memory device
US11/092,521 Continuation-In-Part US7633162B2 (en) 1996-11-04 2005-03-29 Electronic circuit with embedded memory
US11/873,851 Division US7718508B2 (en) 1996-11-04 2007-10-17 Semiconductor bonding and layer transfer method

Publications (1)

Publication Number Publication Date
US20050280155A1 true US20050280155A1 (en) 2005-12-22

Family

ID=46304230

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/092,501 Abandoned US20050280155A1 (en) 1996-11-04 2005-03-29 Semiconductor bonding and layer transfer method
US11/873,851 Expired - Fee Related US7718508B2 (en) 1996-11-04 2007-10-17 Semiconductor bonding and layer transfer method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/873,851 Expired - Fee Related US7718508B2 (en) 1996-11-04 2007-10-17 Semiconductor bonding and layer transfer method

Country Status (1)

Country Link
US (2) US20050280155A1 (en)

Cited By (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050280154A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor memory device
US20080078998A1 (en) * 2006-09-28 2008-04-03 Sanyo Electric Co., Ltd. Semiconductor device
US20090267233A1 (en) * 1996-11-04 2009-10-29 Sang-Yun Lee Bonded semiconductor structure and method of making the same
US20100055818A1 (en) * 2008-08-29 2010-03-04 Ding-Yuan Chen Light-Emitting Diode on a Conductive Substrate
US20100108970A1 (en) * 2008-10-30 2010-05-06 Jun Liu Memory Devices and Formation Methods
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US20110003438A1 (en) * 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
US20110007547A1 (en) * 2009-07-13 2011-01-13 Seagate Technology Llc Vertical Non-Volatile Switch with Punchthrough Access and Method of Fabrication Therefor
US20110053332A1 (en) * 2003-06-24 2011-03-03 Sang-Yun Lee Semiconductor circuit
US7936583B2 (en) 2008-10-30 2011-05-03 Seagate Technology Llc Variable resistive memory punchthrough access method
US7936580B2 (en) 2008-10-20 2011-05-03 Seagate Technology Llc MRAM diode array and access method
US7935619B2 (en) 2008-11-07 2011-05-03 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US7974119B2 (en) 2008-07-10 2011-07-05 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
CN102365762A (en) * 2009-03-27 2012-02-29 波音公司 Solar cell assembly with combined handle substrate and bypass diode and method
US8159856B2 (en) 2009-07-07 2012-04-17 Seagate Technology Llc Bipolar select device for resistive sense memory
US8158964B2 (en) 2009-07-13 2012-04-17 Seagate Technology Llc Schottky diode switch and memory units containing the same
US8178864B2 (en) 2008-11-18 2012-05-15 Seagate Technology Llc Asymmetric barrier diode
US8183126B2 (en) 2009-07-13 2012-05-22 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US8203869B2 (en) 2008-12-02 2012-06-19 Seagate Technology Llc Bit line charge accumulation sensing for resistive changing memory
CN102593316A (en) * 2011-01-13 2012-07-18 三星Led株式会社 Wafer level light-emitting device package and method of manufacturing the same
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
EP2551898A1 (en) 2011-07-28 2013-01-30 Soitec Method for curing defects in a semiconductor layer
EP2551897A1 (en) 2011-07-28 2013-01-30 Soitec Method for transferring a monocrystalline semiconductor layer onto a support substrate
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8648426B2 (en) 2010-12-17 2014-02-11 Seagate Technology Llc Tunneling transistors
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
CN103647012A (en) * 2013-12-20 2014-03-19 中国科学院半导体研究所 Chip transfer method for LED (light-emitting diode) wafer level package
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US9012292B2 (en) 2010-07-02 2015-04-21 Sang-Yun Lee Semiconductor memory device and method of fabricating the same
US9030867B2 (en) 2008-10-20 2015-05-12 Seagate Technology Llc Bipolar CMOS select device for resistive sense memory
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
EP2599112A4 (en) * 2010-07-30 2017-07-26 MonolithIC 3D S.A. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10643836B2 (en) * 2013-07-18 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Bonded semiconductor structures
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
WO2022226810A1 (en) * 2021-04-27 2022-11-03 华为技术有限公司 Chip stacking structure comprising vertical pillar transistor
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11961827B1 (en) 2023-12-23 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7800199B2 (en) * 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
JP5204959B2 (en) * 2006-06-26 2013-06-05 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
KR101350207B1 (en) * 2006-06-26 2014-01-13 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Paper including semiconductor device and manufacturing method thereof
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
US8617952B2 (en) 2010-09-28 2013-12-31 Seagate Technology Llc Vertical transistor with hardening implatation
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
FR2977069B1 (en) * 2011-06-23 2014-02-07 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SEMICONDUCTOR STRUCTURE USING TEMPORARY COLLAGE
US8877603B2 (en) * 2012-03-30 2014-11-04 International Business Machines Corporation Semiconductor-on-oxide structure and method of forming
US9875935B2 (en) * 2013-03-08 2018-01-23 Infineon Technologies Austria Ag Semiconductor device and method for producing the same
CN111755388B (en) * 2019-03-29 2022-05-31 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
CN111755387B (en) * 2019-03-29 2022-06-03 长鑫存储技术有限公司 Method for manufacturing semiconductor structure

Citations (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4268846A (en) * 1978-12-22 1981-05-19 Eaton Corporation Integrated gate turn-off device with lateral regenerative portion and vertical non-regenerative power portion
US4704785A (en) * 1986-08-01 1987-11-10 Texas Instruments Incorporated Process for making a buried conductor by fusing two wafers
US4732312A (en) * 1986-11-10 1988-03-22 Grumman Aerospace Corporation Method for diffusion bonding of alloys having low solubility oxides
US4829018A (en) * 1986-06-27 1989-05-09 Wahlstrom Sven E Multilevel integrated circuits employing fused oxide layers
US4854986A (en) * 1987-05-13 1989-08-08 Harris Corporation Bonding technique to join two or more silicon wafers
US4939568A (en) * 1986-03-20 1990-07-03 Fujitsu Limited Three-dimensional integrated circuit and manufacturing method thereof
US4982266A (en) * 1987-12-23 1991-01-01 Texas Instruments Incorporated Integrated circuit with metal interconnecting layers above and below active circuitry
US5047979A (en) * 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5087585A (en) * 1989-07-11 1992-02-11 Nec Corporation Method of stacking semiconductor substrates for fabrication of three-dimensional integrated circuit
US5091762A (en) * 1988-07-05 1992-02-25 Kabushiki Kaisha Toshiba Semiconductor memory device with a 3-dimensional structure
US5093704A (en) * 1986-09-26 1992-03-03 Canon Kabushiki Kaisha Semiconductor device having a semiconductor region in which a band gap being continuously graded
US5106775A (en) * 1987-12-10 1992-04-21 Hitachi, Ltd. Process for manufacturing vertical dynamic random access memories
US5152857A (en) * 1990-03-29 1992-10-06 Shin-Etsu Handotai Co., Ltd. Method for preparing a substrate for semiconductor devices
US5250460A (en) * 1991-10-11 1993-10-05 Canon Kabushiki Kaisha Method of producing semiconductor substrate
US5265047A (en) * 1992-03-09 1993-11-23 Monolithic System Technology High density SRAM circuit with single-ended memory cells
US5266511A (en) * 1991-10-02 1993-11-30 Fujitsu Limited Process for manufacturing three dimensional IC's
US5277748A (en) * 1992-01-31 1994-01-11 Canon Kabushiki Kaisha Semiconductor device substrate and process for preparing the same
US5308782A (en) * 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5324980A (en) * 1989-09-22 1994-06-28 Mitsubishi Denki Kabushiki Kaisha Multi-layer type semiconductor device with semiconductor element layers stacked in opposite direction and manufacturing method thereof
US5355022A (en) * 1991-09-10 1994-10-11 Mitsubishi Denki Kabushiki Kaisha Stacked-type semiconductor device
US5371037A (en) * 1990-08-03 1994-12-06 Canon Kabushiki Kaisha Semiconductor member and process for preparing semiconductor member
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US5374581A (en) * 1991-07-31 1994-12-20 Canon Kabushiki Kaisha Method for preparing semiconductor member
US5554870A (en) * 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US5563084A (en) * 1994-09-22 1996-10-08 Fraunhofer-Gesellschaft zur F orderung der angewandten Forschung e.V. Method of making a three-dimensional integrated circuit
US5612231A (en) * 1994-05-09 1997-03-18 Motorola Method of fabricating an electro-optic integrated circuit having light emitting diodes
US5617991A (en) * 1995-12-01 1997-04-08 Advanced Micro Devices, Inc. Method for electrically conductive metal-to-metal bonding
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5695557A (en) * 1993-12-28 1997-12-09 Canon Kabushiki Kaisha Process for producing a semiconductor substrate
US5737748A (en) * 1995-03-15 1998-04-07 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
US5829026A (en) * 1994-11-22 1998-10-27 Monolithic System Technology, Inc. Method and structure for implementing a cache memory using a DRAM array
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US5854123A (en) * 1995-10-06 1998-12-29 Canon Kabushiki Kaisha Method for producing semiconductor substrate
US5866511A (en) * 1997-05-15 1999-02-02 Great Lakes Chemical Corporation Stabilized solutions of bromonitromethane and their use as biocides
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5892225A (en) * 1996-01-09 1999-04-06 Oki Electric Industry Co., Ltd. Method of preparing a plan-view sample of an integrated circuit for transmission electron microscopy, and methods of observing the sample
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5937312A (en) * 1995-03-23 1999-08-10 Sibond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator wafers
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US5998808A (en) * 1997-06-27 1999-12-07 Sony Corporation Three-dimensional integrated circuit device and its manufacturing method
US6009496A (en) * 1997-10-30 1999-12-28 Winbond Electronics Corp. Microcontroller with programmable embedded flash memory
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6103597A (en) * 1996-04-11 2000-08-15 Commissariat A L'energie Atomique Method of obtaining a thin film of semiconductor material
US6153495A (en) * 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US6222251B1 (en) * 1997-01-27 2001-04-24 Texas Instruments Incorporated Variable threshold voltage gate electrode for higher performance mosfets
US6229161B1 (en) * 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6259623B1 (en) * 1999-06-17 2001-07-10 Nec Corporation Static random access memory (SRAM) circuit
US6331468B1 (en) * 1998-05-11 2001-12-18 Lsi Logic Corporation Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US20020024140A1 (en) * 2000-03-31 2002-02-28 Takashi Nakajima Semiconductor device
US20020025604A1 (en) * 2000-08-30 2002-02-28 Sandip Tiwari Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6380046B1 (en) * 1998-06-22 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6380099B2 (en) * 1998-01-14 2002-04-30 Canon Kabushiki Kaisha Porous region removing method and semiconductor substrate manufacturing method
US6391658B1 (en) * 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US6417108B1 (en) * 1998-02-04 2002-07-09 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
US6423614B1 (en) * 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US20020141233A1 (en) * 2001-03-29 2002-10-03 Keiji Hosotani Semiconductor memory device including memory cell portion and peripheral circuit portion
US20020153354A1 (en) * 2000-08-18 2002-10-24 Norby Robert V. Rail welderhead shear apparatus
US6531697B1 (en) * 1998-03-02 2003-03-11 Hitachi, Ltd. Method and apparatus for scanning transmission electron microscopy
US6534382B1 (en) * 1996-12-18 2003-03-18 Canon Kabushiki Kaisha Process for producing semiconductor article
US6535411B2 (en) * 2000-12-27 2003-03-18 Intel Corporation Memory module and computer system comprising a memory module
US20030067043A1 (en) * 2001-10-07 2003-04-10 Guobiao Zhang Three-dimensional memory
US6555901B1 (en) * 1996-10-04 2003-04-29 Denso Corporation Semiconductor device including eutectic bonding portion and method for manufacturing the same
US20030102079A1 (en) * 2000-01-17 2003-06-05 Edvard Kalvesten Method of joining components
US20030113963A1 (en) * 2001-07-24 2003-06-19 Helmut Wurzer Method for fabricating an integrated semiconductor circuit
US20030119279A1 (en) * 2000-03-22 2003-06-26 Ziptronix Three dimensional device integration method and integrated device
US20030139011A1 (en) * 2000-08-14 2003-07-24 Matrix Semiconductor, Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6621168B2 (en) * 2000-12-28 2003-09-16 Intel Corporation Interconnected circuit board assembly and system
US6627518B1 (en) * 1998-02-27 2003-09-30 Seiko Epson Corporation Method for making three-dimensional device
US6630713B2 (en) * 1998-11-10 2003-10-07 Micron Technology, Inc. Low temperature silicon wafer bond process with bulk material bond strength
US6635552B1 (en) * 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US20030205480A1 (en) * 1998-02-26 2003-11-06 Kiyofumi Sakaguchi Anodizing method and apparatus and semiconductor substrate manufacturing method
US6653209B1 (en) * 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
US20030224582A1 (en) * 1996-08-27 2003-12-04 Seiko Epson Corporation Exfoliating method, transferring method of thin film device, and thin film device, thin film integrated circuit device, and liquid crystal display device produced by the same
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20040012016A1 (en) * 2000-10-10 2004-01-22 Ian Underwood Optoelectronic device
US6742067B2 (en) * 2001-04-20 2004-05-25 Silicon Integrated System Corp. Personal computer main board for mounting therein memory module
US6751113B2 (en) * 2002-03-07 2004-06-15 Netlist, Inc. Arrangement of integrated circuits in a memory module
US20040113207A1 (en) * 2002-12-11 2004-06-17 International Business Machines Corporation Vertical MOSFET SRAM cell
US20040131233A1 (en) * 2002-06-17 2004-07-08 Dorin Comaniciu System and method for vehicle detection and tracking
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20040147077A1 (en) * 1997-04-10 2004-07-29 Kozo Watanabe Semiconductor integrated circuitry and method for manufacturing the circuitry
US6774010B2 (en) * 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US20040156233A1 (en) * 2003-02-10 2004-08-12 Arup Bhattacharyya TFT-based random access memory cells comprising thyristors
US20040160849A1 (en) * 2002-08-02 2004-08-19 Darrell Rinerson Line drivers that fit within a specified line pitch
US6787920B2 (en) * 2002-06-25 2004-09-07 Intel Corporation Electronic circuit board manufacturing process and associated apparatus
US6806171B1 (en) * 2001-08-24 2004-10-19 Silicon Wafer Technologies, Inc. Method of producing a thin layer of crystalline material
US6809009B2 (en) * 1996-05-15 2004-10-26 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US20040262635A1 (en) * 2003-06-24 2004-12-30 Sang-Yun Lee Three-dimensional integrated circuit structure and method of making same
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20060121690A1 (en) * 2002-12-20 2006-06-08 Pogge H B Three-dimensional device fabrication method
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6683916B1 (en) 2002-07-17 2004-01-27 Philippe Jean-Marc Sartori Adaptive modulation/coding and power allocation system
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4268846A (en) * 1978-12-22 1981-05-19 Eaton Corporation Integrated gate turn-off device with lateral regenerative portion and vertical non-regenerative power portion
US4939568A (en) * 1986-03-20 1990-07-03 Fujitsu Limited Three-dimensional integrated circuit and manufacturing method thereof
US4829018A (en) * 1986-06-27 1989-05-09 Wahlstrom Sven E Multilevel integrated circuits employing fused oxide layers
US4704785A (en) * 1986-08-01 1987-11-10 Texas Instruments Incorporated Process for making a buried conductor by fusing two wafers
US5093704A (en) * 1986-09-26 1992-03-03 Canon Kabushiki Kaisha Semiconductor device having a semiconductor region in which a band gap being continuously graded
US4732312A (en) * 1986-11-10 1988-03-22 Grumman Aerospace Corporation Method for diffusion bonding of alloys having low solubility oxides
US4854986A (en) * 1987-05-13 1989-08-08 Harris Corporation Bonding technique to join two or more silicon wafers
US5106775A (en) * 1987-12-10 1992-04-21 Hitachi, Ltd. Process for manufacturing vertical dynamic random access memories
US4982266A (en) * 1987-12-23 1991-01-01 Texas Instruments Incorporated Integrated circuit with metal interconnecting layers above and below active circuitry
US5091762A (en) * 1988-07-05 1992-02-25 Kabushiki Kaisha Toshiba Semiconductor memory device with a 3-dimensional structure
US5087585A (en) * 1989-07-11 1992-02-11 Nec Corporation Method of stacking semiconductor substrates for fabrication of three-dimensional integrated circuit
US5324980A (en) * 1989-09-22 1994-06-28 Mitsubishi Denki Kabushiki Kaisha Multi-layer type semiconductor device with semiconductor element layers stacked in opposite direction and manufacturing method thereof
US5152857A (en) * 1990-03-29 1992-10-06 Shin-Etsu Handotai Co., Ltd. Method for preparing a substrate for semiconductor devices
US5047979A (en) * 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5371037A (en) * 1990-08-03 1994-12-06 Canon Kabushiki Kaisha Semiconductor member and process for preparing semiconductor member
US5374581A (en) * 1991-07-31 1994-12-20 Canon Kabushiki Kaisha Method for preparing semiconductor member
US5355022A (en) * 1991-09-10 1994-10-11 Mitsubishi Denki Kabushiki Kaisha Stacked-type semiconductor device
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
US5266511A (en) * 1991-10-02 1993-11-30 Fujitsu Limited Process for manufacturing three dimensional IC's
US5250460A (en) * 1991-10-11 1993-10-05 Canon Kabushiki Kaisha Method of producing semiconductor substrate
US5277748A (en) * 1992-01-31 1994-01-11 Canon Kabushiki Kaisha Semiconductor device substrate and process for preparing the same
US5308782A (en) * 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5265047A (en) * 1992-03-09 1993-11-23 Monolithic System Technology High density SRAM circuit with single-ended memory cells
US5695557A (en) * 1993-12-28 1997-12-09 Canon Kabushiki Kaisha Process for producing a semiconductor substrate
US5980633A (en) * 1993-12-28 1999-11-09 Canon Kabushiki Kaisha Process for producing a semiconductor substrate
US5554870A (en) * 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5612231A (en) * 1994-05-09 1997-03-18 Motorola Method of fabricating an electro-optic integrated circuit having light emitting diodes
US5563084A (en) * 1994-09-22 1996-10-08 Fraunhofer-Gesellschaft zur F orderung der angewandten Forschung e.V. Method of making a three-dimensional integrated circuit
US5829026A (en) * 1994-11-22 1998-10-27 Monolithic System Technology, Inc. Method and structure for implementing a cache memory using a DRAM array
US5737748A (en) * 1995-03-15 1998-04-07 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
US5937312A (en) * 1995-03-23 1999-08-10 Sibond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator wafers
US5854123A (en) * 1995-10-06 1998-12-29 Canon Kabushiki Kaisha Method for producing semiconductor substrate
US5617991A (en) * 1995-12-01 1997-04-08 Advanced Micro Devices, Inc. Method for electrically conductive metal-to-metal bonding
US5892225A (en) * 1996-01-09 1999-04-06 Oki Electric Industry Co., Ltd. Method of preparing a plan-view sample of an integrated circuit for transmission electron microscopy, and methods of observing the sample
US6103597A (en) * 1996-04-11 2000-08-15 Commissariat A L'energie Atomique Method of obtaining a thin film of semiconductor material
US6809009B2 (en) * 1996-05-15 2004-10-26 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US20030224582A1 (en) * 1996-08-27 2003-12-04 Seiko Epson Corporation Exfoliating method, transferring method of thin film device, and thin film device, thin film integrated circuit device, and liquid crystal display device produced by the same
US6555901B1 (en) * 1996-10-04 2003-04-29 Denso Corporation Semiconductor device including eutectic bonding portion and method for manufacturing the same
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US6534382B1 (en) * 1996-12-18 2003-03-18 Canon Kabushiki Kaisha Process for producing semiconductor article
US6222251B1 (en) * 1997-01-27 2001-04-24 Texas Instruments Incorporated Variable threshold voltage gate electrode for higher performance mosfets
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US20040147077A1 (en) * 1997-04-10 2004-07-29 Kozo Watanabe Semiconductor integrated circuitry and method for manufacturing the circuitry
US5866511A (en) * 1997-05-15 1999-02-02 Great Lakes Chemical Corporation Stabilized solutions of bromonitromethane and their use as biocides
US5998808A (en) * 1997-06-27 1999-12-07 Sony Corporation Three-dimensional integrated circuit device and its manufacturing method
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6009496A (en) * 1997-10-30 1999-12-28 Winbond Electronics Corp. Microcontroller with programmable embedded flash memory
US6380099B2 (en) * 1998-01-14 2002-04-30 Canon Kabushiki Kaisha Porous region removing method and semiconductor substrate manufacturing method
US6417108B1 (en) * 1998-02-04 2002-07-09 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
US20030205480A1 (en) * 1998-02-26 2003-11-06 Kiyofumi Sakaguchi Anodizing method and apparatus and semiconductor substrate manufacturing method
US6627518B1 (en) * 1998-02-27 2003-09-30 Seiko Epson Corporation Method for making three-dimensional device
US6822233B2 (en) * 1998-03-02 2004-11-23 Hitachi, Ltd. Method and apparatus for scanning transmission electron microscopy
US6531697B1 (en) * 1998-03-02 2003-03-11 Hitachi, Ltd. Method and apparatus for scanning transmission electron microscopy
US6153495A (en) * 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6331468B1 (en) * 1998-05-11 2001-12-18 Lsi Logic Corporation Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US6229161B1 (en) * 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
US6380046B1 (en) * 1998-06-22 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6423614B1 (en) * 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6630713B2 (en) * 1998-11-10 2003-10-07 Micron Technology, Inc. Low temperature silicon wafer bond process with bulk material bond strength
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6259623B1 (en) * 1999-06-17 2001-07-10 Nec Corporation Static random access memory (SRAM) circuit
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6653209B1 (en) * 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
US6391658B1 (en) * 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
US20030102079A1 (en) * 2000-01-17 2003-06-05 Edvard Kalvesten Method of joining components
US20030119279A1 (en) * 2000-03-22 2003-06-26 Ziptronix Three dimensional device integration method and integrated device
US20020024140A1 (en) * 2000-03-31 2002-02-28 Takashi Nakajima Semiconductor device
US6635552B1 (en) * 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US6638834B2 (en) * 2000-06-12 2003-10-28 Micron Technology, Inc. Methods of forming semiconductor constructions
US6677204B2 (en) * 2000-08-14 2004-01-13 Matrix Semiconductor, Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US20030139011A1 (en) * 2000-08-14 2003-07-24 Matrix Semiconductor, Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US20020153354A1 (en) * 2000-08-18 2002-10-24 Norby Robert V. Rail welderhead shear apparatus
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US20020025604A1 (en) * 2000-08-30 2002-02-28 Sandip Tiwari Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US20040012016A1 (en) * 2000-10-10 2004-01-22 Ian Underwood Optoelectronic device
US6535411B2 (en) * 2000-12-27 2003-03-18 Intel Corporation Memory module and computer system comprising a memory module
US6621168B2 (en) * 2000-12-28 2003-09-16 Intel Corporation Interconnected circuit board assembly and system
US6774010B2 (en) * 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US20020141233A1 (en) * 2001-03-29 2002-10-03 Keiji Hosotani Semiconductor memory device including memory cell portion and peripheral circuit portion
US6742067B2 (en) * 2001-04-20 2004-05-25 Silicon Integrated System Corp. Personal computer main board for mounting therein memory module
US20030113963A1 (en) * 2001-07-24 2003-06-19 Helmut Wurzer Method for fabricating an integrated semiconductor circuit
US6806171B1 (en) * 2001-08-24 2004-10-19 Silicon Wafer Technologies, Inc. Method of producing a thin layer of crystalline material
US20030067043A1 (en) * 2001-10-07 2003-04-10 Guobiao Zhang Three-dimensional memory
US20040155301A1 (en) * 2001-10-07 2004-08-12 Guobiao Zhang Three-dimensional-memory-based self-test integrated circuits and methods
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6751113B2 (en) * 2002-03-07 2004-06-15 Netlist, Inc. Arrangement of integrated circuits in a memory module
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20040131233A1 (en) * 2002-06-17 2004-07-08 Dorin Comaniciu System and method for vehicle detection and tracking
US6787920B2 (en) * 2002-06-25 2004-09-07 Intel Corporation Electronic circuit board manufacturing process and associated apparatus
US20040160849A1 (en) * 2002-08-02 2004-08-19 Darrell Rinerson Line drivers that fit within a specified line pitch
US20040113207A1 (en) * 2002-12-11 2004-06-17 International Business Machines Corporation Vertical MOSFET SRAM cell
US20060121690A1 (en) * 2002-12-20 2006-06-08 Pogge H B Three-dimensional device fabrication method
US20040156233A1 (en) * 2003-02-10 2004-08-12 Arup Bhattacharyya TFT-based random access memory cells comprising thyristors
US20040262635A1 (en) * 2003-06-24 2004-12-30 Sang-Yun Lee Three-dimensional integrated circuit structure and method of making same
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same

Cited By (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090267233A1 (en) * 1996-11-04 2009-10-29 Sang-Yun Lee Bonded semiconductor structure and method of making the same
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US20110053332A1 (en) * 2003-06-24 2011-03-03 Sang-Yun Lee Semiconductor circuit
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US20050280154A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor memory device
US20110003438A1 (en) * 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US8866194B2 (en) 2006-09-28 2014-10-21 Semiconductor Components Industries, Llc Semiconductor device
US20080078998A1 (en) * 2006-09-28 2008-04-03 Sanyo Electric Co., Ltd. Semiconductor device
US8416615B2 (en) 2008-07-10 2013-04-09 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
US8199563B2 (en) 2008-07-10 2012-06-12 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
US7974119B2 (en) 2008-07-10 2011-07-05 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
US8815618B2 (en) * 2008-08-29 2014-08-26 Tsmc Solid State Lighting Ltd. Light-emitting diode on a conductive substrate
US20100055818A1 (en) * 2008-08-29 2010-03-04 Ding-Yuan Chen Light-Emitting Diode on a Conductive Substrate
US9117943B2 (en) 2008-08-29 2015-08-25 Tsmc Solid State Lighting Ltd. Semiconductor package with through silicon vias
US7936580B2 (en) 2008-10-20 2011-05-03 Seagate Technology Llc MRAM diode array and access method
US8289746B2 (en) 2008-10-20 2012-10-16 Seagate Technology Llc MRAM diode array and access method
US9030867B2 (en) 2008-10-20 2015-05-12 Seagate Technology Llc Bipolar CMOS select device for resistive sense memory
US8514605B2 (en) 2008-10-20 2013-08-20 Seagate Technology Llc MRAM diode array and access method
US8199558B2 (en) 2008-10-30 2012-06-12 Seagate Technology Llc Apparatus for variable resistive memory punchthrough access method
KR101254402B1 (en) 2008-10-30 2013-04-15 마이크론 테크놀로지, 인크. Memory devices and formation methods
CN102197484A (en) * 2008-10-30 2011-09-21 美光科技公司 Memory devices and formation methods
US8098510B2 (en) 2008-10-30 2012-01-17 Seagate Technology Llc Variable resistive memory punchthrough access method
US7858468B2 (en) 2008-10-30 2010-12-28 Micron Technology, Inc. Memory devices and formation methods
US7936583B2 (en) 2008-10-30 2011-05-03 Seagate Technology Llc Variable resistive memory punchthrough access method
US8508981B2 (en) 2008-10-30 2013-08-13 Seagate Technology Llc Apparatus for variable resistive memory punchthrough access method
US8164081B2 (en) 2008-10-30 2012-04-24 Micron Technology, Inc. Memory devices and formation methods
US9190265B2 (en) 2008-10-30 2015-11-17 Micron Technology, Inc. Memory devices and formation methods
US20100108970A1 (en) * 2008-10-30 2010-05-06 Jun Liu Memory Devices and Formation Methods
US8455853B2 (en) 2008-10-30 2013-06-04 Micron Technology, Inc. Memory devices and formation methods
US20110062406A1 (en) * 2008-10-30 2011-03-17 Micron Technology, Inc. Memory Devices and Formation Methods
WO2010096094A1 (en) * 2008-10-30 2010-08-26 Micron Technology, Inc. Memory devices and formation methods
US8729520B2 (en) 2008-10-30 2014-05-20 Micron Technology, Inc. Memory devices and formation methods
US7961497B2 (en) 2008-10-30 2011-06-14 Seagate Technology Llc Variable resistive memory punchthrough access method
US8072014B2 (en) 2008-11-07 2011-12-06 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US7935619B2 (en) 2008-11-07 2011-05-03 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US8508980B2 (en) 2008-11-07 2013-08-13 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US8178864B2 (en) 2008-11-18 2012-05-15 Seagate Technology Llc Asymmetric barrier diode
US8203869B2 (en) 2008-12-02 2012-06-19 Seagate Technology Llc Bit line charge accumulation sensing for resistive changing memory
US8638597B2 (en) 2008-12-02 2014-01-28 Seagate Technology Llc Bit line charge accumulation sensing for resistive changing memory
CN102365762A (en) * 2009-03-27 2012-02-29 波音公司 Solar cell assembly with combined handle substrate and bypass diode and method
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9412645B1 (en) 2009-04-14 2016-08-09 Monolithic 3D Inc. Semiconductor devices and structures
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8987079B2 (en) 2009-04-14 2015-03-24 Monolithic 3D Inc. Method for developing a custom device
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8514608B2 (en) 2009-07-07 2013-08-20 Seagate Technology Llc Bipolar select device for resistive sense memory
US8159856B2 (en) 2009-07-07 2012-04-17 Seagate Technology Llc Bipolar select device for resistive sense memory
US8198181B1 (en) 2009-07-13 2012-06-12 Seagate Technology Llc Schottky diode switch and memory units containing the same
US8208285B2 (en) 2009-07-13 2012-06-26 Seagate Technology Llc Vertical non-volatile switch with punchthrough access and method of fabrication therefor
US8896070B2 (en) 2009-07-13 2014-11-25 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US20110170335A1 (en) * 2009-07-13 2011-07-14 Seagate Technology Llc Vertical Non-Volatile Switch with Punchthrough Access and Method of Fabrication Therefor
US8288749B2 (en) 2009-07-13 2012-10-16 Seagate Technology Llc Schottky diode switch and memory units containing the same
US20110007547A1 (en) * 2009-07-13 2011-01-13 Seagate Technology Llc Vertical Non-Volatile Switch with Punchthrough Access and Method of Fabrication Therefor
US8183126B2 (en) 2009-07-13 2012-05-22 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US8158964B2 (en) 2009-07-13 2012-04-17 Seagate Technology Llc Schottky diode switch and memory units containing the same
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9406670B1 (en) 2009-10-12 2016-08-02 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8907442B2 (en) 2009-10-12 2014-12-09 Monolthic 3D Inc. System comprising a semiconductor device and structure
US8664042B2 (en) 2009-10-12 2014-03-04 Monolithic 3D Inc. Method for fabrication of configurable systems
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8846463B1 (en) 2010-02-16 2014-09-30 Monolithic 3D Inc. Method to construct a 3D semiconductor device
US9564432B2 (en) 2010-02-16 2017-02-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9012292B2 (en) 2010-07-02 2015-04-21 Sang-Yun Lee Semiconductor memory device and method of fabricating the same
US8912052B2 (en) 2010-07-30 2014-12-16 Monolithic 3D Inc. Semiconductor device and structure
EP2599112A4 (en) * 2010-07-30 2017-07-26 MonolithIC 3D S.A. Semiconductor device and structure
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8703597B1 (en) 2010-09-30 2014-04-22 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9419031B1 (en) 2010-10-07 2016-08-16 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US8956959B2 (en) 2010-10-11 2015-02-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device with two monocrystalline layers
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US9818800B2 (en) 2010-10-11 2017-11-14 Monolithic 3D Inc. Self aligned semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11374042B1 (en) 2010-10-13 2022-06-28 Monolithic 3D Inc. 3D micro display semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8823122B2 (en) 2010-10-13 2014-09-02 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US9136153B2 (en) 2010-11-18 2015-09-15 Monolithic 3D Inc. 3D semiconductor device and structure with back-bias
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8648426B2 (en) 2010-12-17 2014-02-11 Seagate Technology Llc Tunneling transistors
CN102593316A (en) * 2011-01-13 2012-07-18 三星Led株式会社 Wafer level light-emitting device package and method of manufacturing the same
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
EP2551898A1 (en) 2011-07-28 2013-01-30 Soitec Method for curing defects in a semiconductor layer
US8603896B2 (en) 2011-07-28 2013-12-10 Soitec Method for transferring a monocrystalline semiconductor layer onto a support substrate
US8993461B2 (en) 2011-07-28 2015-03-31 Soitec Method for curing defects in a semiconductor layer
EP2551897A1 (en) 2011-07-28 2013-01-30 Soitec Method for transferring a monocrystalline semiconductor layer onto a support substrate
US9030858B2 (en) 2011-10-02 2015-05-12 Monolithic 3D Inc. Semiconductor device and structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US9305867B1 (en) 2012-04-09 2016-04-05 Monolithic 3D Inc. Semiconductor devices and structures
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US8836073B1 (en) 2012-04-09 2014-09-16 Monolithic 3D Inc. Semiconductor device and structure
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8921970B1 (en) 2012-12-22 2014-12-30 Monolithic 3D Inc Semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9252134B2 (en) 2012-12-22 2016-02-02 Monolithic 3D Inc. Semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9460991B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US9460978B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9911627B1 (en) 2012-12-29 2018-03-06 Monolithic 3D Inc. Method of processing a semiconductor device
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11515413B2 (en) 2013-03-11 2022-11-29 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11121246B2 (en) 2013-03-11 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US10964807B2 (en) 2013-03-11 2021-03-30 Monolithic 3D Inc. 3D semiconductor device with memory
US11004967B1 (en) 2013-03-11 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10355121B2 (en) 2013-03-11 2019-07-16 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US9496271B2 (en) 2013-03-11 2016-11-15 Monolithic 3D Inc. 3DIC system with a two stable state memory and back-bias region
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US10643836B2 (en) * 2013-07-18 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Bonded semiconductor structures
US11335553B2 (en) 2013-07-18 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Bonded semiconductor structures
CN103647012A (en) * 2013-12-20 2014-03-19 中国科学院半导体研究所 Chip transfer method for LED (light-emitting diode) wafer level package
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
WO2022226810A1 (en) * 2021-04-27 2022-11-03 华为技术有限公司 Chip stacking structure comprising vertical pillar transistor
US11961827B1 (en) 2023-12-23 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers

Also Published As

Publication number Publication date
US20080038902A1 (en) 2008-02-14
US7718508B2 (en) 2010-05-18

Similar Documents

Publication Publication Date Title
US7718508B2 (en) Semiconductor bonding and layer transfer method
US8779597B2 (en) Semiconductor device with base support structure
US7863748B2 (en) Semiconductor circuit and method of fabricating the same
US7800199B2 (en) Semiconductor circuit
US7410884B2 (en) 3D integrated circuits using thick metal for backside connections and offset bumps
US7632738B2 (en) Wafer bonding method
US7888764B2 (en) Three-dimensional integrated circuit structure
US8071438B2 (en) Semiconductor circuit
CN100481421C (en) Method for fabricating semiconductor package
US6645832B2 (en) Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
US8367524B2 (en) Three-dimensional integrated circuit structure
US11887841B2 (en) Semiconductor packages
JP4979320B2 (en) Semiconductor wafer, manufacturing method thereof, and manufacturing method of semiconductor device
US20100190334A1 (en) Three-dimensional semiconductor structure and method of manufacturing the same
US20090325343A1 (en) Bonded semiconductor structure and method of fabricating the same
CN102651355A (en) Integrated circuits including conductive structures through a substrate and methods of making the same
JP2012501077A (en) A semiconductor device including a stress relaxation gap to enhance chip-package interaction stability.
WO2005086216A1 (en) Semiconductor element and semiconductor element manufacturing method
US8097525B2 (en) Vertical through-silicon via for a semiconductor structure
US11424248B2 (en) Bitline structure for three-dimensional integrated circuit and method of forming the same
US20210210460A1 (en) Methods for multi-wafer stacking and dicing
US20240088037A1 (en) Integrated circuit chip with backside power delivery and multiple types of backside to frontside vias
US20230352406A1 (en) Stacked semiconductor devices with topside and backside interconnect wiring
US20230307364A1 (en) Stacked device with backside power distribution network and method of manufacturing the same
US20240071989A1 (en) Semiconductor device circuitry formed from remote reservoirs

Legal Events

Date Code Title Description
AS Assignment

Owner name: BESANG, INC., OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LEE, SANG-YUN;REEL/FRAME:025695/0105

Effective date: 20101215

AS Assignment

Owner name: DAEHONG TECHNEW CORPORATION, KOREA, REPUBLIC OF

Free format text: SECURITY AGREEMENT;ASSIGNOR:BESANG INC.;REEL/FRAME:030373/0668

Effective date: 20130507

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: BESANG INC., OREGON

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:DAEHONG TECHNEW CORPORATION;REEL/FRAME:045658/0353

Effective date: 20180427