US20050239252A1 - Methods of forming integrated circuit devices having field effect transistors of different types in different device regions - Google Patents

Methods of forming integrated circuit devices having field effect transistors of different types in different device regions Download PDF

Info

Publication number
US20050239252A1
US20050239252A1 US11/110,167 US11016705A US2005239252A1 US 20050239252 A1 US20050239252 A1 US 20050239252A1 US 11016705 A US11016705 A US 11016705A US 2005239252 A1 US2005239252 A1 US 2005239252A1
Authority
US
United States
Prior art keywords
cell
layer
effect transistor
forming
planar field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/110,167
Other versions
US7566619B2 (en
Inventor
Young-Joon Ahn
Dong-gun Park
Choong-ho Lee
Hee-Soo Kang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AHN, YOUNG-JOON, KANG, HEE-SOO, LEE, CHOONG-HO, PARK, DONG-GUN
Publication of US20050239252A1 publication Critical patent/US20050239252A1/en
Application granted granted Critical
Publication of US7566619B2 publication Critical patent/US7566619B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B21MECHANICAL METAL-WORKING WITHOUT ESSENTIALLY REMOVING MATERIAL; PUNCHING METAL
    • B21CMANUFACTURE OF METAL SHEETS, WIRE, RODS, TUBES OR PROFILES, OTHERWISE THAN BY ROLLING; AUXILIARY OPERATIONS USED IN CONNECTION WITH METAL-WORKING WITHOUT ESSENTIALLY REMOVING MATERIAL
    • B21C49/00Devices for temporarily accumulating material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65HHANDLING THIN OR FILAMENTARY MATERIAL, e.g. SHEETS, WEBS, CABLES
    • B65H29/00Delivering or advancing articles from machines; Advancing articles to or into piles
    • B65H29/26Delivering or advancing articles from machines; Advancing articles to or into piles by dropping the articles
    • B65H29/30Delivering or advancing articles from machines; Advancing articles to or into piles by dropping the articles from magnetic holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Definitions

  • the present invention relates to semiconductor devices, and more particularly, to methods of forming field effect transistors on a semiconductor substrate.
  • FinFETs fin field-effect transistors
  • FIG. 1 is a cross-sectional view illustrating exemplary methods of fabricating FinFETs as described in U.S. Pat. No. 6,642,090.
  • a semiconductor substrate 200 is formed.
  • Hard mask patterns 224 are formed on the semiconductor substrate 200 .
  • the semiconductor substrate 200 is selectively etched using the hard mask patterns 224 as etching masks. As a result, portions of the semiconductor substrate 200 that are exposed by the hard mask patterns 224 are selectively recessed.
  • the portions of the semiconductor substrate 200 that remain after the selective etching process is performed form fins 210 . Trenches are formed on either side of each fin 210 . Thereafter, the semiconductor substrate 200 including the fins 210 is subjected to an ion implantation process. The fins 210 are protected from the ion implantation due to the hard mask patterns 224 formed thereon.
  • the semiconductor substrate 200 is thermally oxidized to form oxide layers on bottom surfaces of the trenches between the fins 210 .
  • oxide layers are also formed on sidewalls of the fins 210 .
  • the oxide layers on the bottom surfaces of the trenches may be about five times thicker than the oxide layers on the sidewalls of the fins 210 .
  • the thicker oxide layers form lower isolation layers 214 .
  • An inversion layer channel may be formed in a portion of each fin 210 .
  • the width of the inversion layer channel may be defined by the lower isolation layers 214 .
  • the oxide layers formed on the sidewalls of the fins 210 are then removed, and gate dielectric layers 220 are formed on the sidewalls of the fins 210 .
  • Gate electrodes 222 are then formed on sidewalls and upper surfaces of the fins 210 . Drain regions (and drain electrodes) and source regions (and source electrodes) are respectively formed at opposite ends of the fins 210 , thereby completing the FinFET.
  • an inversion layer channel is formed in the channel region of the fin 210 , and current flows between the drain region and the source region. In other words, current flows through the wall-shaped fin 210 in a longitudinal direction.
  • the channel region of the fin 210 may be formed to a width that is less than two times the maximum width of the depletion region. As such, when a voltage less than a threshold voltage of the device is applied to the gate electrode 222 , the channel region may become completely depleted, which may improve susceptibility to short channel effects.
  • many semiconductor devices may include a cell array region and a peripheral circuit region in a single wafer.
  • the cell array region may include transistors, such as FinFETs, that have similar operational characteristics.
  • the peripheral circuit region may include transistors having different operational characteristics. For example, some transistors may be used as differential amplifiers, while others may be used as drivers.
  • channel regions of different sizes may be required. For example, when the width of the channel region is more than twice the maximum depletion width for higher voltages, the channel region may not achieve a complete depletion state. Accordingly, a FinFET may be more susceptible to short channel effects as the width of the channel region (and therefore, the height of the fin) is increased.
  • a method of forming an integrated circuit device may include forming a non-planar field-effect transistor selected from the group of a FinFET and a recessed gate FET in a cell array portion of a semiconductor substrate, and forming a planar field-effect transistor in a peripheral circuit portion of the semiconductor substrate. Dopants may be implanted into a channel region of the non-planar field-effect transistor, and then a cell protection layer may be formed on the non-planar field-effect transistor. Then, dopants may be selectively implanted into a channel region of the planar field-effect transistor using the cell protection layer as a mask to block implanting of the dopants into the channel region of the non-planar field-effect transistor.
  • the non-planar field-effect transistor may be a FinFET, and forming the non-planar field-effect transistor may include forming a fin-shaped active region vertically protruding from the substrate.
  • the non-planar field-effect transistor may be a recessed gate FET, and forming the non-planar field-effect transistor may include forming a trench in an active region in the cell array portion of the substrate.
  • implanting dopants into the channel region of the non-planar field-effect transistor may include forming a mask layer on the non-planar and planar field-effect transistors.
  • the mask layer may be selectively removed to expose at least a portion of the channel region of the non-planar field-effect transistor, and then dopants may be selectively implanted into the channel region of the non-planar field-effect transistor using the mask layer as an implantation mask and using an ion implantation process at a first implantation energy level.
  • selectively implanting dopants into the channel region of the planar field-effect transistor may include selectively removing the mask layer to expose at least a portion of the channel region of the planar field-effect transistor. Then, dopants may be selectively implanted into the channel region of the planar field-effect transistor using the cell protection layer as an implantation mask and using an ion implantation process at a second implantation energy level that is different from the first implantation energy level.
  • the cell protection layer may be formed of a plasma oxide layer
  • the mask layer may include a silicon nitride polish stop layer and a silicon oxide hard mask layer stacked thereon.
  • implanting dopants into the channel region of the non-planar field-effect transistor may include simultaneously implanting dopants into the channel regions of the planar and non-planar field-effect transistors using an ion implantation process at a first implantation energy level.
  • selectively implanting dopants into the channel region of the planar field-effect transistor may include selectively implanting dopants into the channel region of the planar field-effect transistor using the cell protection layer as an implantation mask and using an ion implantation process at the first implantation energy level or at a second implantation energy level that is different from the first implantation energy level.
  • the method of forming the integrated circuit device may further include forming a first gate dielectric layer on the channel region of the non-planar field-effect transistor after selectively implanting dopants into the channel region of the non-planar field-effect transistor and prior to forming the cell protection layer.
  • a first gate conductive layer may then be formed on the channel region of the non-planar field-effect transistor to define an insulated cell gate electrode.
  • forming a first gate conductive layer may include forming the first gate conductive layer on the planar and non-planar field-effect transistors, and then removing the first gate conductive layer from the planar field-effect transistor to define the insulated cell gate electrode.
  • the method of forming the integrated circuit device may further include forming a second gate dielectric layer on the channel region of the planar field-effect transistor after selectively implanting dopants into the channel region of the planar field-effect transistor.
  • a second gate conductive layer may be formed on the channel region of the planar field-effect transistor to define an insulated peripheral gate electrode.
  • forming a second gate conductive layer may include forming the second gate conductive layer on the planar and non-planar field-effect transistors, and then removing the second gate conductive layer from the non-planar field-effect transistor to define the insulated peripheral gate electrode.
  • removing the first gate conductive layer may include planarizing the first gate conductive layer to expose the planar field-effect transistor. Also, removing the second gate conductive layer may include planarizing the second gate conductive layer and the cell protection layer to expose the non-planar field-effect transistor.
  • the first gate dielectric layer may be formed to a different thickness and/or of a different material than the second gate dielectric layer.
  • the first and second gate dielectric layers may include one of a silicon oxide layer and a layer having a higher dielectric constant than the silicon oxide layer.
  • the first gate dielectric layer may be formed of a silicon oxide layer using a thermal oxidization technique.
  • the first gate dielectric layer may be formed of a high-k dielectric layer or a silicon oxide layer using an atomic layer deposition (ALD) technique.
  • the second gate dielectric layer may be formed of a silicon oxide layer using a thermal oxidization technique.
  • the second gate dielectric layer may be formed of a high-k dielectric layer or a silicon oxide layer using an atomic layer deposition (ALD) technique.
  • an integrated circuit device may be formed according to the above method.
  • a method of forming a semiconductor device may include preparing a semiconductor substrate having a cell region and a peripheral circuit region.
  • a trench isolation layer may be formed in a predetermined region of the semiconductor substrate to define a cell active region in the cell region and a peripheral active region in the peripheral circuit region.
  • the cell active region may have a width smaller than the peripheral active region.
  • a mask layer may be formed on the trench isolation layer and the active regions and patterned to form a cell gate opening on the cell active region.
  • the trench isolation layer may be etched using the patterned mask layer as an etch mask to form a cell gate groove partially exposing sidewalls and an upper surface of the cell active region.
  • An insulated cell gate electrode may then be formed to fill the cell gate groove, and a cell gate protection layer may be formed on the cell gate electrode.
  • the cell gate protection layer and the patterned mask layer may be etched to form a peripheral gate opening on the peripheral active region; and an insulated peripheral gate electrode may be formed in the peripheral gate opening.
  • a method of forming a semiconductor device may include preparing a semiconductor substrate having a cell region and a peripheral circuit region.
  • a trench isolation layer may be formed in a predetermined region of the semiconductor substrate to define a cell active region in the cell region and a peripheral active region in the peripheral circuit region.
  • the cell active region may have a width smaller than the peripheral active region.
  • a mask layer may be formed on the trench isolation layer and the active regions and patterned to form a cell gate opening on the cell active region.
  • the cell active region may be etched using the patterned mask layer as an etch mask to form a cell gate groove which partially recesses the cell active region, and an insulated cell gate electrode may be formed to fill the cell gate groove.
  • a cell gate protection layer may be formed on the cell gate electrode.
  • the cell gate protection layer and the patterned mask layer may be etched to form a peripheral gate opening on the peripheral active region, and an insulated peripheral gate electrode may be formed in the peripheral gate opening.
  • FIG. 1 is a cross-sectional view illustrating conventional methods of fabricating a FinFET.
  • FIG. 2 is a plan view of semiconductor devices according to some embodiments of the present invention.
  • FIGS. 3A to 11 D are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to some embodiments of the present invention.
  • FIGS. 12 to 20 are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to other embodiments of the present invention.
  • first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of the present invention.
  • relative terms such as “lower” or “bottom” and “upper” or “top,” may be used herein to describe one element's relationship to another elements as illustrated in the Figures. It will be understood that relative terms are intended to encompass different orientations of the device in addition to the orientation depicted in the Figures. For example, if the device in one of the figures is turned over, elements described as being on the “lower” side of other elements would then be oriented on “upper” sides of the other elements. The exemplary term “lower”, can therefore, encompasses both an orientation of “lower” and “upper,” depending of the particular orientation of the figure.
  • Embodiments of the invention are described herein with reference to cross-section illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of the invention. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments of the invention should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region.
  • a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place.
  • the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the invention.
  • FIG. 2 is a plan view of semiconductor devices according to some embodiments of the present invention
  • FIGS. 3A to 11 D are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to some embodiments of the present invention. More specifically, FIGS. 3A to 11 A are cross-sectional views taken along line I-I′ of FIG. 2 , and FIGS. 3B to 11 B are cross-sectional views taken along line II-II′ of FIG. 2 .
  • FIGS. 3C to 11 C are cross-sectional views taken along line III-III′ of FIG. 2
  • FIGS. 3D to 11 D are cross-sectional views taken along line IV-IV′ of FIG. 2 .
  • reference designators “C” and “P” denote cell regions and peripheral circuit regions, respectively.
  • a predetermined region of a semiconductor substrate 11 is selectively etched to form a trench region.
  • the trench region defines a cell active region 13 in cell array region C and a peripheral active region 15 in peripheral circuit region P.
  • the cell active region 13 is formed to have a first width W 1
  • the peripheral active region 15 is formed to have a second width W 2 that is greater than the first width W 1 .
  • a trench isolation layer 12 is formed in the trench region using conventional techniques.
  • the trench isolation layer 12 may be a high-density plasma oxide layer having excellent gap-filling characteristics.
  • the peripheral active region 15 may be formed to be suitable for planar transistors.
  • planar transistors may include transistors having source, drain, and channel regions formed in the plane of a substrate surface, and a gate electrode formed on the substrate surface.
  • planar transistors may be used, for example, as differential amplifiers and/or drivers.
  • the cell active region 13 may be formed to be suitable for non-planar transistors, such as for use as memory cells.
  • non-planar transistors may include transistors such as FinFETs, recessed gate transistors, and/or other transistor types that may not be planar transistors.
  • a mask layer is then formed on the semiconductor substrate 11 including the trench isolation layer 12 .
  • the mask layer includes a polish stop layer 18 and a hard mask layer 19 which are sequentially stacked on the semiconductor substrate 11 including the trench isolation layer 12 .
  • the polish stop layer 18 may be a silicon nitride layer, and the hard mask layer 19 may be a silicon oxide layer.
  • a buffer layer 17 may be formed on the substrate 11 .
  • the buffer layer 17 may relieve physical stress between the polish stop layer 18 and the active regions 13 and 15 .
  • the buffer layer 17 may be formed of a silicon oxide layer, such as a thermal oxide layer.
  • the mask layer is then patterned to form a cell gate opening 20 which crosses over the cell active region 13 .
  • the patterned mask layer includes the buffer layer 17 , the polish stop layer 18 , and the hard mask layer 19 .
  • the cell gate opening 20 crosses over a portion of the cell active region 13 and extends to upper surfaces of the trench isolation layers 12 adjacent each side of the cell active region 13 .
  • the trench isolation layer 12 is dry etched using the patterned mask layer as an etching mask.
  • the dry etching process may have an etching selectivity between silicon, which forms the cell active region 13 , and silicon oxide, which forms the trench isolation layer 12 .
  • a portion 12 a of the trench isolation layer 12 that is exposed by the cell gate opening 20 is recessed to form a cell gate groove 20 a .
  • a fin having a cell channel region 13 a is thereby defined in the cell active region 13 by the cell gate groove 20 a .
  • the hard mask layer 19 may also be removed at this time.
  • Dopants may be introduced into the semiconductor substrate 11 including the cell channel region 13 a , for example, by ion implantation. Since the remaining portion of the cell active region 13 (other than the cell channel region 13 a ) and the peripheral active region 15 are covered by the mask layer, ions are not implanted into the cell active region 13 and/or the peripheral active region 15 . In other words, the cell channel region 13 a is selectively doped using the mask layer as an implantation mask. Ions may be implanted into the cell channel region 13 a at various angles using various ion implantation energies.
  • a cell gate dielectric layer 21 is formed on an upper surface and sidewalls of the fin including the cell channel region 13 a .
  • the cell gate dielectric layer 21 may be a silicon oxide layer formed by a thermal oxidation technique.
  • the cell gate dielectric layer 21 may be a silicon oxide layer or a high-k dielectric layer formed by an atomic layer deposition (ALD) technique.
  • a cell gate conductive layer 22 is then formed on the semiconductor substrate 11 including the cell gate dielectric layer 21 .
  • the cell gate conductive layer 22 may be a polysilicon layer.
  • the cell gate conductive layer 22 is planarized to form a cell gate electrode 22 a .
  • the planarization process may be performed using a chemical mechanical polishing (CMP) technique which may employ the polish stop layer 18 as a stopper.
  • CMP chemical mechanical polishing
  • a cell gate protection layer 29 is formed on the semiconductor substrate 11 including the cell gate electrode 22 a .
  • the cell gate protection layer 29 is deposited to cover the cell gate electrode 22 a and the polish stop layer 18 .
  • the cell gate protection layer 29 may be formed of a high density plasma oxide layer.
  • the cell gate protection layer 29 is patterned to form a cell gate protection layer pattern 29 a covering the cell gate electrode 22 a and a portion of the polish stop layer 18 . Then, the exposed portion of the polish stop layer 18 is etched using the cell gate protection layer pattern 29 a as an etch mask to thereby form a peripheral gate opening 30 .
  • the peripheral gate opening 30 defines a peripheral channel region 15 a which crosses over a portion of the peripheral active region 15 . As such, an upper surface of the peripheral channel region 15 a is exposed.
  • Dopants may be introduced into the semiconductor substrate 11 including the peripheral channel region 15 a , for example, using ion implantation. Ions may be implanted into the peripheral channel region 15 a through the peripheral gate opening 30 .
  • the peripheral channel region 15 a may be selectively doped using the cell protection layer 29 a as an implantation mask to block implanting of dopants into the cell active region 13 .
  • Ions may be implanted into the peripheral channel region 15 a at various angles using various ion implantation energies.
  • ions may be implanted into the peripheral channel region 15 a at a different implantation energy level than used for the cell channel region 13 a .
  • the cell and peripheral channel regions 13 a and 15 a may have different carrier concentrations.
  • a peripheral gate dielectric layer 31 is formed on the exposed portion of the peripheral channel region 15 a .
  • the peripheral gate dielectric layer 31 may be a silicon oxide layer formed by thermal oxidation.
  • the peripheral gate dielectric layer 31 may be a silicon oxide layer or a high-k dielectric layer formed by an ALD technique.
  • the peripheral gate dielectric layer 31 may have a different thickness than the cell gate dielectric layer 21 , which may be suitable for a peripheral circuit.
  • a peripheral gate conductive layer 32 is formed on the semiconductor substrate 11 including the peripheral gate dielectric layer 31 .
  • the peripheral gate conductive layer 32 may be a polysilicon layer.
  • the peripheral gate conductive layer 32 is planarized to form a peripheral gate electrode 32 a .
  • the planarization process may be performed using a CMP technique which employs the polish stop layer 18 as a stopper.
  • the cell gate protection layer pattern 29 a may also be removed. As a result, upper surfaces of the peripheral gate electrode 32 a and the cell gate electrode 22 a are exposed.
  • the polish stop layer 18 is etched to form an insulated cell gate electrode 22 a in cell array region C and an insulated peripheral gate electrode 32 a in peripheral circuit region P.
  • the cell active region 13 and the peripheral active region 15 are protected from etching damage.
  • source and drain regions are formed in the cell active region 13 and the peripheral active region 15 using the cell gate electrode 22 a and the peripheral gate electrode 32 a as a mask, and then an interlayer insulating layer is formed. Finally, source and drain electrodes are formed on the respective source and drain regions. As a result, a FinFET is formed in the cell array region C, and a planar FET is formed in the peripheral circuit region P.
  • FIGS. 12 to 20 are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to other embodiments of the present invention.
  • reference designations “C” and “P” denote cell array regions and peripheral circuit regions, respectively.
  • a predetermined region of a semiconductor substrate 51 is selectively etched to form a trench region.
  • the trench region defines a cell active region 53 in the cell array region C and a peripheral active region 55 in the peripheral circuit region P.
  • the cell active region 53 is formed to have a third width W 3
  • the peripheral active region 55 is formed to have a fourth width W 4 that is greater than the third width W 3 .
  • a trench isolation layer 52 is formed in the trench region using conventional techniques.
  • the trench isolation layer 52 may be formed of a high density plasma oxide layer having excellent gap filling characteristics.
  • Dopants may then be introduced into the semiconductor substrate 51 including the trench isolation layer 52 , such as by ion implantation.
  • ions may be simultaneously implanted into the cell active region 53 and the peripheral active region 55 .
  • a mask layer is then formed on the semiconductor substrate 51 including the trench isolation layer 52 .
  • the mask layer includes a polish stop layer 58 and a hard mask layer 59 which are sequentially stacked on the semiconductor substrate 51 including the trench isolation layer 52 .
  • the polish stop layer 58 may be a silicon nitride layer
  • the hard mask layer 59 may be a silicon oxide layer.
  • a buffer layer 57 may be formed before the polish stop layer 58 is formed.
  • the buffer layer 57 may relieve physical stress between the polish stop layer 58 and the active regions 53 and 55 .
  • the buffer layer 57 may be a silicon oxide layer, such as a thermal oxide layer.
  • the mask layer is then patterned to form a cell gate opening 60 above the cell active region 53 .
  • the buffer layer 57 , the polish stop layer 58 , and the hard mask layer 59 are sequentially patterned.
  • the cell active region 53 is etched using the patterned mask layer as an etch mask to form a cell gate groove 60 a . As a result, a portion of the cell active region 53 is recessed. At this time, the hard mask layer 59 may also be removed.
  • a cell gate dielectric layer 61 is formed on sidewalls and a bottom surface of the cell gate groove 60 a .
  • the cell gate dielectric layer 61 may be a silicon oxide layer formed by thermal oxidation.
  • the cell gate dielectric layer 61 may be a silicon oxide layer or a high-k dielectric layer formed by ALD.
  • a cell gate conductive layer 62 is formed on the semiconductor substrate 51 including the cell gate dielectric layer 61 .
  • the cell gate conductive layer 62 may be a polysilicon layer.
  • the cell gate conductive layer 62 is planarized to form a cell gate electrode 62 a .
  • the planarization process may be performed using a CMP technique which employs the polish stop layer 58 as a stopper.
  • a cell gate protection layer 69 is formed on the semiconductor substrate 51 including the cell gate electrode 62 a .
  • the cell gate protection layer 69 is deposited to cover the cell gate electrode 62 a and the polish stop layer 58 .
  • the cell gate protection layer 69 may be a high density plasma oxide layer.
  • the cell gate protection layer 69 is patterned to form a cell gate protection layer pattern 69 a , for example, using photolithography and/or etching processes. Then, the patterned mask layer is etched using the cell gate protection layer pattern 69 a as an etching mask to form a peripheral gate opening 70 which crosses over a portion of the peripheral active region 55 . As a result, an upper surface of a portion of the peripheral active region 55 is exposed.
  • Additional dopants may be introduced into a portion of the peripheral active region 55 through the peripheral gate opening 70 , for example, by ion implantation.
  • the peripheral active region 55 may be selectively doped using the cell protection layer 69 a as an implantation mask to block implanting into the cell active region 53 .
  • Ions may be implanted into the peripheral active region 55 at various angles using various ion implantation energies.
  • ions may be implanted into the peripheral active region 55 at the same implantation energy level as used to simultaneously implant the cell and peripheral active regions 53 and 55 in the prior doping step.
  • ions may be implanted into the peripheral active region 55 at a different implantation energy than used to simultaneously implant the cell and peripheral active regions 53 and 55 . Accordingly, the cell and peripheral active regions 53 and 55 may have different carrier concentrations.
  • a peripheral gate dielectric layer 71 is formed on the exposed portion of the peripheral active region 55 .
  • the peripheral gate dielectric layer 71 may be a silicon oxide layer formed by thermal oxidation.
  • the peripheral gate dielectric layer 71 may be a silicon oxide layer or a high-k dielectric layer formed by an ALD technique.
  • the peripheral gate dielectric layer 71 may be formed to a different thickness than the cell gate dielectric layer 61 to be suitable for a peripheral circuit.
  • a peripheral gate conductive layer 72 is then formed on the semiconductor substrate 51 including the peripheral gate dielectric layer 71 .
  • the peripheral gate conductive layer 72 may be formed of a polysilicon layer.
  • the peripheral gate conductive layer 72 is planarized to form a peripheral gate electrode 72 a .
  • the planarization process may be performed using a CMP technique which employs the polish stop layer 58 as a stopper.
  • the cell gate protection layer pattern 69 a may be removed altogether. As a result, upper surfaces of the peripheral gate electrode 72 a and the cell gate electrode 62 a are exposed.
  • the polish stop layer 58 is etched to complete the insulated cell gate electrode 62 a in cell array region C and the insulated peripheral gate electrode 72 a in peripheral circuit region P. During the etching of the polish stop layer 58 , the cell active region 53 and the peripheral active region 55 (which may be covered by the buffer layer 57 ) are protected from etching damage.
  • source and drain regions are formed in the cell active region 53 and the peripheral active region 55 using the cell gate electrode 62 a and the peripheral gate electrode 72 a as respective masks, and then an interlayer insulating layer is formed. Finally, source and drain electrodes are respectively formed on the source and drain regions. As a result, a recessed channel FET is formed in cell array region C, and a planar FET is formed in peripheral circuit region P.
  • different transistors may be sequentially formed in the cell array region and the peripheral circuit region of a semiconductor substrate.
  • a fin FET and/or a recessed channel transistor which may be suitable for higher device integration may be formed in the cell array region, and planar transistors suitable for various other uses may be formed in the peripheral region.
  • the carrier concentration of the peripheral channel region may be adjusted to be different from that of the cell channel region.
  • the peripheral gate dielectric layer may have a different thickness and may be formed of a different material than that of the cell channel region.

Abstract

A method of forming an integrated circuit device includes forming a non-planar field-effect transistor in a cell array portion of a semiconductor substrate and forming a planar field-effect transistor in a peripheral circuit portion of the semiconductor substrate. The non-planar field-effect transistor may be selected from the group of a FinFET and a recessed gate FET. Dopants may be implanted into a channel region of the non-planar field-effect transistor, and a cell protection layer may be formed on the non-planar field-effect transistor. Then, dopants may be selectively implanted into a channel region of the planar field-effect transistor using the cell protection layer as a mask to block implanting of the dopants into the channel region of the non-planar field-effect transistor.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority from Korean Patent Application No. 10-2004-0029118, filed Apr. 27, 2004, the contents of which are hereby incorporated by reference herein in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to semiconductor devices, and more particularly, to methods of forming field effect transistors on a semiconductor substrate.
  • 2. Description of Related Art
  • Due to demand for smaller and more power-efficient electronic devices, research on methods of increasing semiconductor device integration is actively being pursued. In order to reduce transistor size to meet these demands for higher device integration, increasingly fine transistor patterns may be required. However, as transistor size decreases, a variety of problems may result, such as short channel effects. As such, research is being conducted on fin field-effect transistors (FinFETs), which may reduce short channel effects.
  • A method of fabricating a Fin FET is disclosed in U.S. Pat. No. 6,642,090 entitled “Fin FET Devices from Bulk Semiconductor and Method for Forming” to Fried, et al., the disclosure of which is hereby incorporated by reference.
  • FIG. 1 is a cross-sectional view illustrating exemplary methods of fabricating FinFETs as described in U.S. Pat. No. 6,642,090.
  • Referring to FIG. 1, a semiconductor substrate 200 is formed. Hard mask patterns 224 are formed on the semiconductor substrate 200. The semiconductor substrate 200 is selectively etched using the hard mask patterns 224 as etching masks. As a result, portions of the semiconductor substrate 200 that are exposed by the hard mask patterns 224 are selectively recessed. The portions of the semiconductor substrate 200 that remain after the selective etching process is performed form fins 210. Trenches are formed on either side of each fin 210. Thereafter, the semiconductor substrate 200 including the fins 210 is subjected to an ion implantation process. The fins 210 are protected from the ion implantation due to the hard mask patterns 224 formed thereon. After the ion implantation process, the semiconductor substrate 200 is thermally oxidized to form oxide layers on bottom surfaces of the trenches between the fins 210. During the thermal oxidation process, oxide layers are also formed on sidewalls of the fins 210. The oxide layers on the bottom surfaces of the trenches may be about five times thicker than the oxide layers on the sidewalls of the fins 210. The thicker oxide layers form lower isolation layers 214. An inversion layer channel may be formed in a portion of each fin 210. The width of the inversion layer channel may be defined by the lower isolation layers 214. The oxide layers formed on the sidewalls of the fins 210 are then removed, and gate dielectric layers 220 are formed on the sidewalls of the fins 210. Gate electrodes 222 are then formed on sidewalls and upper surfaces of the fins 210. Drain regions (and drain electrodes) and source regions (and source electrodes) are respectively formed at opposite ends of the fins 210, thereby completing the FinFET.
  • When a sufficient voltage is applied to the gate electrode 222, an inversion layer channel is formed in the channel region of the fin 210, and current flows between the drain region and the source region. In other words, current flows through the wall-shaped fin 210 in a longitudinal direction. The channel region of the fin 210 may be formed to a width that is less than two times the maximum width of the depletion region. As such, when a voltage less than a threshold voltage of the device is applied to the gate electrode 222, the channel region may become completely depleted, which may improve susceptibility to short channel effects.
  • However, many semiconductor devices may include a cell array region and a peripheral circuit region in a single wafer. In some instances, it may be advantageous for the cell array region to include transistors, such as FinFETs, that have similar operational characteristics. The peripheral circuit region may include transistors having different operational characteristics. For example, some transistors may be used as differential amplifiers, while others may be used as drivers. In order to obtain such different operational characteristics, channel regions of different sizes may be required. For example, when the width of the channel region is more than twice the maximum depletion width for higher voltages, the channel region may not achieve a complete depletion state. Accordingly, a FinFET may be more susceptible to short channel effects as the width of the channel region (and therefore, the height of the fin) is increased.
  • SUMMARY OF THE INVENTION
  • According to some embodiments of the present invention, a method of forming an integrated circuit device may include forming a non-planar field-effect transistor selected from the group of a FinFET and a recessed gate FET in a cell array portion of a semiconductor substrate, and forming a planar field-effect transistor in a peripheral circuit portion of the semiconductor substrate. Dopants may be implanted into a channel region of the non-planar field-effect transistor, and then a cell protection layer may be formed on the non-planar field-effect transistor. Then, dopants may be selectively implanted into a channel region of the planar field-effect transistor using the cell protection layer as a mask to block implanting of the dopants into the channel region of the non-planar field-effect transistor.
  • In some embodiments, the non-planar field-effect transistor may be a FinFET, and forming the non-planar field-effect transistor may include forming a fin-shaped active region vertically protruding from the substrate.
  • In other embodiments, the non-planar field-effect transistor may be a recessed gate FET, and forming the non-planar field-effect transistor may include forming a trench in an active region in the cell array portion of the substrate.
  • In some embodiments, implanting dopants into the channel region of the non-planar field-effect transistor may include forming a mask layer on the non-planar and planar field-effect transistors. The mask layer may be selectively removed to expose at least a portion of the channel region of the non-planar field-effect transistor, and then dopants may be selectively implanted into the channel region of the non-planar field-effect transistor using the mask layer as an implantation mask and using an ion implantation process at a first implantation energy level.
  • In other embodiments, selectively implanting dopants into the channel region of the planar field-effect transistor may include selectively removing the mask layer to expose at least a portion of the channel region of the planar field-effect transistor. Then, dopants may be selectively implanted into the channel region of the planar field-effect transistor using the cell protection layer as an implantation mask and using an ion implantation process at a second implantation energy level that is different from the first implantation energy level.
  • In some embodiments, the cell protection layer may be formed of a plasma oxide layer, and the mask layer may include a silicon nitride polish stop layer and a silicon oxide hard mask layer stacked thereon.
  • In other embodiments, implanting dopants into the channel region of the non-planar field-effect transistor may include simultaneously implanting dopants into the channel regions of the planar and non-planar field-effect transistors using an ion implantation process at a first implantation energy level. In addition, selectively implanting dopants into the channel region of the planar field-effect transistor may include selectively implanting dopants into the channel region of the planar field-effect transistor using the cell protection layer as an implantation mask and using an ion implantation process at the first implantation energy level or at a second implantation energy level that is different from the first implantation energy level.
  • In some embodiments, the method of forming the integrated circuit device may further include forming a first gate dielectric layer on the channel region of the non-planar field-effect transistor after selectively implanting dopants into the channel region of the non-planar field-effect transistor and prior to forming the cell protection layer. A first gate conductive layer may then be formed on the channel region of the non-planar field-effect transistor to define an insulated cell gate electrode.
  • In other embodiments, forming a first gate conductive layer may include forming the first gate conductive layer on the planar and non-planar field-effect transistors, and then removing the first gate conductive layer from the planar field-effect transistor to define the insulated cell gate electrode.
  • In some embodiments, the method of forming the integrated circuit device may further include forming a second gate dielectric layer on the channel region of the planar field-effect transistor after selectively implanting dopants into the channel region of the planar field-effect transistor. A second gate conductive layer may be formed on the channel region of the planar field-effect transistor to define an insulated peripheral gate electrode.
  • In other embodiments, forming a second gate conductive layer may include forming the second gate conductive layer on the planar and non-planar field-effect transistors, and then removing the second gate conductive layer from the non-planar field-effect transistor to define the insulated peripheral gate electrode.
  • In some embodiments, removing the first gate conductive layer may include planarizing the first gate conductive layer to expose the planar field-effect transistor. Also, removing the second gate conductive layer may include planarizing the second gate conductive layer and the cell protection layer to expose the non-planar field-effect transistor.
  • In other embodiments, the first gate dielectric layer may be formed to a different thickness and/or of a different material than the second gate dielectric layer. The first and second gate dielectric layers may include one of a silicon oxide layer and a layer having a higher dielectric constant than the silicon oxide layer. The first gate dielectric layer may be formed of a silicon oxide layer using a thermal oxidization technique. Alternatively, the first gate dielectric layer may be formed of a high-k dielectric layer or a silicon oxide layer using an atomic layer deposition (ALD) technique. The second gate dielectric layer may be formed of a silicon oxide layer using a thermal oxidization technique. Alternatively, the second gate dielectric layer may be formed of a high-k dielectric layer or a silicon oxide layer using an atomic layer deposition (ALD) technique.
  • In some embodiments, an integrated circuit device may be formed according to the above method.
  • According to further embodiments of the present invention, a method of forming a semiconductor device may include preparing a semiconductor substrate having a cell region and a peripheral circuit region. A trench isolation layer may be formed in a predetermined region of the semiconductor substrate to define a cell active region in the cell region and a peripheral active region in the peripheral circuit region. The cell active region may have a width smaller than the peripheral active region. A mask layer may be formed on the trench isolation layer and the active regions and patterned to form a cell gate opening on the cell active region. The trench isolation layer may be etched using the patterned mask layer as an etch mask to form a cell gate groove partially exposing sidewalls and an upper surface of the cell active region. An insulated cell gate electrode may then be formed to fill the cell gate groove, and a cell gate protection layer may be formed on the cell gate electrode. The cell gate protection layer and the patterned mask layer may be etched to form a peripheral gate opening on the peripheral active region; and an insulated peripheral gate electrode may be formed in the peripheral gate opening.
  • According to still further embodiments of the present invention, a method of forming a semiconductor device may include preparing a semiconductor substrate having a cell region and a peripheral circuit region. A trench isolation layer may be formed in a predetermined region of the semiconductor substrate to define a cell active region in the cell region and a peripheral active region in the peripheral circuit region. The cell active region may have a width smaller than the peripheral active region. A mask layer may be formed on the trench isolation layer and the active regions and patterned to form a cell gate opening on the cell active region. The cell active region may be etched using the patterned mask layer as an etch mask to form a cell gate groove which partially recesses the cell active region, and an insulated cell gate electrode may be formed to fill the cell gate groove. A cell gate protection layer may be formed on the cell gate electrode. The cell gate protection layer and the patterned mask layer may be etched to form a peripheral gate opening on the peripheral active region, and an insulated peripheral gate electrode may be formed in the peripheral gate opening.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view illustrating conventional methods of fabricating a FinFET.
  • FIG. 2 is a plan view of semiconductor devices according to some embodiments of the present invention.
  • FIGS. 3A to 11D are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to some embodiments of the present invention.
  • FIGS. 12 to 20 are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to other embodiments of the present invention.
  • DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION
  • The present invention will be described more fully hereinafter with reference to the accompanying drawings, in which exemplary embodiments of the invention are shown. However, this invention should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, the thickness of layers and regions are exaggerated for clarity. Like numbers refer to like elements throughout.
  • It will be understood that when an element such as a layer, region or substrate is referred to as being “on” or extending “onto” another element, it can be directly on or extend directly onto the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or extending “directly onto” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
  • It will also be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of the present invention.
  • Furthermore, relative terms, such as “lower” or “bottom” and “upper” or “top,” may be used herein to describe one element's relationship to another elements as illustrated in the Figures. It will be understood that relative terms are intended to encompass different orientations of the device in addition to the orientation depicted in the Figures. For example, if the device in one of the figures is turned over, elements described as being on the “lower” side of other elements would then be oriented on “upper” sides of the other elements. The exemplary term “lower”, can therefore, encompasses both an orientation of “lower” and “upper,” depending of the particular orientation of the figure. Similarly, if the device in one of the figures is turned over, elements described as “below” or “beneath” other elements would then be oriented “above” the other elements. The exemplary terms “below” or “beneath” can, therefore, encompass both an orientation of above and below.
  • The terminology used in the description of the invention herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used in the description of the invention and the appended claims, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will also be understood that the term “and/or” as used herein refers to and encompasses any and all possible combinations of one or more of the associated listed items.
  • Embodiments of the invention are described herein with reference to cross-section illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of the invention. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments of the invention should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the invention.
  • Unless otherwise defined, all terms used in disclosing embodiments of the invention, including technical and scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs, and are not necessarily limited to the specific definitions known at the time of the present invention being described. Accordingly, these terms can include equivalent terms that are created after such time. All publications, patent applications, patents, and other references mentioned herein are incorporated by reference in their entirety.
  • FIG. 2 is a plan view of semiconductor devices according to some embodiments of the present invention, and FIGS. 3A to 11D are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to some embodiments of the present invention. More specifically, FIGS. 3A to 11A are cross-sectional views taken along line I-I′ of FIG. 2, and FIGS. 3B to 11B are cross-sectional views taken along line II-II′ of FIG. 2. FIGS. 3C to 11C are cross-sectional views taken along line III-III′ of FIG. 2, and FIGS. 3D to 11D are cross-sectional views taken along line IV-IV′ of FIG. 2. In the drawings, reference designators “C” and “P” denote cell regions and peripheral circuit regions, respectively.
  • Referring now to FIGS. 2 and 3A to 3D, a predetermined region of a semiconductor substrate 11 is selectively etched to form a trench region. The trench region defines a cell active region 13 in cell array region C and a peripheral active region 15 in peripheral circuit region P. The cell active region 13 is formed to have a first width W1, and the peripheral active region 15 is formed to have a second width W2 that is greater than the first width W1. A trench isolation layer 12 is formed in the trench region using conventional techniques. The trench isolation layer 12 may be a high-density plasma oxide layer having excellent gap-filling characteristics.
  • The peripheral active region 15 may be formed to be suitable for planar transistors. As used herein, planar transistors may include transistors having source, drain, and channel regions formed in the plane of a substrate surface, and a gate electrode formed on the substrate surface. In embodiments of the present invention, planar transistors may be used, for example, as differential amplifiers and/or drivers. In contrast, the cell active region 13 may be formed to be suitable for non-planar transistors, such as for use as memory cells. As used herein, non-planar transistors may include transistors such as FinFETs, recessed gate transistors, and/or other transistor types that may not be planar transistors.
  • A mask layer is then formed on the semiconductor substrate 11 including the trench isolation layer 12. The mask layer includes a polish stop layer 18 and a hard mask layer 19 which are sequentially stacked on the semiconductor substrate 11 including the trench isolation layer 12. The polish stop layer 18 may be a silicon nitride layer, and the hard mask layer 19 may be a silicon oxide layer. Before the polish stop layer 18 is formed, a buffer layer 17 may be formed on the substrate 11. The buffer layer 17 may relieve physical stress between the polish stop layer 18 and the active regions 13 and 15. The buffer layer 17 may be formed of a silicon oxide layer, such as a thermal oxide layer.
  • The mask layer is then patterned to form a cell gate opening 20 which crosses over the cell active region 13. As such, the patterned mask layer includes the buffer layer 17, the polish stop layer 18, and the hard mask layer 19. The cell gate opening 20 crosses over a portion of the cell active region 13 and extends to upper surfaces of the trench isolation layers 12 adjacent each side of the cell active region 13.
  • Referring now to FIGS. 2 and 4A to 4D, the trench isolation layer 12 is dry etched using the patterned mask layer as an etching mask. The dry etching process may have an etching selectivity between silicon, which forms the cell active region 13, and silicon oxide, which forms the trench isolation layer 12. As a result, a portion 12 a of the trench isolation layer 12 that is exposed by the cell gate opening 20 is recessed to form a cell gate groove 20 a. A fin having a cell channel region 13 a is thereby defined in the cell active region 13 by the cell gate groove 20 a. The hard mask layer 19 may also be removed at this time.
  • Dopants may be introduced into the semiconductor substrate 11 including the cell channel region 13 a, for example, by ion implantation. Since the remaining portion of the cell active region 13 (other than the cell channel region 13 a) and the peripheral active region 15 are covered by the mask layer, ions are not implanted into the cell active region 13 and/or the peripheral active region 15. In other words, the cell channel region 13 a is selectively doped using the mask layer as an implantation mask. Ions may be implanted into the cell channel region 13 a at various angles using various ion implantation energies.
  • Referring now to FIGS. 2 and 5A to 5D, a cell gate dielectric layer 21 is formed on an upper surface and sidewalls of the fin including the cell channel region 13 a. The cell gate dielectric layer 21 may be a silicon oxide layer formed by a thermal oxidation technique. Alternatively, the cell gate dielectric layer 21 may be a silicon oxide layer or a high-k dielectric layer formed by an atomic layer deposition (ALD) technique. A cell gate conductive layer 22 is then formed on the semiconductor substrate 11 including the cell gate dielectric layer 21. The cell gate conductive layer 22 may be a polysilicon layer.
  • Referring to FIGS. 2 and 6A to 6D, the cell gate conductive layer 22 is planarized to form a cell gate electrode 22 a. The planarization process may be performed using a chemical mechanical polishing (CMP) technique which may employ the polish stop layer 18 as a stopper.
  • Referring to FIGS. 2 and 7A to 7D, a cell gate protection layer 29 is formed on the semiconductor substrate 11 including the cell gate electrode 22 a. The cell gate protection layer 29 is deposited to cover the cell gate electrode 22 a and the polish stop layer 18. The cell gate protection layer 29 may be formed of a high density plasma oxide layer.
  • Referring to FIGS. 2 and 8A to 8D, the cell gate protection layer 29 is patterned to form a cell gate protection layer pattern 29 a covering the cell gate electrode 22 a and a portion of the polish stop layer 18. Then, the exposed portion of the polish stop layer 18 is etched using the cell gate protection layer pattern 29 a as an etch mask to thereby form a peripheral gate opening 30. The peripheral gate opening 30 defines a peripheral channel region 15 a which crosses over a portion of the peripheral active region 15. As such, an upper surface of the peripheral channel region 15 a is exposed.
  • Dopants may be introduced into the semiconductor substrate 11 including the peripheral channel region 15 a, for example, using ion implantation. Ions may be implanted into the peripheral channel region 15 a through the peripheral gate opening 30.
  • Since the remaining portion of the peripheral active region 15 (other than the peripheral channel region 15 a) and the cell active region 13 are covered by the cell gate protection layer pattern 29 a, ions are not implanted into the peripheral active region 15 and the cell active region 13. In other words, the peripheral channel region 15 a may be selectively doped using the cell protection layer 29 a as an implantation mask to block implanting of dopants into the cell active region 13. Ions may be implanted into the peripheral channel region 15 a at various angles using various ion implantation energies. For example, ions may be implanted into the peripheral channel region 15 a at a different implantation energy level than used for the cell channel region 13 a. As such, the cell and peripheral channel regions 13 a and 15 a may have different carrier concentrations.
  • Referring now to FIGS. 2 and 9A to 9D, a peripheral gate dielectric layer 31 is formed on the exposed portion of the peripheral channel region 15 a. The peripheral gate dielectric layer 31 may be a silicon oxide layer formed by thermal oxidation. Alternatively, the peripheral gate dielectric layer 31 may be a silicon oxide layer or a high-k dielectric layer formed by an ALD technique. The peripheral gate dielectric layer 31 may have a different thickness than the cell gate dielectric layer 21, which may be suitable for a peripheral circuit. A peripheral gate conductive layer 32 is formed on the semiconductor substrate 11 including the peripheral gate dielectric layer 31. The peripheral gate conductive layer 32 may be a polysilicon layer.
  • Referring to FIGS. 2 and 10A to 10D, the peripheral gate conductive layer 32 is planarized to form a peripheral gate electrode 32 a. The planarization process may be performed using a CMP technique which employs the polish stop layer 18 as a stopper. At this time, the cell gate protection layer pattern 29 a may also be removed. As a result, upper surfaces of the peripheral gate electrode 32 a and the cell gate electrode 22 a are exposed.
  • Referring to FIGS. 2 and 11A to 11D, the polish stop layer 18 is etched to form an insulated cell gate electrode 22 a in cell array region C and an insulated peripheral gate electrode 32 a in peripheral circuit region P. During the etching of the polish stop layer 18, the cell active region 13 and the peripheral active region 15 (which may be covered by the buffer layer 17) are protected from etching damage.
  • Subsequently, source and drain regions (not shown) are formed in the cell active region 13 and the peripheral active region 15 using the cell gate electrode 22 a and the peripheral gate electrode 32 a as a mask, and then an interlayer insulating layer is formed. Finally, source and drain electrodes are formed on the respective source and drain regions. As a result, a FinFET is formed in the cell array region C, and a planar FET is formed in the peripheral circuit region P.
  • FIGS. 12 to 20 are cross-sectional views illustrating exemplary operations for forming semiconductor devices according to other embodiments of the present invention. In the drawings, reference designations “C” and “P” denote cell array regions and peripheral circuit regions, respectively.
  • Referring now to FIG. 12, a predetermined region of a semiconductor substrate 51 is selectively etched to form a trench region. The trench region defines a cell active region 53 in the cell array region C and a peripheral active region 55 in the peripheral circuit region P. The cell active region 53 is formed to have a third width W3, and the peripheral active region 55 is formed to have a fourth width W4 that is greater than the third width W3. A trench isolation layer 52 is formed in the trench region using conventional techniques. The trench isolation layer 52 may be formed of a high density plasma oxide layer having excellent gap filling characteristics.
  • Dopants may then be introduced into the semiconductor substrate 51 including the trench isolation layer 52, such as by ion implantation. In other words, ions may be simultaneously implanted into the cell active region 53 and the peripheral active region 55.
  • A mask layer is then formed on the semiconductor substrate 51 including the trench isolation layer 52. The mask layer includes a polish stop layer 58 and a hard mask layer 59 which are sequentially stacked on the semiconductor substrate 51 including the trench isolation layer 52. The polish stop layer 58 may be a silicon nitride layer, and the hard mask layer 59 may be a silicon oxide layer. Before the polish stop layer 58 is formed, a buffer layer 57 may be formed. The buffer layer 57 may relieve physical stress between the polish stop layer 58 and the active regions 53 and 55. The buffer layer 57 may be a silicon oxide layer, such as a thermal oxide layer.
  • The mask layer is then patterned to form a cell gate opening 60 above the cell active region 53. As such, the buffer layer 57, the polish stop layer 58, and the hard mask layer 59 are sequentially patterned.
  • Referring now to FIG. 13, the cell active region 53 is etched using the patterned mask layer as an etch mask to form a cell gate groove 60 a. As a result, a portion of the cell active region 53 is recessed. At this time, the hard mask layer 59 may also be removed.
  • Referring to FIG. 14, a cell gate dielectric layer 61 is formed on sidewalls and a bottom surface of the cell gate groove 60 a. The cell gate dielectric layer 61 may be a silicon oxide layer formed by thermal oxidation. Alternatively, the cell gate dielectric layer 61 may be a silicon oxide layer or a high-k dielectric layer formed by ALD. A cell gate conductive layer 62 is formed on the semiconductor substrate 51 including the cell gate dielectric layer 61. The cell gate conductive layer 62 may be a polysilicon layer.
  • Referring to FIG. 15, the cell gate conductive layer 62 is planarized to form a cell gate electrode 62 a. The planarization process may be performed using a CMP technique which employs the polish stop layer 58 as a stopper.
  • Referring to FIG. 16, a cell gate protection layer 69 is formed on the semiconductor substrate 51 including the cell gate electrode 62 a. The cell gate protection layer 69 is deposited to cover the cell gate electrode 62 a and the polish stop layer 58. The cell gate protection layer 69 may be a high density plasma oxide layer.
  • Referring to FIG. 17, the cell gate protection layer 69 is patterned to form a cell gate protection layer pattern 69 a, for example, using photolithography and/or etching processes. Then, the patterned mask layer is etched using the cell gate protection layer pattern 69 a as an etching mask to form a peripheral gate opening 70 which crosses over a portion of the peripheral active region 55. As a result, an upper surface of a portion of the peripheral active region 55 is exposed.
  • Additional dopants may be introduced into a portion of the peripheral active region 55 through the peripheral gate opening 70, for example, by ion implantation. In other words, the peripheral active region 55 may be selectively doped using the cell protection layer 69 a as an implantation mask to block implanting into the cell active region 53. Ions may be implanted into the peripheral active region 55 at various angles using various ion implantation energies. For example, ions may be implanted into the peripheral active region 55 at the same implantation energy level as used to simultaneously implant the cell and peripheral active regions 53 and 55 in the prior doping step. Alternatively, ions may be implanted into the peripheral active region 55 at a different implantation energy than used to simultaneously implant the cell and peripheral active regions 53 and 55. Accordingly, the cell and peripheral active regions 53 and 55 may have different carrier concentrations.
  • Referring to FIG. 18, a peripheral gate dielectric layer 71 is formed on the exposed portion of the peripheral active region 55. The peripheral gate dielectric layer 71 may be a silicon oxide layer formed by thermal oxidation. Alternatively, the peripheral gate dielectric layer 71 may be a silicon oxide layer or a high-k dielectric layer formed by an ALD technique. The peripheral gate dielectric layer 71 may be formed to a different thickness than the cell gate dielectric layer 61 to be suitable for a peripheral circuit. A peripheral gate conductive layer 72 is then formed on the semiconductor substrate 51 including the peripheral gate dielectric layer 71. The peripheral gate conductive layer 72 may be formed of a polysilicon layer.
  • Referring to FIG. 19, the peripheral gate conductive layer 72 is planarized to form a peripheral gate electrode 72 a. The planarization process may be performed using a CMP technique which employs the polish stop layer 58 as a stopper. At this time, the cell gate protection layer pattern 69 a may be removed altogether. As a result, upper surfaces of the peripheral gate electrode 72 a and the cell gate electrode 62 a are exposed.
  • Referring now to FIG. 20, the polish stop layer 58 is etched to complete the insulated cell gate electrode 62 a in cell array region C and the insulated peripheral gate electrode 72 a in peripheral circuit region P. During the etching of the polish stop layer 58, the cell active region 53 and the peripheral active region 55 (which may be covered by the buffer layer 57) are protected from etching damage.
  • Subsequently, source and drain regions (not shown) are formed in the cell active region 53 and the peripheral active region 55 using the cell gate electrode 62 a and the peripheral gate electrode 72 a as respective masks, and then an interlayer insulating layer is formed. Finally, source and drain electrodes are respectively formed on the source and drain regions. As a result, a recessed channel FET is formed in cell array region C, and a planar FET is formed in peripheral circuit region P.
  • According to embodiments of the present invention as described above, different transistors may be sequentially formed in the cell array region and the peripheral circuit region of a semiconductor substrate. Thus, a fin FET and/or a recessed channel transistor which may be suitable for higher device integration may be formed in the cell array region, and planar transistors suitable for various other uses may be formed in the peripheral region. In addition, since doping and/or implantation of the peripheral circuit region may be separately performed, the carrier concentration of the peripheral channel region may be adjusted to be different from that of the cell channel region. Further, the peripheral gate dielectric layer may have a different thickness and may be formed of a different material than that of the cell channel region.
  • Preferred embodiments of the present invention have been disclosed herein and, although specific terms are employed, they are used and are to be interpreted in a generic and descriptive sense only and not for purpose of limitation. Accordingly, it will be understood by those of ordinary skill in the art that various changes in form and detail may be made without departing from the spirit and scope of the present invention as set forth in the following claims.

Claims (18)

1. A method of forming an integrated circuit device, comprising:
forming a non-planar field-effect transistor selected from the group of a FinFET and a recessed gate FET in a cell array portion of a semiconductor substrate;
forming a planar field-effect transistor in a peripheral circuit portion of the semiconductor substrate;
implanting dopants into a channel region of the non-planar field-effect transistor; then
forming a cell protection layer on the non-planar field-effect transistor; and then
selectively implanting dopants into a channel region of the planar field-effect transistor using the cell protection layer as a mask to block implanting of the dopants into the channel region of the non-planar field-effect transistor.
2. The method of claim 1, wherein the non-planar field-effect transistor comprises a FinFET, and wherein forming the non-planar field-effect transistor comprises forming a fin-shaped active region vertically protruding from the substrate.
3. The method of claim 1, wherein the non-planar field-effect transistor comprises a recessed gate FET, and wherein forming the non-planar field-effect transistor comprises forming a trench in an active region in the cell array portion of the substrate.
4. The method of claim 1, wherein implanting dopants into the channel region of the non-planar field-effect transistor comprises:
forming a mask layer on the non-planar and planar field-effect transistors;
selectively removing the mask layer to expose at least a portion of the channel region of the non-planar field-effect transistor; and
selectively implanting dopants into the channel region of the non-planar field-effect transistor using the mask layer as an implantation mask and using an ion implantation process at a first implantation energy level.
5. The method of claim 4, wherein selectively implanting dopants into the channel region of the planar field-effect transistor comprises:
selectively removing the mask layer to expose at least a portion of the channel region of the planar field-effect transistor; and
selectively implanting dopants into the channel region of the planar field-effect transistor using the cell protection layer as an implantation mask and using an ion implantation process at a second implantation energy level that is different from the first implantation energy level.
6. The method of claim 4, wherein the cell protection layer is formed of a plasma oxide layer, and wherein the mask layer comprises a silicon nitride polish stop layer and a silicon oxide hard mask layer stacked thereon.
7. The method of claim 1, wherein implanting dopants into the channel region of the non-planar field-effect transistor comprises:
simultaneously implanting dopants into the channel regions of the planar and non-planar field-effect transistors using an ion implantation process at a first implantation energy level.
8. The method of claim 7, wherein selectively implanting dopants into the channel region of the planar field-effect transistor comprises:
selectively implanting dopants into the channel region of the planar field-effect transistor using the cell protection layer as an implantation mask and using an ion implantation process at the first implantation energy level or at a second implantation energy level that is different from the first implantation energy level.
9. The method of claim 1, further comprising the following after selectively implanting dopants into the channel region of the non-planar field-effect transistor and prior to forming the cell protection layer:
forming a first gate dielectric layer on the channel region of the non-planar field-effect transistor; and
forming a first gate conductive layer on the channel region of the non-planar field-effect transistor to define an insulated cell gate electrode.
10. The method of claim 9, wherein forming a first gate conductive layer comprises:
forming the first gate conductive layer on the planar and non-planar field-effect transistors; and
removing the first gate conductive layer from the planar field-effect transistor to define the insulated cell gate electrode.
11. The method of claim 9, further comprising the following after selectively implanting dopants into the channel region of the planar field-effect transistor:
forming a second gate dielectric layer on the channel region of the planar field-effect transistor; and
forming a second gate conductive layer on the channel region of the planar field-effect transistor to define an insulated peripheral gate electrode.
12. The method of claim 11, wherein forming a second gate conductive layer comprises:
forming the second gate conductive layer on the planar and non-planar field-effect transistors; and
removing the second gate conductive layer from the non-planar field-effect transistor to define the insulated peripheral gate electrode.
13. The method of claim 12, wherein removing the first gate conductive layer comprises planarizing the first gate conductive layer to expose the planar field-effect transistor, and wherein removing the second gate conductive layer comprises planarizing the second gate conductive layer and the cell protection layer to expose the non-planar field-effect transistor.
14. The method of claim 11, wherein the first gate dielectric layer is formed to a different thickness and/or of a different material than the second gate dielectric layer.
15. The method of claim 11, wherein the first and second gate dielectric layers comprise one of a silicon oxide layer and a layer having a higher dielectric constant than the silicon oxide layer.
16. An integrated circuit device formed according to the method of claim 1.
17. A method of forming a semiconductor device, comprising:
preparing a semiconductor substrate having a cell region and a peripheral circuit region;
forming a trench isolation layer in a predetermined region of the semiconductor substrate to define a cell active region in the cell region and a peripheral active region in the peripheral circuit region, the cell active region having a width smaller than the peripheral active region;
forming a mask layer on the trench isolation layer and the active regions;
patterning the mask layer to form a cell gate opening on the cell active region;
etching the trench isolation layer using the patterned mask layer as an etch mask to form a cell gate groove partially exposing sidewalls and an upper surface of the cell active region;
forming an insulated cell gate electrode filling the cell gate groove;
forming a cell gate protection layer on the cell gate electrode;
etching the cell gate protection layer and the patterned mask layer to form a peripheral gate opening on the peripheral active region; and
forming an insulated peripheral gate electrode in the peripheral gate opening.
18. A method of forming a semiconductor device, comprising:
preparing a semiconductor substrate having a cell region and a peripheral circuit region;
forming a trench isolation layer in a predetermined region of the semiconductor substrate to define a cell active region in the cell region and a peripheral active region in the peripheral circuit region, the cell active region having a width smaller than the peripheral active region;
forming a mask layer on the trench isolation layer and the active regions;
patterning the mask layer to form a cell gate opening on the cell active region;
etching the cell active region using the patterned mask layer as an etch mask to form a cell gate groove which partially recesses the cell active region;
forming an insulated cell gate electrode filling the cell gate groove;
forming a cell gate protection layer on the cell gate electrode;
etching the cell gate protection layer and the patterned mask layer to form a peripheral gate opening on the peripheral active region; and
forming an insulated peripheral gate electrode in the peripheral gate opening.
US11/110,167 2004-04-27 2005-04-20 Methods of forming integrated circuit devices having field effect transistors of different types in different device regions Active 2026-06-19 US7566619B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2004-0029118 2004-04-27
KR1020040029118A KR100642632B1 (en) 2004-04-27 2004-04-27 Methods of fabricating a semiconductor device and semiconductor devices fabricated thereby

Publications (2)

Publication Number Publication Date
US20050239252A1 true US20050239252A1 (en) 2005-10-27
US7566619B2 US7566619B2 (en) 2009-07-28

Family

ID=35137012

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/110,167 Active 2026-06-19 US7566619B2 (en) 2004-04-27 2005-04-20 Methods of forming integrated circuit devices having field effect transistors of different types in different device regions

Country Status (3)

Country Link
US (1) US7566619B2 (en)
KR (1) KR100642632B1 (en)
CN (1) CN100380631C (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070069293A1 (en) * 2005-09-28 2007-03-29 Kavalieros Jack T Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070111455A1 (en) * 2005-11-14 2007-05-17 Samsung Electronics Co., Ltd. Fabrication of local damascene finFETs using contact type nitride damascene mask
US20070272954A1 (en) * 2006-05-27 2007-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. FIN-FET device structure formed employing bulk semiconductor substrate
US20080048265A1 (en) * 2005-08-25 2008-02-28 International Business Machines Corporation Semiconductor structures integrating damascene-body finfet's and planar devices on a common substrate and methods for forming such semiconductor structures
US20090096037A1 (en) * 2007-10-10 2009-04-16 Samsung Electronics Co., Ltd. Semiconductor device having recessed field region and fabrication method thereof
US20090173992A1 (en) * 2006-01-23 2009-07-09 Hynix Semiconductor Inc. Semiconductor device with improved performance characteristics
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
US20100200933A1 (en) * 2006-04-06 2010-08-12 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US7781771B2 (en) 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7820513B2 (en) 2003-06-27 2010-10-26 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
WO2010141708A1 (en) * 2009-06-03 2010-12-09 Qualcomm Incorporated Apparatus and method to fabricate an electronic device
US7879675B2 (en) 2005-03-14 2011-02-01 Intel Corporation Field effect transistor with metal source/drain regions
US7898041B2 (en) 2005-06-30 2011-03-01 Intel Corporation Block contact architectures for nanoscale channel transistors
US7902014B2 (en) 2005-09-28 2011-03-08 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US7960794B2 (en) 2004-08-10 2011-06-14 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7989280B2 (en) 2005-11-30 2011-08-02 Intel Corporation Dielectric interface for group III-V semiconductor device
US8067818B2 (en) 2004-10-25 2011-11-29 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8071983B2 (en) 2005-06-21 2011-12-06 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8084818B2 (en) 2004-06-30 2011-12-27 Intel Corporation High mobility tri-gate devices and methods of fabrication
US8183646B2 (en) 2005-02-23 2012-05-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8268709B2 (en) 2004-09-29 2012-09-18 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8405164B2 (en) 2003-06-27 2013-03-26 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
CN103247574A (en) * 2012-02-09 2013-08-14 台湾积体电路制造股份有限公司 Cut-mask patterning process for fin-like field effect transistor (Finfet) device
US8617945B2 (en) 2006-08-02 2013-12-31 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US20140151573A1 (en) * 2012-12-03 2014-06-05 Advanced Ion Beam Technology, Inc. Multi-energy ion implantation
US20150091100A1 (en) * 2013-10-02 2015-04-02 International Business Machines Corporation Methods of forming finfet semiconductor devices using a replacement gate technique and the resulting devices
US9337307B2 (en) 2005-06-15 2016-05-10 Intel Corporation Method for fabricating transistor with thinned channel
CN109979943A (en) * 2017-12-28 2019-07-05 联华电子股份有限公司 Semiconductor element and its manufacturing method
US10396082B2 (en) * 2017-07-05 2019-08-27 Micron Technology, Inc. Memory cells having a controlled-conductivity region

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100620065B1 (en) * 2005-09-08 2006-09-06 주식회사 하이닉스반도체 Semiconductor device and method for fabrication of the same
KR100801315B1 (en) * 2006-09-29 2008-02-05 주식회사 하이닉스반도체 Method of fabricating semiconductor device with the finfet transistor
KR100838378B1 (en) 2006-09-29 2008-06-13 주식회사 하이닉스반도체 Method for fabricating fin transistor
JP2010258124A (en) 2009-04-23 2010-11-11 Renesas Electronics Corp Semiconductor device, and method of manufacturing the semiconductor device
CN101894840A (en) * 2010-07-08 2010-11-24 复旦大学 Integrated circuit of recessed channel-type PNPN field effect transistor and manufacturing method thereof
KR101964262B1 (en) 2011-11-25 2019-04-02 삼성전자주식회사 Semiconductor device and method of manufacturing same
US8587068B2 (en) 2012-01-26 2013-11-19 International Business Machines Corporation SRAM with hybrid FinFET and planar transistors
US8476137B1 (en) * 2012-02-10 2013-07-02 Globalfoundries Inc. Methods of FinFET height control
KR101396088B1 (en) 2012-12-10 2014-05-27 한양대학교 산학협력단 3-dimensional cmos field effect transistor and method for manufacturing the same
US8815693B2 (en) 2013-01-23 2014-08-26 International Business Machines Corporation FinFET device formation
US9082851B2 (en) 2013-11-22 2015-07-14 International Business Machines Corporation FinFET having suppressed leakage current
US9466693B1 (en) 2015-11-17 2016-10-11 International Business Machines Corporation Self aligned replacement metal source/drain finFET

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5917218A (en) * 1996-02-21 1999-06-29 Samsung Electronics Co., Ltd. Peripheral circuits including high voltage transistors with LDD structures for nonvolatile memories
US5981324A (en) * 1996-10-23 1999-11-09 Samsung Electronics Co., Ltd. Methods of forming integrated circuits having memory cell arrays and peripheral circuits therein
US6071775A (en) * 1997-02-21 2000-06-06 Samsung Electronics Co., Ltd. Methods for forming peripheral circuits including high voltage transistors with LDD structures
US6184085B1 (en) * 1998-07-03 2001-02-06 Samsung Electronics Co., Ltd. Methods of forming nonvolatile memory devices using improved masking techniques
US6580137B2 (en) * 2000-08-29 2003-06-17 Boise State University Damascene double gated transistors and related manufacturing methods
US20040217433A1 (en) * 2003-04-29 2004-11-04 Yee-Chia Yeo Doping of semiconductor fin devices
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20060214226A1 (en) * 2005-03-23 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an SOI structure with improved carrier mobility and ESD protection

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1053996C (en) * 1997-04-16 2000-06-28 世界先进积体电路股份有限公司 Method for improving memory cell array of memory access and height difference of peripheral
KR100378183B1 (en) 2000-09-18 2003-03-29 삼성전자주식회사 Semiconductor memory device and method for manufacturing the same
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
JP4635333B2 (en) * 2000-12-14 2011-02-23 ソニー株式会社 Manufacturing method of semiconductor device
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5917218A (en) * 1996-02-21 1999-06-29 Samsung Electronics Co., Ltd. Peripheral circuits including high voltage transistors with LDD structures for nonvolatile memories
US5981324A (en) * 1996-10-23 1999-11-09 Samsung Electronics Co., Ltd. Methods of forming integrated circuits having memory cell arrays and peripheral circuits therein
US6071775A (en) * 1997-02-21 2000-06-06 Samsung Electronics Co., Ltd. Methods for forming peripheral circuits including high voltage transistors with LDD structures
US6184085B1 (en) * 1998-07-03 2001-02-06 Samsung Electronics Co., Ltd. Methods of forming nonvolatile memory devices using improved masking techniques
US6580137B2 (en) * 2000-08-29 2003-06-17 Boise State University Damascene double gated transistors and related manufacturing methods
US20040217433A1 (en) * 2003-04-29 2004-11-04 Yee-Chia Yeo Doping of semiconductor fin devices
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20060214226A1 (en) * 2005-03-23 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an SOI structure with improved carrier mobility and ESD protection

Cited By (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8273626B2 (en) 2003-06-27 2012-09-25 Intel Corporationn Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US8405164B2 (en) 2003-06-27 2013-03-26 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
US7820513B2 (en) 2003-06-27 2010-10-26 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7781771B2 (en) 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8084818B2 (en) 2004-06-30 2011-12-27 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7960794B2 (en) 2004-08-10 2011-06-14 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US8268709B2 (en) 2004-09-29 2012-09-18 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US8399922B2 (en) 2004-09-29 2013-03-19 Intel Corporation Independently accessed double-gate and tri-gate transistors
US8749026B2 (en) 2004-10-25 2014-06-10 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US10236356B2 (en) 2004-10-25 2019-03-19 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8067818B2 (en) 2004-10-25 2011-11-29 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US9190518B2 (en) 2004-10-25 2015-11-17 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US9741809B2 (en) 2004-10-25 2017-08-22 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8502351B2 (en) 2004-10-25 2013-08-06 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US9048314B2 (en) 2005-02-23 2015-06-02 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8183646B2 (en) 2005-02-23 2012-05-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8816394B2 (en) 2005-02-23 2014-08-26 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9614083B2 (en) 2005-02-23 2017-04-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9368583B2 (en) 2005-02-23 2016-06-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8664694B2 (en) 2005-02-23 2014-03-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US10121897B2 (en) 2005-02-23 2018-11-06 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8368135B2 (en) 2005-02-23 2013-02-05 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9748391B2 (en) 2005-02-23 2017-08-29 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7879675B2 (en) 2005-03-14 2011-02-01 Intel Corporation Field effect transistor with metal source/drain regions
US9337307B2 (en) 2005-06-15 2016-05-10 Intel Corporation Method for fabricating transistor with thinned channel
US9806195B2 (en) 2005-06-15 2017-10-31 Intel Corporation Method for fabricating transistor with thinned channel
US8071983B2 (en) 2005-06-21 2011-12-06 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8581258B2 (en) 2005-06-21 2013-11-12 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8933458B2 (en) 2005-06-21 2015-01-13 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US9761724B2 (en) 2005-06-21 2017-09-12 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US9385180B2 (en) 2005-06-21 2016-07-05 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US7898041B2 (en) 2005-06-30 2011-03-01 Intel Corporation Block contact architectures for nanoscale channel transistors
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
US20080048265A1 (en) * 2005-08-25 2008-02-28 International Business Machines Corporation Semiconductor structures integrating damascene-body finfet's and planar devices on a common substrate and methods for forming such semiconductor structures
US7692250B2 (en) * 2005-08-25 2010-04-06 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US8294180B2 (en) 2005-09-28 2012-10-23 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US8193567B2 (en) * 2005-09-28 2012-06-05 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070069293A1 (en) * 2005-09-28 2007-03-29 Kavalieros Jack T Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7902014B2 (en) 2005-09-28 2011-03-08 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US7488654B2 (en) * 2005-11-14 2009-02-10 Samsung Electronics Co., Ltd. Fabrication of local damascene finFETs using contact type nitride damascene mask
US7902607B2 (en) 2005-11-14 2011-03-08 Samsung Electronics Co., Ltd. Fabrication of local damascene finFETs using contact type nitride damascene mask
US20090121292A1 (en) * 2005-11-14 2009-05-14 Yong-Sung Kim Fabrication of local damascene finFETs using contact type nitride damascene mask
US20070111455A1 (en) * 2005-11-14 2007-05-17 Samsung Electronics Co., Ltd. Fabrication of local damascene finFETs using contact type nitride damascene mask
US7989280B2 (en) 2005-11-30 2011-08-02 Intel Corporation Dielectric interface for group III-V semiconductor device
US8110871B2 (en) * 2006-01-23 2012-02-07 658868 N.B. Inc. Semiconductor device with recess and fin structure
US20090173992A1 (en) * 2006-01-23 2009-07-09 Hynix Semiconductor Inc. Semiconductor device with improved performance characteristics
US8497175B2 (en) * 2006-04-06 2013-07-30 Samsung Electronics Co., Ltd Method of fabricating FinFET devices
US20100200933A1 (en) * 2006-04-06 2010-08-12 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20070272954A1 (en) * 2006-05-27 2007-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. FIN-FET device structure formed employing bulk semiconductor substrate
US7663185B2 (en) * 2006-05-27 2010-02-16 Taiwan Semiconductor Manufacturing Co, Ltd FIN-FET device structure formed employing bulk semiconductor substrate
US8617945B2 (en) 2006-08-02 2013-12-31 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US20090096037A1 (en) * 2007-10-10 2009-04-16 Samsung Electronics Co., Ltd. Semiconductor device having recessed field region and fabrication method thereof
US9806193B2 (en) 2008-06-23 2017-10-31 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9224754B2 (en) 2008-06-23 2015-12-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8741733B2 (en) 2008-06-23 2014-06-03 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9450092B2 (en) 2008-06-23 2016-09-20 Intel Corporation Stress in trigate devices using complimentary gate fill materials
WO2010141708A1 (en) * 2009-06-03 2010-12-09 Qualcomm Incorporated Apparatus and method to fabricate an electronic device
US20100308408A1 (en) * 2009-06-03 2010-12-09 Qualcomm Incorporated Apparatus and Method to Fabricate an Electronic Device
US9337100B2 (en) 2009-06-03 2016-05-10 Qualcomm Incorporated Apparatus and method to fabricate an electronic device
JP2012529187A (en) * 2009-06-03 2012-11-15 クアルコム,インコーポレイテッド Apparatus and method for manufacturing electronic devices
CN103247574A (en) * 2012-02-09 2013-08-14 台湾积体电路制造股份有限公司 Cut-mask patterning process for fin-like field effect transistor (Finfet) device
US9117629B2 (en) * 2012-12-03 2015-08-25 Advanced Ion Beam Technology, Inc. Multi-energy ion implantation
US20140151573A1 (en) * 2012-12-03 2014-06-05 Advanced Ion Beam Technology, Inc. Multi-energy ion implantation
US20150091100A1 (en) * 2013-10-02 2015-04-02 International Business Machines Corporation Methods of forming finfet semiconductor devices using a replacement gate technique and the resulting devices
US9236480B2 (en) * 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US9773867B2 (en) 2013-10-02 2017-09-26 Globalfoundries Inc. FinFET semiconductor devices with replacement gate structures
US10665593B2 (en) 2017-07-05 2020-05-26 Micron Technology, Inc. Memory cells having a controlled conductivity region
US10396082B2 (en) * 2017-07-05 2019-08-27 Micron Technology, Inc. Memory cells having a controlled-conductivity region
CN109979943A (en) * 2017-12-28 2019-07-05 联华电子股份有限公司 Semiconductor element and its manufacturing method

Also Published As

Publication number Publication date
KR20050103810A (en) 2005-11-01
KR100642632B1 (en) 2006-11-10
CN1700446A (en) 2005-11-23
US7566619B2 (en) 2009-07-28
CN100380631C (en) 2008-04-09

Similar Documents

Publication Publication Date Title
US7566619B2 (en) Methods of forming integrated circuit devices having field effect transistors of different types in different device regions
US11133387B2 (en) FinFETs having dielectric punch-through stoppers
US7071048B2 (en) Methods of fabricating fin field effect transistors having capping insulation layers
KR100471189B1 (en) Field effect transistors having a vertical channel and methods of fabricating the same
US7586150B2 (en) Semiconductor devices with local recess channel transistors and methods of manufacturing the same
US9607995B2 (en) Semiconductor structure and fabrication method thereof, and static random access memory cell
KR100605499B1 (en) MOS transistor having recessed gate electrode method of fabricating the same
US7320908B2 (en) Methods of forming semiconductor devices having buried oxide patterns
KR100844938B1 (en) Semiconductor device and method for manufacturing the same
TWI402984B (en) Self-aligned planar double-gate process by self-aligned oxidation
US7829932B2 (en) Semiconductor device
US20050077553A1 (en) Methods of forming multi fin FETs using sacrificial fins and devices so formed
US20050272190A1 (en) Methods of fabricating fin field-effect transistors having silicide gate electrodes and related devices
US20050285186A1 (en) Semiconductor device and method of fabricating the same
US7683437B2 (en) Semiconductor device having fin field effect transistor and manufacturing method thereof
US20150162438A1 (en) Memory device employing an inverted u-shaped floating gate
US20100314671A1 (en) Semiconductor device and method of forming the same
KR100668511B1 (en) Fin transistor and method for manufacturing the same
US7692243B2 (en) Semiconductor device and method of manufacturing the same
JP2009009988A (en) Semiconductor device and its fabrication process
KR20040080235A (en) A method for forming a semiconductor device
US8680612B2 (en) Semiconductor device and manufacturing method thereof
KR20050083305A (en) Method for manufacturing fin field effect transistor
KR100753051B1 (en) Method for manufacturing saddle type fin transistor
KR100861299B1 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AHN, YOUNG-JOON;PARK, DONG-GUN;LEE, CHOONG-HO;AND OTHERS;REEL/FRAME:016918/0593

Effective date: 20050321

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12