US20050233477A1 - Substrate processing apparatus, substrate processing method, and program for implementing the method - Google Patents

Substrate processing apparatus, substrate processing method, and program for implementing the method Download PDF

Info

Publication number
US20050233477A1
US20050233477A1 US11/072,521 US7252105A US2005233477A1 US 20050233477 A1 US20050233477 A1 US 20050233477A1 US 7252105 A US7252105 A US 7252105A US 2005233477 A1 US2005233477 A1 US 2005233477A1
Authority
US
United States
Prior art keywords
processing
substrate
dummy
execution
dummy processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/072,521
Inventor
Satoshi Yamazaki
Mitsuru Hashimoto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2005047362A external-priority patent/JP5080724B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US11/072,521 priority Critical patent/US20050233477A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HASHIMOTO, MITSURU, YAMAZAKI, SATOSHI
Publication of US20050233477A1 publication Critical patent/US20050233477A1/en
Priority to US13/034,170 priority patent/US8785216B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Definitions

  • the present invention relates to a substrate processing apparatus, a substrate processing method, and a program for implementing the method, and more particularly to a substrate processing apparatus and a substrate processing method which are capable of carrying out dummy processing, and a program for implementing the method.
  • etching on thin films and CVD Chemical Vapor Deposition
  • CVD Chemical Vapor Deposition
  • the plasma CVD grows a thin film on a substrate, but the thin film is also deposited on the vessel inner wall as well.
  • the etching process shaves off the film formed on the substrate by subjecting the same to a chemical reaction, so that reaction products are decomposed by plasma and deposit on the vessel inner wall.
  • the vessel inner wall is contaminated as described above, which adversely affects chemical reactions in plasma and degrades reproducibility of the process.
  • a vacuum vessel provided in a semiconductor chip manufacturing apparatus is periodically cleaned so as to enhance the availability or rate of operation of the apparatus.
  • a seasoning (aging) process is carried out after cleaning so as to maintain the inner wall of the vacuum vessel in a predetermined condition.
  • the semiconductor chip manufacturing apparatus is provided with a function of carrying out dummy processing on a non-product substrate before execution of product processing including the plasma process.
  • the dummy processing is carried out by an automatic process in which timing for the dummy processing and that for the product processing are collectively managed as a single sequence by on-line control.
  • a dummy recipe for the dummy processing is linked to (registered in) a recipe for the product processing (which will be referred to as “the dummy processing recipe-linking function”), whereby the dummy processing is carried out on a non-product substrate before each execution of the product processing on a substrate lot (see e.g. Japanese Laid-Open Patent Publication (Kokai) No. 2001-176763).
  • time intervals at which the cleaning is periodically performed are determined based on a process chamber operating parameter, such as a cumulative time period of RF (radio frequency) power discharge in a process chamber, which is set by a maintenance and management function, or the number of processed substrates (see e.g. U.S. Pat. No. 6,168,672).
  • a process chamber operating parameter such as a cumulative time period of RF (radio frequency) power discharge in a process chamber, which is set by a maintenance and management function, or the number of processed substrates (see e.g. U.S. Pat. No. 6,168,672).
  • a substrate processing apparatus comprising at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed, dummy processing means for carrying out dummy processing on a non-product substrate, and determining means for determining whether or not the dummy processing is to be executed, wherein the determining means includes stability determining means for determining whether or not an interior of the process chamber is in a stable state, and the dummy processing means includes dummy processing omitting means for omitting execution of the dummy processing when the stability determining means determines that the interior of the process chamber is in the stable state.
  • the stability determining means determines that the interior of the process chamber is in the stable state.
  • the determining means performs the determination based on preset dummy processing execution requirements or dummy processing non-execution requirements, the dummy processing non-execution requirements including a condition that the standing time does not exceed the predetermined time period.
  • the dummy processing non-execution requirements include a condition that the non-product substrate does not exist.
  • the dummy processing non-execution requirements include a condition that execution of the dummy processing on the non-product substrate before execution of the predetermined processing on the substrate is not designated.
  • the dummy processing execution requirements include a condition that it is configured such that omission of execution of the dummy processing by the dummy processing omitting means is disabled.
  • the dummy processing execution requirements include a condition that the process chamber is in an off-line state.
  • the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after power of the substrate processing apparatus is turned on.
  • the dummy processing execution requirements include a condition that a substrate processed before the substrate was processed in an off-line state.
  • the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after execution of maintenance of the process chamber.
  • the dummy processing execution requirements include a condition that abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • the dummy processing means carries out the dummy processing once.
  • processing time of the dummy processing carried out once is longer than processing time of the predetermined processing to be carried out on the substrate.
  • a set value of power required for the dummy processing to be carried out once is higher than a set value of power required for the predetermined processing to be carried out on the substrate.
  • the determining means performs the determination for each substrate lot including the substrate.
  • the substrate processing apparatus comprises abort processing setting means for allowing setting to be made as to whether or not the abort processing is to be executed on the substrate, after abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • the substrate processing apparatus comprises log recording means for recording a log indicative of whether or not execution of the dummy processing was omitted.
  • a substrate processing method for a substrate processing apparatus including at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed, comprising a dummy processing step of carrying out dummy processing on a non-product substrate, and a determining step of determining whether or not the dummy processing is to be executed, wherein the determining step includes a stability determining step of determining whether or not an interior of the process chamber is in a stable state, and the dummy processing step includes a dummy processing omitting step of omitting the execution of the dummy processing when it is determined in the stability determining step that the interior of the process chamber is in the stable state.
  • the stability determining step determines that the interior of the process chamber is in the stable state.
  • the determination in the determining step is performed based on preset dummy processing execution requirements or dummy processing non-execution requirements, the dummy processing non-execution requirements including a condition that the standing time does not exceed the predetermined time period.
  • the dummy processing non-execution requirements include a condition that the non-product substrate does not exist.
  • the dummy processing non-execution requirements include a condition that execution of the dummy processing on the non-product substrate before execution of the predetermined processing on the substrate is not designated.
  • the dummy processing execution requirements include a condition that it is configured such that omission of execution of the dummy processing in the dummy processing omitting step is disabled.
  • the dummy processing execution requirements include a condition that the process chamber is in an off-line state.
  • the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after power of the substrate processing apparatus is turned on.
  • the dummy processing execution requirements include a condition that a substrate processed before the substrate was processed in an off-line state.
  • the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after execution of maintenance of the process chamber.
  • the dummy processing execution requirements include a condition that abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • the dummy processing is carried out once in the dummy processing step.
  • processing time of the dummy processing carried out once is longer than processing time of the predetermined processing to be carried out on the substrate.
  • a set value of power required for the dummy processing to be carried out once is higher than a set value of power required for the predetermined processing to be carried out on the substrate.
  • the determining step comprises performing determination for each substrate lot including the substrate.
  • the substrate processing method comprises an abort processing setting step of allowing setting to be made as to whether or not the abort processing is to be executed on the substrate, after abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • the substrate processing method comprises a log recording step of recording a log indicative of whether or not execution of the dummy processing was omitted.
  • FIG. 1 is a block diagram schematically showing the arrangement of a substrate processing system including a substrate processing apparatus according to an embodiment of the present invention
  • FIG. 2 is a top view schematically showing the arrangement of an etching apparatus appearing in FIG. 1 ;
  • FIG. 3 is a view useful in explaining a product processing recipe registered in advance in a host computer appearing in FIG. 1 ;
  • FIG. 4 is a view useful in explaining a transfer recipe registered in advance in the host computer appearing in FIG. 1 ;
  • FIG. 5 is a view useful in explaining dummy processing for which settings can be made via a screen displaying the transfer recipe in FIG. 4 ;
  • FIG. 6 is a view of a start confirmation screen displayed on a display screen of the host computer in FIG. 1 before starting the transfer of a substrate lot to be subjected to product processing;
  • FIG. 7 is a view useful in explaining detailed requirements for execution of the dummy processing configured as explained with reference to FIG. 5 ;
  • FIG. 8 is a flowchart of a substrate processing control process executed by the host computer in FIG. 1 ;
  • FIG. 9A is a view showing an example of a process log recorded in the host computer
  • FIG. 9B is a fragmentary view showing an example of a dummy process log as a result of execution of dummy processing on non-product substrates
  • FIG. 10 is a flowchart showing details of dummy processing executed in a step S 809 in FIG. 8 ;
  • FIG. 11 is a view schematically showing the arrangement of a first variation of the substrate processing apparatus according to the embodiment.
  • FIG. 12 is a view schematically showing the arrangement of a second variation of the substrate processing apparatus according to the embodiment.
  • FIG. 1 is a block diagram schematically showing the arrangement of a substrate processing system including a substrate processing apparatus according to an embodiment of the present invention.
  • the substrate processing system 1000 shown in FIG. 1 is comprised of at least one etching apparatus 100 as a substrate processing apparatus, an auto guided vehicle (AGV) for conveying a cassette, referred to hereinafter, containing semiconductor substrates (hereinafter simply referred to as “substrates”) to the etching apparatus 100 , and a host computer 200 connected to the AGV and the etching apparatus 100 via a network.
  • AGV auto guided vehicle
  • a transfer recipe, a product processing recipe, and a dummy processing recipe, all of which will be described in detail hereinafter, are registered in advance as programs in the host computer 200 , and based on unattended control by the on-line host computer 200 , the etching apparatus 100 automatically carries out operations for transferring non-product substrates for dummy processing, substrates to be subjected to product processing, and substrates already subjected to product processing, and steps of dummy processing, described in detail hereinafter, and steps of etching (product processing), also described in detail hereinafter.
  • FIG. 2 is a top view schematically showing the arrangement of the etching apparatus 100 appearing in FIG. 1 .
  • the etching apparatus 100 is comprised of cassette chambers (C/C) 101 and 102 on each of which a cassette transferred from the AGV is placed, a transfer chamber (T/C) 104 adjacent to the cassette chambers 101 and 102 and having a turning arm 103 , referred to hereinafter, mounted therein, a pre-alignment section (P/A) 105 adjacent to the transfer chamber 104 , and process chambers (P/C) 106 and 107 adjacent to the transfer chamber 104 .
  • cassette chambers (C/C) 101 and 102 on each of which a cassette transferred from the AGV is placed
  • T/C transfer chamber
  • P/A pre-alignment section
  • P/C pre-alignment section
  • P/C process chambers
  • Each cassette contains substrates (W) including non-product substrates for dummy processing as well as substrates to be subjected to product processing and substrates already subjected to product processing.
  • the cassette contains three non-product substrates, for example, on its lowermost stage.
  • the turning arm 103 in the transfer chamber 104 is disposed such that it can transfer substrates one by one between the cassette chambers 101 and 102 , the pre-alignment section 105 , and the process chambers 106 and 107 .
  • plasma is generated under a vacuum processing atmosphere, based on the product processing recipe preset in the host computer 200 .
  • the plasma can be generated by applying radio frequency (RF) power between upper and lower electrode plates provided parallel to each other in the process chamber 106 ( 107 ).
  • RF radio frequency
  • the etching apparatus 100 carries out etching on substrates under the processing atmosphere containing the plasma generated in the process chambers 106 and 107 , based on etching conditions described in detail hereinafter.
  • the dummy processing is carried out for stabilization of the processing atmosphere, particularly, temperature and pressure, before execution of etching on the substrates, or for test operation or warming up of the apparatus, or for cleaning and seasoning (aging) after the cleaning.
  • the dummy processing is also executed so as to establish processing conditions for a substrate lot contained in the cassette.
  • FIG. 3 is a view useful in explaining the product processing recipe registered in advance in the host computer 200 in FIG. 1 .
  • the product processing recipe is set in the host computer 200 via a display screen of the host computer 200 and linked to (registered in) the transfer recipe described in detail hereinafter.
  • Product processing recipes are provided in association with respective ones of the process chambers 106 and 107 , and detailed etching conditions and the like are entered in the recipe.
  • the etching conditions include a processing time period of etching (main step), values of the supply flow rates of process gases, such as a carbon fluoride (CF) gas, a fluorinated hydrocarbon (CHF) gas, a hydrobromine (HBr) gas, a chlorine (Cl 2 ) gas, an argon (Ar) gas, a carbon monoxide (CO) gas, and an oxygen (O 2 ) gas, mixture ratios of process gases, values of pressure in the process chambers, the distance between the upper electrode plate and the lower electrode plate, a value of electric power to be applied to the upper and lower electrode plates, residence timer representative of an evacuation time period, substrate temperature, and so forth.
  • process gases such as a carbon fluoride (CF) gas, a fluorinated hydrocarbon (CHF) gas, a hydrobromine (HBr) gas, a chlorine (Cl 2 ) gas, an argon (Ar) gas, a carbon monoxide (CO) gas, and an oxygen (O 2 )
  • This substrate transfer operation is carried out based on the transfer recipe preset in the host computer 200 .
  • the turning arm 103 transfers a substrate to be subjected to product processing or a non-product substrate for dummy processing to the pre-alignment section 105 from a cassette containing the substrates.
  • the substrate has an orientation flat thereof, i.e. a flat outer peripheral surface thereof aligned (positioned) in the pre-alignment section 105 , and is then transferred into one of the process chambers 106 and 107 .
  • An etched substrate is transferred into a cassette in a designated one of the cassette chambers 101 and 102 , e.g. at the same position as the storage position where the substrate was stored before the processing.
  • FIG. 4 is a view useful in explaining the transfer recipe registered in advance in the host computer 200 in FIG. 1 .
  • transfer recipes are provided in association with respective ones of the cassette chambers 101 and 102 , and designations are made in the host computer 200 via the display screen of the host computer 200 .
  • designations are made as to whether a substrate to be transferred by the turning arm 103 is a substrate for product processing or a non-product substrate for dummy processing, whether a cassette to or from which a substrate is to be transferred is one in the cassette chamber 101 or one in the cassette chamber 102 , whether a process chamber to which a substrate is to be transferred is the process chamber 106 or the process chamber 107 , and so forth.
  • FIG. 5 is a view useful in explaining dummy processing for which settings can be made via a screen displaying the transfer recipe in FIG. 4 .
  • a link button 400 for enabling a change in the settings of dummy processing is provided on the screen of the host computer 200 displaying the transfer recipe, and therefore, by operating the link button 400 , it is possible to set whether or not to carry out dummy processing, and to set the number of non-product substrates to be used for the dummy processing (dummy processing recipe-linking function). Since the screen displaying the transfer recipe is provided with the link button 400 , the settings of the dummy processing recipe as well as those of the transfer recipe can be easily changed so as to prevent occurrence of human operating errors.
  • execution of dummy processing is selected on the display screen in FIG. 5 , the dummy processing is carried out on a designated number of non-product substrates that has been set, before execution of etching on a substrate lot contained in the associated cassette.
  • FIG. 6 is a view of a start confirmation screen displayed on the display screen of the host computer 200 in FIG. 1 before the start of transfer of a substrate lot to be subjected to product processing.
  • the setting as to whether to carry out the preset dummy processing can be changed (lot stabilizing dummy processing function). Further, the settings of the dummy processing that have already been made can also be changed. This makes it possible to prevent occurrence of human operating errors, such as a careless omission of setting of the dummy processing, an error in inputting a set value, or an error in selecting an option (button).
  • FIG. 7 is a view useful in explaining the detailed requirements for execution of the dummy processing set on the display screen in FIG. 5 .
  • the preset values of the configuration parameters can be changed during idle time of the process chambers 106 and 107 of the etching apparatus 100 .
  • the detailed requirements for execution of the dummy processing are determined by changing the preset value of a parameter 600 , i.e. a “chamber standing time” as a time period over which each of the process chambers 106 and 107 is left standing, which is included in the items of the configuration parameters.
  • the initial set value of the parameter 600 is a time period “00: 00: 00”.
  • the host computer 200 controls the etching apparatus 100 such that dummy processing is carried out on a non-product substrate before execution of each etching on a substrate lot, as in the case of the conventional dummy processing.
  • the host computer 200 When a predetermined time period is entered as a set value of the parameter 600 , the host computer 200 counts the entered predetermined time period starting from a time count start reference time set to the execution end time of the main step, i.e. etching executed on a substrate lot. When the predetermined time period has elapsed, dummy processing is carried out on a non-product substrate before execution of each etching on a substrate lot, as in the case of the conventional dummy processing.
  • the following substrate lot when execution of etching on a following substrate lot to be processed next (hereinafter referred to “the following substrate lot”) is started before the lapse of the predetermined time period, the dummy processing, which should otherwise have been executed as in the case of the conventional dummy processing, is omitted (dummy processing-improving function).
  • the lower limit value of the parameter 600 is set e.g. to approximately fifteen minutes except when set to the time period “00: 00: 00” indicative of disabling of the dummy processing-improving function.
  • the lower limit value of the parameter 600 is set to approximately fifteen minutes, it is possible to set the chamber standing time to a time period longer than the time interval between successive lot transfers.
  • the chamber standing time can be set to a shorter time period (e.g. one second) than the time interval between successive lot transfers, but when substrate lots are successively transferred, dummy processing is carried out on non-product substrates without activating the dummy processing-improving function.
  • the upper limit of the set value of the parameter 600 is preferably a time period over which the processing atmosphere, particularly stability of temperature and pressure thereof, can be maintained in a process chamber used by the user for product processing on an immediately preceding substrate lot (hereinafter referred to as “the preceding substrate lot”), e.g. approximately three hours, and more preferably, approximately one hour.
  • the upper limit value of the parameter 600 is empirically determined, and therefore it may be determined based on not only temperature and pressure, but also a time period over which product processing is carried out on the preceding substrate lot, the etch rate, selection ratio, uniformity, and so forth of the product processing.
  • FIG. 8 is a flowchart of a substrate processing control process executed by the host computer 200 in FIG. 1 .
  • step S 800 it is determined in a step S 800 whether or not there is a non-product substrate contained in a cassette. If there is a non-product substrate, it is determined whether or not execution of dummy processing on the non-product substrate before execution of etching on the substrate lot contained in the cassette is designated (step S 801 ). If there is no non-product substrate, or if execution of dummy processing is not designated, the process proceeds to a step S 810 , referred to hereinafter.
  • step S 802 it is determined whether or not the dummy processing-improving function is disabled. More specifically, it is determined whether or not the value of the parameter 600 is set to “00: 00: 00”. If the dummy processing-improving function is enabled, i.e. if the value of the parameter 600 is not set to “00: 00: 00”, it is determined whether or not the process chambers 106 and 107 are on line (i.e. whether or not the process chambers 106 and 107 are connected to the host computer 200 ) (step S 803 ).
  • step S 804 it is determined whether or not it is after the electric power has been switched from the OFF state to the ON state, and before the start of execution of etching on a first substrate of a first substrate lot to be processed after power-on.
  • step S 804 If it is determined in the step S 804 that the present substrate is not a first substrate of a first lot after power-on, it is determined whether or not the preceding substrate lot was subjected to etching in the off-line state (step S 805 ). If the preceding substrate lot was subjected to etching in the on-line state, it is determined whether or not there is a substrate subjected to etching or a non-product substrate subjected to dummy processing after execution of maintenance of the process chambers (P/C) 106 and 107 (step S 806 ).
  • P/C process chambers
  • step S 807 it is determined whether or not the preceding substrate lot was aborted by an abort function.
  • the abort function forcibly terminates substrate transfer or execution of etching when an error occurs during execution of etching on one of substrate lots continuously transferred. In the case where a plurality of substrate lots are continuously transferred, when a preceding substrate lot is aborted, the following substrate lot is also aborted automatically.
  • step S 807 If it is determined in the step S 807 that no substrate lot was aborted, the process proceeds to a step S 808 , wherein it is determined whether or not a predetermined time period set as the parameter 600 , i.e. “the chamber standing time” has elapsed (timed out) after the execution end time of the main step (etching) executed on the preceding substrate lot, which is set as the time count start reference time.
  • a predetermined time period set as the parameter 600 i.e. “the chamber standing time” has elapsed (timed out) after the execution end time of the main step (etching) executed on the preceding substrate lot, which is set as the time count start reference time.
  • the product processing recipe is executed, so that the count of a timer, not shown, is first set to “0” when RF power is applied to the upper electrode plate and the lower electrode plate, and then the set count of the timer is held at “0” during execution of the main step (see FIG. 3 , e.g. STEPS 1 to 24 (in FIG. 3 , only STEPS 1 to 6 are shown). The holding of the count is canceled immediately when the main step is completed.
  • the process chambers 106 and 107 are in the off-line state (NO to the step S 803 ); the present substrate lot is the first lot after power-on (YES to the step S 804 ); the preceding substrate lot was subjected to etching in the off-line state (YES to the step S 805 ); there is no substrate subjected to etching after the P/C maintenance (YES to the step S 806 ); the preceding substrate lot was aborted (YES to the step S 807 ); or the chamber standing time has elapsed, at least one non-product substrate is subjected to the dummy processing, as described in detail hereinafter with reference to FIG.
  • step S 809 the process proceeds to the step S 810 .
  • the substrates included in the substrate lot are all subjected to product processing (etching), followed by terminating the process.
  • etching product processing
  • dummy processing is carried out on one to three non-product substrates before each execution of product processing in the step S 810 .
  • the processing atmosphere in each of the process chambers 106 and 107 can be reliably stabilized.
  • step S 808 determines whether the chamber standing time has not elapsed, which means that the processing atmosphere within a process chamber used for etching the preceding substrate lot is held in a stable state.
  • the dummy processing in the step S 809 is skipped (omitted), and the process proceeds to the step S 810 .
  • the substrates included in the substrate lot are all subjected to etching (product processing), followed by terminating the present process.
  • step S 810 when the chamber standing time has not elapsed (NO to the step S 808 ), the dummy processing in the step S 809 is omitted, judging that the process chamber used for etching the preceding substrate lot has been kept stable, and the substrates in the substrate lot are subjected to etching (step S 810 ).
  • the process chamber used for etching the preceding substrate lot has been kept stable, and the substrates in the substrate lot are subjected to etching (step S 810 ).
  • step S 804 to S 808 If it is determined in the steps S 804 to S 808 that at least one of the process chambers 106 and 107 corresponds to the affirmative answer “YES”, the process proceeds to the step S 809 .
  • steps S 800 to S 808 in FIG. 8 are executed in a state where transfer of a first substrate in a substrate lot can be started.
  • the substrate processing system is configured such that it is possible to set whether or not the following substrate lot is to be automatically aborted, which is determined in the abort processing in the step S 807 . More specifically, this setting can be made according to configuration parameters which can be changed by the host computer 200 , and whether or not a preceding substrate lot and the following substrate lot were aborted is recorded in a process log as a log of the whole process (see FIG. 9A , with reference to which a detailed description will be given hereinafter).
  • step S 807 it is determined in the step S 807 that the preceding substrate lot was aborted, and the dummy processing is executed whenever the answer to the question of the step S 807 is affirmative, so that it is possible to dispense with the step S 808 in which whether or not the dummy processing should be executed is determined based on the chamber standing time (parameter 600 “chamber standing time” forcible termination option).
  • the result of dummy processing executed on a non-product substrate is recorded in the host computer 200 , as a process log as a log of the whole process, or as a dummy process log.
  • FIG. 9A is a view showing an example of the process log recorded in the host computer 200
  • FIG. 9B is a fragmentary view showing an example of the dummy process log as the result of dummy processing executed on a non-product substrate.
  • substrate lots to which a name including “-ST” is given are substrate lots of non-product substrates subjected to dummy processing based on the dummy processing recipe-linking function or the lot stabilizing dummy processing function. Further, substrate lots to which a name including “-PL” is given are substrate lots of non-product substrates subjected to dummy processing based on a plasma cleaning recipe-linking function.
  • a substrate (wafer)-listing button is depressed in the state of a substrate lot being selected on a display screen in FIG. 9A , the screen is switched to a display screen shown in FIG. 9B .
  • FIG. 9B is a display screen for listing substrates of a substrate lot whose lot name includes “-ST”, when the substrate lot is selected.
  • dummy slot numbers “-Dx” different from each other are given to three non-product substrates, so as to enable the user to visually recognize which non-product substrate was subjected to dummy processing or which non-product substrate execution of dummy processing was omitted for. This makes it possible to collect log information from the process log and the dummy process log e.g. when a trouble occurs in the etching apparatus 100 .
  • etching for dielectric films is demanded of (1) advanced HARC (High Aspect Ratio Contact) control for etching linear grooves with an aspect ratio of 20 or more, (2) advanced CD control with argon fluoride (ArF) and hard mask etching for gates, and (3) high processing capability of processing low-k material (dielectric constant k of not more than 2.5) for a damascene process for embedding a metal in a desired portion of a substrate in a chip manufacturing process.
  • HARC High Aspect Ratio Contact
  • ArF argon fluoride
  • a damascene process for embedding a metal in a desired portion of a substrate in a chip manufacturing process.
  • the plasma etching apparatus it is necessary for the plasma etching apparatus to control temperature more delicately by more highly advanced technology than the conventional plasma etching apparatuses and stably maintain the controlled temperature to thereby enhance stability of the processing atmosphere within the process chambers for achievement of more excellent CD controllability.
  • an atmosphere temperature within the process chamber is required to be kept stable within a range of 115 to 121° C.
  • the present inventors made assiduous studies and found that even one-time execution of dummy processing can enhance stability of the atmosphere temperature by providing operation modes for dummy processing, i.e. a long-time mode and a high-power mode, described in detail hereinafter, by changing part of the configuration of a step most contributing to stability of the atmosphere temperature in the normal dummy processing recipe (normal mode of dummy processing) similar to the product processing recipe, i.e. the main step in which processing is carried out at the highest temperature, and causing the etching apparatus 100 to execute the dummy processing in the step S 809 in at least one of the operation modes.
  • This makes it possible to reduce not only the number of executions of dummy processing, i.e. time required for dummy processing, but also the number of non-product substrates or substrates out of the specification in product processing, which contributes to improvement of throughput and resulting enhancement of the productivity of the etching apparatus 100 .
  • processing time in the main step is made longer than in the normal mode.
  • processing time in the main step which is set to five minutes in the normal mode of dummy processing, is changed to seven minutes in the long-time mode.
  • the processing time in the main step is set to a time period determined empirically or experimentally in advance according to the diameter of the substrate and/or the kinds of process gases.
  • the processing time may be determined according to the processing atmosphere, for example, temperatures of the center, middle, and peripheral edge of the process chamber 106 ( 107 ), for example, which are measured by a cleaning plate, not shown, mounted to the upper electrode. If the processing time is set to too long a time period, energy is consumed wastefully, and therefore, it is preferable to set the same within a range between a normal time period and twice the same.
  • the value of the RF power is determined empirically or experimentally in advance, as in the case of the long-time mode, according to the diameter of the substrate and/or the kinds of process gases.
  • the set value of the RF power may be determined according to the processing atmosphere. If the set value of the RF power is too high, energy is consumed wastefully, and therefore, it is preferable to set the same within a range between a normal level and twice the same.
  • FIG. 10 is a flowchart showing details of the dummy processing executed in the step S 809 in FIG. 8 .
  • the operation modes for dummy processing include the high-power mode and the long-time mode in addition to the normal mode in which the same processing as the product processing executed in the step S 810 is carried out as dummy processing.
  • the normal mode is set as a standard operation mode to be normally selected.
  • the mode change to the high-power mode or the long-time mode in the step S 1001 is carried out when the processing atmosphere within the process chamber is not stable, e.g. when the parameter 600 in FIG. 7 , i.e. the “chamber standing time” is set to not shorter than one hour and the chamber standing time has elapsed in the step S 808 . Further, it is preferable that the mode change to the high-power mode or the long-time mode is carried out when high stability of the processing atmosphere is required, e.g. when the diameter of a substrate to be processed is not less than 300 mm, or when the line width with which the substrate is to be etched is not more than 90 nm.
  • step S 1005 it is determined whether or not the selected one of the high-power mode and the long-time mode should be set as the standard operation mode. If the selected mode should be set as the standard operation mode, the process proceeds to a step S 1006 , whereas if the selected mode should not be set as the standard operation mode, the process skips over the step S 1006 to a step S 1007 .
  • step S 1006 the normal mode as the standard operation mode is selected (step S 1006 ), and the process proceeds to the step S 1007 .
  • step S 1006 the operation mode for dummy processing, set as the standard operation mode, is selected (step S 1006 ), and the process proceeds to the step S 1007 .
  • step S 1007 dummy processing is carried out on at least one non-product substrate in the selected operation mode for dummy processing. More specifically, if the selected operation mode is either the high-power mode or the long-time mode, dummy processing is carried out on only one non-product substrate in the selected operation mode, whereas if the selected operation mode is the normal mode, dummy processing is carried out on at least one non-product substrate, e.g. on three non-product substrates, in the normal mode.
  • the operation mode for dummy processing is changed to the high-power mode or the long-time mode based on the level of stability of the processing atmosphere, which is required for the product processing in the step S 810 (step S 1004 ), and only one-time dummy processing is executed in the selected operation mode.
  • the productivity of the etching apparatus 100 can be enhanced.
  • the operation modes described above may not include one of the high-power mode and the long-time mode, or may further include a mode which is a combination of the recipes of the high-power mode and the long-time mode. Further, programs for implementing the respective operation modes may be provided separately in association with the respective operation modes, or alternatively a program for implementing the normal mode and another program for changing the program for implementing the normal mode in accordance with a selected one of the high-power mode and the long-time mode may be provided.
  • the operation mode is changed, this is not limitative, but the process may be configured such that the user can change the processing time and the set value of the RF power for use in the main step set forth in the recipe for the normal dummy processing.
  • some kinds of process gases can change the processing atmosphere to an atmosphere unsuitable for product processing, and therefore it is preferable that a step of carrying out dry cleaning using a single gas, such as an oxygen (O 2 ) gas, after execution of the main step is added to the recipe for dummy processing.
  • a single gas such as an oxygen (O 2 ) gas
  • An example of the above-mentioned atmosphere unsuitable for product processing is an atmosphere in which a deposit (particles) is liable to be formed on a substrate due to a process gas containing C 4 F 6 .
  • the substrate processing apparatus according to the present embodiment is not limited to the etching apparatus 100 as shown in FIG. 2 , but variations thereof described below are possible.
  • FIG. 11 is a view schematically showing the arrangement of a first variation of the substrate processing apparatus according to the present embodiment.
  • the substrate processing apparatus 100 ′ as the first variation is comprised of a first processing ship 111 including a process chamber (P/C) for carrying out reactive ion etching (RIE) using plasma on a substrate W, a second processing ship 112 disposed parallel with the first processing ship 111 and including a process chamber (P/C) for carrying out a COR (Chemical Oxide Removal) process and a PHT (Post Heat Treatment) process on the substrate W subjected to RIE, a loader unit 113 having a rectangular shape as a common transfer chamber (T/C) and connected to the first processing ship 111 and the second processing ship 112 , three FOUP mounting bases 115 connected to the loader unit 113 , an orienter 116 as a pre-alignment section (P/A) connected to the loader unit 113 , for pre-aligning the position of a transferred substrate W, first and second IMSs (Integrated Metrology Systems: available from Therma-Wave, Inc.
  • RIE reactive i
  • FIG. 12 is a view schematically showing the arrangement of a second variation of the substrate processing apparatus according to the present embodiment.
  • the substrate processing apparatus 100 ′′ as the second variation is comprised of a substrate processing section 202 including process chambers (P/C) for carrying out various types of processing, such as film formation, diffusion, and etching, on substrates W on a substrate-by-substrate basis, a substrate storing section 3 for storing not only unprocessed substrates W but also substrates W processed in the substrate processing section 202 , and a transfer chamber (T/C) 203 for transferring a substrate W between the substrate processing section 202 and the substrate storing section 3 .
  • the substrate processing section 202 includes six P/Cs 6 A to 6 F connected to the T/C 203 .
  • the substrate storing section 3 is comprised of a FOUP mounting base 11 on which four FOUPs 10 A to 10 D can be mounted, two load lock (L/L) chambers 9 A and 9 B connected to the T/C 203 , a loader unit 12 of an atmosphere transport system, which is disposed between the FOUP mounting base 11 and the L/L chambers 9 A and 9 B, an orienter 18 as a pre-alignment section (P/A) for pre-aligning the position of a substrate W, and two FOUPs, not shown, for non-product substrates, which are mounted on the front side surface of the loader unit 12 at respective locations below the L/L chambers 9 A and 9 B.
  • P/A pre-alignment section
  • Each of the FOUPs 10 A to 10 D contains twenty-five substrates W, for example, and each of the FOUPs for non-product substrates contains a predetermined number of non-product substrates for dummy processing which are used for trial operation of the substrate processing apparatus 100 ′′.
  • the substrate processing apparatus 100 ′′ as the second variation of the present embodiment is provided with the six P/Cs 6 A to 6 F, i.e. more P/Cs than in the etching apparatus 100 in FIG. 2 , so that more substrates W can be processed simultaneously, which makes it possible to improve the substrate processing efficiency of the substrate processing apparatus.
  • substrates to be processed are semiconductor substrates, this is not limitative, but the present invention may be applied to processing of glass substrates for LCDs, FPDs (Flat Panel Displays), and the like.
  • the object of the present invention may also be accomplished by supplying a computer or a CPU with a program code of software, which realizes the functions of the above described embodiment, and causing the computer or CPU to read out and execute the program code.
  • the above program has only to realize the functions of the above described embodiment on a computer, and the form of the program may be an object code, a program code executed by an interpreter, or script data supplied to an OS.
  • the object of the present invention may also be accomplished by supplying a system or an apparatus with a storage medium in which a program code of software, which realizes the functions of the above described embodiment is stored, and causing a computer (or CPU or MPU) of the system or apparatus to read out and execute the program code stored in the storage medium.
  • the program code itself read from the storage medium realizes the functions of the above described embodiment, and therefore the program code and the storage medium in which the program code is stored constitute the present invention.
  • Examples of the storage medium for supplying the program code include a floppy (registered trademark) disk, a hard disk, a magnetic-optical disk, a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, a DVD-RAM, a DVD ⁇ RW, a DVD+RW, a magnetic tape, a nonvolatile memory card, and a ROM.
  • the program may be downloaded via a network from another computer, a database, or the like, not shown, connected to the Internet, a commercial network, a local area network, or the like.
  • the functions of the above described embodiment may be accomplished by writing a program code read out from the storage medium into a memory provided on an expansion board inserted into a computer or a memory provided in an expansion unit connected to the computer and then causing a CPU or the like provided in the expansion board or the expansion unit to perform a part or all of the actual operations based on instructions of the program code.
  • the present invention is not limited to the above described embodiment, but can be modified in various manners based on the subject matter of the present invention, which should not be excluded from within the scope of the present invention insofar as functions as recited in the appended claims or the functions performed by the construction of the above described embodiment can be achieved.

Abstract

A substrate processing apparatus which is capable of enhancing productivity in manufacturing product substrates. In process chambers 106 and 107 of an etching apparatus 100, etching is carried out on a substrate as an object to be processed, and dummy processing is carried out on at least one non-product substrate before execution of the etching. A host computer 200 determines whether or not the dummy processing is to be executed. The host computer 200 determines whether or not the interior of each of the process chambers 106 and 107 is in a stable state, and omits the execution of the dummy processing when it is determined that it is in the stable state.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a substrate processing apparatus, a substrate processing method, and a program for implementing the method, and more particularly to a substrate processing apparatus and a substrate processing method which are capable of carrying out dummy processing, and a program for implementing the method.
  • 2. Description of the Related Art
  • In a plasma process for manufacturing semiconductor chips, etching on thin films and CVD (Chemical Vapor Deposition) which deposits a metal on the etched thin films are carried out, by utilizing interaction between plasma and the inner wall of a vessel. In the vessel, large energy is applied e.g. by high frequency waves, so that the interaction between plasma and the vessel inner wall causes the following serious problem:
  • The plasma CVD grows a thin film on a substrate, but the thin film is also deposited on the vessel inner wall as well. On the other hand, the etching process shaves off the film formed on the substrate by subjecting the same to a chemical reaction, so that reaction products are decomposed by plasma and deposit on the vessel inner wall. As the plasma process is continued, the vessel inner wall is contaminated as described above, which adversely affects chemical reactions in plasma and degrades reproducibility of the process.
  • Therefore, in mass production plants, a vacuum vessel provided in a semiconductor chip manufacturing apparatus (substrate processing apparatus) is periodically cleaned so as to enhance the availability or rate of operation of the apparatus. In addition, a seasoning (aging) process is carried out after cleaning so as to maintain the inner wall of the vacuum vessel in a predetermined condition. To carry out the seasoning and warming-up of the apparatus, as well as to establish processing conditions for substrates included in one lot, the semiconductor chip manufacturing apparatus is provided with a function of carrying out dummy processing on a non-product substrate before execution of product processing including the plasma process.
  • Conventionally, to prevent occurrence of human operating errors, the dummy processing is carried out by an automatic process in which timing for the dummy processing and that for the product processing are collectively managed as a single sequence by on-line control.
  • In a first method for carrying out the above-mentioned automatic process, a dummy recipe for the dummy processing is linked to (registered in) a recipe for the product processing (which will be referred to as “the dummy processing recipe-linking function”), whereby the dummy processing is carried out on a non-product substrate before each execution of the product processing on a substrate lot (see e.g. Japanese Laid-Open Patent Publication (Kokai) No. 2001-176763).
  • In a second method for carrying out the automatic process, time intervals at which the cleaning is periodically performed are determined based on a process chamber operating parameter, such as a cumulative time period of RF (radio frequency) power discharge in a process chamber, which is set by a maintenance and management function, or the number of processed substrates (see e.g. U.S. Pat. No. 6,168,672).
  • In the above-mentioned first and second methods for the automatic process, however, even when the processing atmosphere in (i.e. the status of the interior of) the process chamber is stable as in the case of the product processing being continuously executed on substrate lots under the same processing conditions, a non-product substrate is subjected to the dummy processing whenever a substrate lot is subjected to the product processing or periodically, which causes not only wasteful use of non-product substrates, but also a decrease in throughput (processing capability) and hence degraded productivity in manufacturing product substrates.
  • Further, when the processing atmosphere in (i.e. the status of the interior of) the process chamber is unstable, it is necessary to carry out dummy processing repeatedly.
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a substrate processing apparatus and a substrate processing method which are capable of enhancing productivity in manufacturing product substrates, and a program for implementing the method.
  • To attain the above object, in a first aspect of the present invention, there is provided a substrate processing apparatus comprising at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed, dummy processing means for carrying out dummy processing on a non-product substrate, and determining means for determining whether or not the dummy processing is to be executed, wherein the determining means includes stability determining means for determining whether or not an interior of the process chamber is in a stable state, and the dummy processing means includes dummy processing omitting means for omitting execution of the dummy processing when the stability determining means determines that the interior of the process chamber is in the stable state.
  • With the arrangement of the first aspect of the present invention, when it is determined that the status of the interior of the process chamber is stable, execution of the dummy processing is omitted, which contributes to enhancement of productivity in manufacturing product substrates.
  • Preferably, when a standing time over which the process chamber is left standing and counting of which is started from a time count start reference time set to an execution end time of the predetermined processing has not exceeded a predetermined time period, the stability determining means determines that the interior of the process chamber is in the stable state.
  • More preferably, the determining means performs the determination based on preset dummy processing execution requirements or dummy processing non-execution requirements, the dummy processing non-execution requirements including a condition that the standing time does not exceed the predetermined time period.
  • Further preferably, the dummy processing non-execution requirements include a condition that the non-product substrate does not exist.
  • Further preferably, the dummy processing non-execution requirements include a condition that execution of the dummy processing on the non-product substrate before execution of the predetermined processing on the substrate is not designated.
  • Further preferably, the dummy processing execution requirements include a condition that it is configured such that omission of execution of the dummy processing by the dummy processing omitting means is disabled.
  • Further preferably, the dummy processing execution requirements include a condition that the process chamber is in an off-line state.
  • Further preferably, the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after power of the substrate processing apparatus is turned on.
  • Further preferably, the dummy processing execution requirements include a condition that a substrate processed before the substrate was processed in an off-line state.
  • Further preferably, the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after execution of maintenance of the process chamber.
  • Further preferably, the dummy processing execution requirements include a condition that abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • Preferably, when the stability determining means determines that the interior of the process chamber is not in the stable state, the dummy processing means carries out the dummy processing once.
  • More preferably, processing time of the dummy processing carried out once is longer than processing time of the predetermined processing to be carried out on the substrate.
  • More preferably, a set value of power required for the dummy processing to be carried out once is higher than a set value of power required for the predetermined processing to be carried out on the substrate.
  • Preferably, the determining means performs the determination for each substrate lot including the substrate.
  • Preferably, the substrate processing apparatus comprises abort processing setting means for allowing setting to be made as to whether or not the abort processing is to be executed on the substrate, after abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • Preferably, the substrate processing apparatus comprises log recording means for recording a log indicative of whether or not execution of the dummy processing was omitted.
  • To attain the above object, in a second aspect of the present invention, there is provided a substrate processing method for a substrate processing apparatus including at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed, comprising a dummy processing step of carrying out dummy processing on a non-product substrate, and a determining step of determining whether or not the dummy processing is to be executed, wherein the determining step includes a stability determining step of determining whether or not an interior of the process chamber is in a stable state, and the dummy processing step includes a dummy processing omitting step of omitting the execution of the dummy processing when it is determined in the stability determining step that the interior of the process chamber is in the stable state.
  • Preferably, when a standing time over which the process chamber is left standing and counting of which is started from a time count start reference time set to an execution end time of the predetermined processing has not exceeded a predetermined time period, it is determined in the stability determining step that the interior of the process chamber is in the stable state.
  • More preferably, the determination in the determining step is performed based on preset dummy processing execution requirements or dummy processing non-execution requirements, the dummy processing non-execution requirements including a condition that the standing time does not exceed the predetermined time period.
  • Further preferably, the dummy processing non-execution requirements include a condition that the non-product substrate does not exist.
  • Further preferably, the dummy processing non-execution requirements include a condition that execution of the dummy processing on the non-product substrate before execution of the predetermined processing on the substrate is not designated.
  • Further preferably, the dummy processing execution requirements include a condition that it is configured such that omission of execution of the dummy processing in the dummy processing omitting step is disabled.
  • Further preferably, the dummy processing execution requirements include a condition that the process chamber is in an off-line state.
  • Further preferably, the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after power of the substrate processing apparatus is turned on.
  • Further preferably, the dummy processing execution requirements include a condition that a substrate processed before the substrate was processed in an off-line state.
  • Further preferably, the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after execution of maintenance of the process chamber.
  • Further preferably, the dummy processing execution requirements include a condition that abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • Preferably, when it is determined in the stability determining step that the interior of the process chamber is not in the stable state, the dummy processing is carried out once in the dummy processing step.
  • More preferably, processing time of the dummy processing carried out once is longer than processing time of the predetermined processing to be carried out on the substrate.
  • More preferably, a set value of power required for the dummy processing to be carried out once is higher than a set value of power required for the predetermined processing to be carried out on the substrate.
  • Preferably, the determining step comprises performing determination for each substrate lot including the substrate.
  • Preferably, the substrate processing method comprises an abort processing setting step of allowing setting to be made as to whether or not the abort processing is to be executed on the substrate, after abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
  • Preferably, the substrate processing method comprises a log recording step of recording a log indicative of whether or not execution of the dummy processing was omitted.
  • To attain the above object, in a third aspect of the present invention, there is provided a program for causing a computer to execute a substrate processing method for a substrate processing apparatus including at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed, comprising a dummy processing module for carrying out dummy processing on a non-product substrate, and a determining module for determining whether or not the dummy processing is to be executed, wherein the determining module includes a stability determining module for determining whether or not an interior of the process chamber is in a stable state, and the dummy processing module includes a dummy processing omitting module for omitting the execution of the dummy processing when the stability determining module determines that the interior of the process chamber is in the stable state.
  • The above and other objects, features, and advantages of the invention will become more apparent from the following detailed description taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a block diagram schematically showing the arrangement of a substrate processing system including a substrate processing apparatus according to an embodiment of the present invention;
  • FIG. 2 is a top view schematically showing the arrangement of an etching apparatus appearing in FIG. 1;
  • FIG. 3 is a view useful in explaining a product processing recipe registered in advance in a host computer appearing in FIG. 1;
  • FIG. 4 is a view useful in explaining a transfer recipe registered in advance in the host computer appearing in FIG. 1;
  • FIG. 5 is a view useful in explaining dummy processing for which settings can be made via a screen displaying the transfer recipe in FIG. 4;
  • FIG. 6 is a view of a start confirmation screen displayed on a display screen of the host computer in FIG. 1 before starting the transfer of a substrate lot to be subjected to product processing;
  • FIG. 7 is a view useful in explaining detailed requirements for execution of the dummy processing configured as explained with reference to FIG. 5;
  • FIG. 8 is a flowchart of a substrate processing control process executed by the host computer in FIG. 1;
  • FIG. 9A is a view showing an example of a process log recorded in the host computer;
  • FIG. 9B is a fragmentary view showing an example of a dummy process log as a result of execution of dummy processing on non-product substrates;
  • FIG. 10 is a flowchart showing details of dummy processing executed in a step S809 in FIG. 8;
  • FIG. 11 is a view schematically showing the arrangement of a first variation of the substrate processing apparatus according to the embodiment; and
  • FIG. 12 is a view schematically showing the arrangement of a second variation of the substrate processing apparatus according to the embodiment.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to the drawings showing a preferred embodiment thereof.
  • FIG. 1 is a block diagram schematically showing the arrangement of a substrate processing system including a substrate processing apparatus according to an embodiment of the present invention.
  • The substrate processing system 1000 shown in FIG. 1 is comprised of at least one etching apparatus 100 as a substrate processing apparatus, an auto guided vehicle (AGV) for conveying a cassette, referred to hereinafter, containing semiconductor substrates (hereinafter simply referred to as “substrates”) to the etching apparatus 100, and a host computer 200 connected to the AGV and the etching apparatus 100 via a network.
  • A transfer recipe, a product processing recipe, and a dummy processing recipe, all of which will be described in detail hereinafter, are registered in advance as programs in the host computer 200, and based on unattended control by the on-line host computer 200, the etching apparatus 100 automatically carries out operations for transferring non-product substrates for dummy processing, substrates to be subjected to product processing, and substrates already subjected to product processing, and steps of dummy processing, described in detail hereinafter, and steps of etching (product processing), also described in detail hereinafter.
  • FIG. 2 is a top view schematically showing the arrangement of the etching apparatus 100 appearing in FIG. 1.
  • As shown in FIG. 2, the etching apparatus 100 is comprised of cassette chambers (C/C) 101 and 102 on each of which a cassette transferred from the AGV is placed, a transfer chamber (T/C) 104 adjacent to the cassette chambers 101 and 102 and having a turning arm 103, referred to hereinafter, mounted therein, a pre-alignment section (P/A) 105 adjacent to the transfer chamber 104, and process chambers (P/C) 106 and 107 adjacent to the transfer chamber 104.
  • Each cassette contains substrates (W) including non-product substrates for dummy processing as well as substrates to be subjected to product processing and substrates already subjected to product processing. The cassette contains three non-product substrates, for example, on its lowermost stage. The turning arm 103 in the transfer chamber 104 is disposed such that it can transfer substrates one by one between the cassette chambers 101 and 102, the pre-alignment section 105, and the process chambers 106 and 107.
  • In each of the process chambers 106 and 107, plasma is generated under a vacuum processing atmosphere, based on the product processing recipe preset in the host computer 200. The plasma can be generated by applying radio frequency (RF) power between upper and lower electrode plates provided parallel to each other in the process chamber 106 (107).
  • The etching apparatus 100 carries out etching on substrates under the processing atmosphere containing the plasma generated in the process chambers 106 and 107, based on etching conditions described in detail hereinafter. The dummy processing is carried out for stabilization of the processing atmosphere, particularly, temperature and pressure, before execution of etching on the substrates, or for test operation or warming up of the apparatus, or for cleaning and seasoning (aging) after the cleaning. The dummy processing is also executed so as to establish processing conditions for a substrate lot contained in the cassette.
  • FIG. 3 is a view useful in explaining the product processing recipe registered in advance in the host computer 200 in FIG. 1.
  • As shown in FIG. 3, the product processing recipe is set in the host computer 200 via a display screen of the host computer 200 and linked to (registered in) the transfer recipe described in detail hereinafter. Product processing recipes are provided in association with respective ones of the process chambers 106 and 107, and detailed etching conditions and the like are entered in the recipe. The etching conditions include a processing time period of etching (main step), values of the supply flow rates of process gases, such as a carbon fluoride (CF) gas, a fluorinated hydrocarbon (CHF) gas, a hydrobromine (HBr) gas, a chlorine (Cl2) gas, an argon (Ar) gas, a carbon monoxide (CO) gas, and an oxygen (O2) gas, mixture ratios of process gases, values of pressure in the process chambers, the distance between the upper electrode plate and the lower electrode plate, a value of electric power to be applied to the upper and lower electrode plates, residence timer representative of an evacuation time period, substrate temperature, and so forth.
  • In the following, a description will be given of a substrate transfer operation carried out by the etching apparatus 100. This substrate transfer operation is carried out based on the transfer recipe preset in the host computer 200.
  • First, the turning arm 103 transfers a substrate to be subjected to product processing or a non-product substrate for dummy processing to the pre-alignment section 105 from a cassette containing the substrates. The substrate has an orientation flat thereof, i.e. a flat outer peripheral surface thereof aligned (positioned) in the pre-alignment section 105, and is then transferred into one of the process chambers 106 and 107. An etched substrate is transferred into a cassette in a designated one of the cassette chambers 101 and 102, e.g. at the same position as the storage position where the substrate was stored before the processing.
  • FIG. 4 is a view useful in explaining the transfer recipe registered in advance in the host computer 200 in FIG. 1.
  • As shown in FIG. 4, transfer recipes are provided in association with respective ones of the cassette chambers 101 and 102, and designations are made in the host computer 200 via the display screen of the host computer 200. For example, in the transfer recipe, designations are made as to whether a substrate to be transferred by the turning arm 103 is a substrate for product processing or a non-product substrate for dummy processing, whether a cassette to or from which a substrate is to be transferred is one in the cassette chamber 101 or one in the cassette chamber 102, whether a process chamber to which a substrate is to be transferred is the process chamber 106 or the process chamber 107, and so forth.
  • FIG. 5 is a view useful in explaining dummy processing for which settings can be made via a screen displaying the transfer recipe in FIG. 4.
  • As shown in FIGS. 4 and 5, a link button 400 for enabling a change in the settings of dummy processing is provided on the screen of the host computer 200 displaying the transfer recipe, and therefore, by operating the link button 400, it is possible to set whether or not to carry out dummy processing, and to set the number of non-product substrates to be used for the dummy processing (dummy processing recipe-linking function). Since the screen displaying the transfer recipe is provided with the link button 400, the settings of the dummy processing recipe as well as those of the transfer recipe can be easily changed so as to prevent occurrence of human operating errors.
  • If execution of dummy processing is selected on the display screen in FIG. 5, the dummy processing is carried out on a designated number of non-product substrates that has been set, before execution of etching on a substrate lot contained in the associated cassette.
  • Further, it is possible to further configure or set detailed requirements for execution of the dummy processing set on the display screen in FIG. 5 (see FIG. 7).
  • FIG. 6 is a view of a start confirmation screen displayed on the display screen of the host computer 200 in FIG. 1 before the start of transfer of a substrate lot to be subjected to product processing.
  • As shown in FIG. 6, also on the present start confirmation screen, the setting as to whether to carry out the preset dummy processing can be changed (lot stabilizing dummy processing function). Further, the settings of the dummy processing that have already been made can also be changed. This makes it possible to prevent occurrence of human operating errors, such as a careless omission of setting of the dummy processing, an error in inputting a set value, or an error in selecting an option (button).
  • FIG. 7 is a view useful in explaining the detailed requirements for execution of the dummy processing set on the display screen in FIG. 5.
  • Using the display screen of the host computer 200, shown in FIG. 7, it is possible to change preset values of configuration parameters of the etching apparatus 100. The preset values of the configuration parameters can be changed during idle time of the process chambers 106 and 107 of the etching apparatus 100.
  • The detailed requirements for execution of the dummy processing are determined by changing the preset value of a parameter 600, i.e. a “chamber standing time” as a time period over which each of the process chambers 106 and 107 is left standing, which is included in the items of the configuration parameters.
  • The initial set value of the parameter 600 is a time period “00: 00: 00”. When the time period “00: 00: 00” is set, the host computer 200 controls the etching apparatus 100 such that dummy processing is carried out on a non-product substrate before execution of each etching on a substrate lot, as in the case of the conventional dummy processing.
  • When a predetermined time period is entered as a set value of the parameter 600, the host computer 200 counts the entered predetermined time period starting from a time count start reference time set to the execution end time of the main step, i.e. etching executed on a substrate lot. When the predetermined time period has elapsed, dummy processing is carried out on a non-product substrate before execution of each etching on a substrate lot, as in the case of the conventional dummy processing. On the other hand, when execution of etching on a following substrate lot to be processed next (hereinafter referred to “the following substrate lot”) is started before the lapse of the predetermined time period, the dummy processing, which should otherwise have been executed as in the case of the conventional dummy processing, is omitted (dummy processing-improving function).
  • It is preferable that the lower limit value of the parameter 600 is set e.g. to approximately fifteen minutes except when set to the time period “00: 00: 00” indicative of disabling of the dummy processing-improving function. When the lower limit value of the parameter 600 is set to approximately fifteen minutes, it is possible to set the chamber standing time to a time period longer than the time interval between successive lot transfers. The chamber standing time can be set to a shorter time period (e.g. one second) than the time interval between successive lot transfers, but when substrate lots are successively transferred, dummy processing is carried out on non-product substrates without activating the dummy processing-improving function.
  • The upper limit of the set value of the parameter 600 is preferably a time period over which the processing atmosphere, particularly stability of temperature and pressure thereof, can be maintained in a process chamber used by the user for product processing on an immediately preceding substrate lot (hereinafter referred to as “the preceding substrate lot”), e.g. approximately three hours, and more preferably, approximately one hour. In other words, the upper limit value of the parameter 600 is empirically determined, and therefore it may be determined based on not only temperature and pressure, but also a time period over which product processing is carried out on the preceding substrate lot, the etch rate, selection ratio, uniformity, and so forth of the product processing.
  • More specifically, whether or not the dummy processing described above needs to be executed is determined as follows.
  • FIG. 8 is a flowchart of a substrate processing control process executed by the host computer 200 in FIG. 1.
  • As shown in FIG. 8, first, it is determined in a step S800 whether or not there is a non-product substrate contained in a cassette. If there is a non-product substrate, it is determined whether or not execution of dummy processing on the non-product substrate before execution of etching on the substrate lot contained in the cassette is designated (step S801). If there is no non-product substrate, or if execution of dummy processing is not designated, the process proceeds to a step S810, referred to hereinafter.
  • If it is determined in the step S801 that execution of dummy processing is designated, it is determined whether or not the dummy processing-improving function is disabled (step S802). More specifically, it is determined whether or not the value of the parameter 600 is set to “00: 00: 00”. If the dummy processing-improving function is enabled, i.e. if the value of the parameter 600 is not set to “00: 00: 00”, it is determined whether or not the process chambers 106 and 107 are on line (i.e. whether or not the process chambers 106 and 107 are connected to the host computer 200) (step S803). If the process chambers 106 and 107 are on line, it is determined whether or not it is after the electric power has been switched from the OFF state to the ON state, and before the start of execution of etching on a first substrate of a first substrate lot to be processed after power-on (step S804).
  • If it is determined in the step S804 that the present substrate is not a first substrate of a first lot after power-on, it is determined whether or not the preceding substrate lot was subjected to etching in the off-line state (step S805). If the preceding substrate lot was subjected to etching in the on-line state, it is determined whether or not there is a substrate subjected to etching or a non-product substrate subjected to dummy processing after execution of maintenance of the process chambers (P/C) 106 and 107 (step S806). If there is a substrate subjected to etching or a non-product substrate subjected to dummy processing after execution of the maintenance, it is determined whether or not the preceding substrate lot was aborted by an abort function (step S807). The abort function forcibly terminates substrate transfer or execution of etching when an error occurs during execution of etching on one of substrate lots continuously transferred. In the case where a plurality of substrate lots are continuously transferred, when a preceding substrate lot is aborted, the following substrate lot is also aborted automatically.
  • If it is determined in the step S807 that no substrate lot was aborted, the process proceeds to a step S808, wherein it is determined whether or not a predetermined time period set as the parameter 600, i.e. “the chamber standing time” has elapsed (timed out) after the execution end time of the main step (etching) executed on the preceding substrate lot, which is set as the time count start reference time.
  • It should be noted that before the start of the counting of the chamber standing time in the step S808, the product processing recipe is executed, so that the count of a timer, not shown, is first set to “0” when RF power is applied to the upper electrode plate and the lower electrode plate, and then the set count of the timer is held at “0” during execution of the main step (see FIG. 3, e.g. STEPS 1 to 24 (in FIG. 3, only STEPS 1 to 6 are shown). The holding of the count is canceled immediately when the main step is completed. After cancellation of the holding of the timer count at “0”, when re-execution processing is carried out for re-executing the main step, RF power is applied again, and the timer count is reset to “0”, whereas when the abort processing is executed for forcible termination, i.e. interruption, of the main step, the timer count is not reset to “0”.
  • If it is determined in the steps S802 to S808 that any of the requirements for execution of the dummy processing is satisfied, i.e. the dummy processing-improving function is disabled (YES to the step S802); the process chambers 106 and 107 are in the off-line state (NO to the step S803); the present substrate lot is the first lot after power-on (YES to the step S804); the preceding substrate lot was subjected to etching in the off-line state (YES to the step S805); there is no substrate subjected to etching after the P/C maintenance (YES to the step S806); the preceding substrate lot was aborted (YES to the step S807); or the chamber standing time has elapsed, at least one non-product substrate is subjected to the dummy processing, as described in detail hereinafter with reference to FIG. 10, in a step S809, and the process proceeds to the step S810. In the step S810, the substrates included in the substrate lot are all subjected to product processing (etching), followed by terminating the process. Thus, after occurrence of an irregular situation where dummy processing should be executed, dummy processing is carried out on one to three non-product substrates before each execution of product processing in the step S810. As a result, the processing atmosphere in each of the process chambers 106 and 107 can be reliably stabilized.
  • On the other hand, if it is determined in the step S808 that the chamber standing time has not elapsed, which means that the processing atmosphere within a process chamber used for etching the preceding substrate lot is held in a stable state, the dummy processing in the step S809 is skipped (omitted), and the process proceeds to the step S810. In the step S810, the substrates included in the substrate lot are all subjected to etching (product processing), followed by terminating the present process.
  • According to the process in FIG. 8, when the chamber standing time has not elapsed (NO to the step S808), the dummy processing in the step S809 is omitted, judging that the process chamber used for etching the preceding substrate lot has been kept stable, and the substrates in the substrate lot are subjected to etching (step S810). As a result, it is possible not only to reduce consumption of non-product substrates, but also to enhance productivity in manufacturing product substrates.
  • If it is determined in the steps S804 to S808 that at least one of the process chambers 106 and 107 corresponds to the affirmative answer “YES”, the process proceeds to the step S809.
  • It is preferable that the steps S800 to S808 in FIG. 8 are executed in a state where transfer of a first substrate in a substrate lot can be started.
  • In the following, a description will be given of a first variation of the substrate processing method according to the present embodiment.
  • In the present variation, the substrate processing system is configured such that it is possible to set whether or not the following substrate lot is to be automatically aborted, which is determined in the abort processing in the step S807. More specifically, this setting can be made according to configuration parameters which can be changed by the host computer 200, and whether or not a preceding substrate lot and the following substrate lot were aborted is recorded in a process log as a log of the whole process (see FIG. 9A, with reference to which a detailed description will be given hereinafter).
  • Therefore, even when a preceding substrate lot and the following substrate lot are subjected to product processing via the common transfer chamber 104 (see FIG. 2), it is possible to configure such that the product processing is to be carried out without automatic execution of abort processing on the following substrate lot. In this case, it is determined in the step S807 that the preceding substrate lot was aborted, and the dummy processing is executed whenever the answer to the question of the step S807 is affirmative, so that it is possible to dispense with the step S808 in which whether or not the dummy processing should be executed is determined based on the chamber standing time (parameter 600 “chamber standing time” forcible termination option).
  • In the following, a description will be given of a second variation of the substrate processing method according to the present embodiment.
  • In the present variation, the result of dummy processing executed on a non-product substrate is recorded in the host computer 200, as a process log as a log of the whole process, or as a dummy process log.
  • FIG. 9A is a view showing an example of the process log recorded in the host computer 200, and FIG. 9B is a fragmentary view showing an example of the dummy process log as the result of dummy processing executed on a non-product substrate.
  • In the process log an example of which is shown in FIG. 9A, operations of the whole process are recorded on a lot-by-lot basis, and whether or not the abort processing was executed and whether or not there is a substrate subjected to error processing (error substrate) are displayed in the leftmost column of the process log. For example, when abort processing was executed, a mark “▪” is displayed, and when abort processing was not executed, a mark “◯” is displayed. Further, when there was no error substrate, the mark “◯” is displayed, and when there was an error substrate, a mark “▾” is displayed. That is, when processing was normally terminated, the mark “◯” is displayed. In the FIG. 9A example, only the mark “◯” is displayed for all jobs, since the processing was normally terminated for all the jobs.
  • In FIG. 9A, substrate lots to which a name including “-ST” is given are substrate lots of non-product substrates subjected to dummy processing based on the dummy processing recipe-linking function or the lot stabilizing dummy processing function. Further, substrate lots to which a name including “-PL” is given are substrate lots of non-product substrates subjected to dummy processing based on a plasma cleaning recipe-linking function.
  • If a substrate (wafer)-listing button is depressed in the state of a substrate lot being selected on a display screen in FIG. 9A, the screen is switched to a display screen shown in FIG. 9B.
  • FIG. 9B is a display screen for listing substrates of a substrate lot whose lot name includes “-ST”, when the substrate lot is selected. As shown in FIG. 9B, dummy slot numbers “-Dx” different from each other are given to three non-product substrates, so as to enable the user to visually recognize which non-product substrate was subjected to dummy processing or which non-product substrate execution of dummy processing was omitted for. This makes it possible to collect log information from the process log and the dummy process log e.g. when a trouble occurs in the etching apparatus 100.
  • In recent years, with miniaturization of portions of semiconductor devices to be processed, there is a demand for a plasma etching apparatus which has an excellent CD (Critical Dimension) controllability and a stable processing performance. For example, to manufacture semiconductor devices with the line width of wiring formed on an dielectric film on a substrate being approximately 90 nm, etching for dielectric films is demanded of (1) advanced HARC (High Aspect Ratio Contact) control for etching linear grooves with an aspect ratio of 20 or more, (2) advanced CD control with argon fluoride (ArF) and hard mask etching for gates, and (3) high processing capability of processing low-k material (dielectric constant k of not more than 2.5) for a damascene process for embedding a metal in a desired portion of a substrate in a chip manufacturing process. These requirements are becoming more and more demanding, and the above-mentioned line width is required to be not more than 65 nm, for example.
  • Therefore, it is necessary for the plasma etching apparatus to control temperature more delicately by more highly advanced technology than the conventional plasma etching apparatuses and stably maintain the controlled temperature to thereby enhance stability of the processing atmosphere within the process chambers for achievement of more excellent CD controllability. For example, to etch substrates having a diameter of 300 mm with a line width of approximately 90 nm, an atmosphere temperature within the process chamber is required to be kept stable within a range of 115 to 121° C.
  • Normally, two or three non-product substrates are used to stabilize the atmosphere temperature. In other words, it is necessary to carry out dummy processing two or three times. This is because the temperature within the process chamber reaches no higher than 104 to 110° C. after one-time execution of dummy processing, and consequently the atmosphere temperature is unstable, which causes a few first processed substrates of a substrate lot subjected to the following product processing to be out of or fail to satisfy the specification for product substrates.
  • In an attempt to solve this problem, the present inventors made assiduous studies and found that even one-time execution of dummy processing can enhance stability of the atmosphere temperature by providing operation modes for dummy processing, i.e. a long-time mode and a high-power mode, described in detail hereinafter, by changing part of the configuration of a step most contributing to stability of the atmosphere temperature in the normal dummy processing recipe (normal mode of dummy processing) similar to the product processing recipe, i.e. the main step in which processing is carried out at the highest temperature, and causing the etching apparatus 100 to execute the dummy processing in the step S809 in at least one of the operation modes. This makes it possible to reduce not only the number of executions of dummy processing, i.e. time required for dummy processing, but also the number of non-product substrates or substrates out of the specification in product processing, which contributes to improvement of throughput and resulting enhancement of the productivity of the etching apparatus 100.
  • In the long-time mode, processing time in the main step (etching) is made longer than in the normal mode. For example, processing time in the main step, which is set to five minutes in the normal mode of dummy processing, is changed to seven minutes in the long-time mode.
  • It is preferable that for the long-time mode, the processing time in the main step is set to a time period determined empirically or experimentally in advance according to the diameter of the substrate and/or the kinds of process gases. Alternatively, the processing time may be determined according to the processing atmosphere, for example, temperatures of the center, middle, and peripheral edge of the process chamber 106 (107), for example, which are measured by a cleaning plate, not shown, mounted to the upper electrode. If the processing time is set to too long a time period, energy is consumed wastefully, and therefore, it is preferable to set the same within a range between a normal time period and twice the same.
  • In the high-power mode, power applied in the main step to generate RF power is increased to a higher level than in the normal mode.
  • It is preferable that for the high-power mode, the value of the RF power is determined empirically or experimentally in advance, as in the case of the long-time mode, according to the diameter of the substrate and/or the kinds of process gases. Alternatively, the set value of the RF power may be determined according to the processing atmosphere. If the set value of the RF power is too high, energy is consumed wastefully, and therefore, it is preferable to set the same within a range between a normal level and twice the same.
  • FIG. 10 is a flowchart showing details of the dummy processing executed in the step S809 in FIG. 8.
  • As shown in FIG. 10, first, it is determined in a step S1001 whether or not the operation mode for dummy processing should be changed. As described above, the operation modes for dummy processing include the high-power mode and the long-time mode in addition to the normal mode in which the same processing as the product processing executed in the step S810 is carried out as dummy processing. The normal mode is set as a standard operation mode to be normally selected.
  • It is preferable that the mode change to the high-power mode or the long-time mode in the step S1001 is carried out when the processing atmosphere within the process chamber is not stable, e.g. when the parameter 600 in FIG. 7, i.e. the “chamber standing time” is set to not shorter than one hour and the chamber standing time has elapsed in the step S808. Further, it is preferable that the mode change to the high-power mode or the long-time mode is carried out when high stability of the processing atmosphere is required, e.g. when the diameter of a substrate to be processed is not less than 300 mm, or when the line width with which the substrate is to be etched is not more than 90 nm.
  • If the operation mode for dummy processing should be changed (YES to the step S1001), a desired one of the high-power mode and the long-time mode is selected (YES to a step S1002 or S1003, and a step S1004), and the process proceeds to a step S1005. In the step S1005, it is determined whether or not the selected one of the high-power mode and the long-time mode should be set as the standard operation mode. If the selected mode should be set as the standard operation mode, the process proceeds to a step S1006, whereas if the selected mode should not be set as the standard operation mode, the process skips over the step S1006 to a step S1007.
  • On the other hand, if the operation mode should not be changed (NO to the step S1001), or if neither the high-power mode nor the long-time mode has been selected (NO to the steps S1002 and S1003), the normal mode as the standard operation mode is selected (step S1006), and the process proceeds to the step S1007. Further, if one of the high-power mode and the long-time mode has been set as the standard operation mode (YES to the step S1002 or S1003, and YES to the step S1005), the operation mode for dummy processing, set as the standard operation mode, is selected (step S1006), and the process proceeds to the step S1007.
  • In the step S1007, dummy processing is carried out on at least one non-product substrate in the selected operation mode for dummy processing. More specifically, if the selected operation mode is either the high-power mode or the long-time mode, dummy processing is carried out on only one non-product substrate in the selected operation mode, whereas if the selected operation mode is the normal mode, dummy processing is carried out on at least one non-product substrate, e.g. on three non-product substrates, in the normal mode.
  • According to the process in FIG. 10, the operation mode for dummy processing is changed to the high-power mode or the long-time mode based on the level of stability of the processing atmosphere, which is required for the product processing in the step S810 (step S1004), and only one-time dummy processing is executed in the selected operation mode. As a result, the productivity of the etching apparatus 100 can be enhanced.
  • The operation modes described above may not include one of the high-power mode and the long-time mode, or may further include a mode which is a combination of the recipes of the high-power mode and the long-time mode. Further, programs for implementing the respective operation modes may be provided separately in association with the respective operation modes, or alternatively a program for implementing the normal mode and another program for changing the program for implementing the normal mode in accordance with a selected one of the high-power mode and the long-time mode may be provided.
  • Further, although in the process in FIG. 10, the operation mode is changed, this is not limitative, but the process may be configured such that the user can change the processing time and the set value of the RF power for use in the main step set forth in the recipe for the normal dummy processing.
  • In the above described embodiment, some kinds of process gases can change the processing atmosphere to an atmosphere unsuitable for product processing, and therefore it is preferable that a step of carrying out dry cleaning using a single gas, such as an oxygen (O2) gas, after execution of the main step is added to the recipe for dummy processing. An example of the above-mentioned atmosphere unsuitable for product processing is an atmosphere in which a deposit (particles) is liable to be formed on a substrate due to a process gas containing C4F6.
  • The substrate processing apparatus according to the present embodiment is not limited to the etching apparatus 100 as shown in FIG. 2, but variations thereof described below are possible.
  • FIG. 11 is a view schematically showing the arrangement of a first variation of the substrate processing apparatus according to the present embodiment.
  • As shown in FIG. 11, the substrate processing apparatus 100′ as the first variation is comprised of a first processing ship 111 including a process chamber (P/C) for carrying out reactive ion etching (RIE) using plasma on a substrate W, a second processing ship 112 disposed parallel with the first processing ship 111 and including a process chamber (P/C) for carrying out a COR (Chemical Oxide Removal) process and a PHT (Post Heat Treatment) process on the substrate W subjected to RIE, a loader unit 113 having a rectangular shape as a common transfer chamber (T/C) and connected to the first processing ship 111 and the second processing ship 112, three FOUP mounting bases 115 connected to the loader unit 113, an orienter 116 as a pre-alignment section (P/A) connected to the loader unit 113, for pre-aligning the position of a transferred substrate W, first and second IMSs (Integrated Metrology Systems: available from Therma-Wave, Inc.) connected to the loader unit 113, for measuring the surface status of the substrate W, and an operation controller 88 connected to the loader unit 113. On each of the FOUP mounting bases 115, a FOUP (Front Opening Unified Pod) 114 is mounted as a container capable of containing twenty-five substrates W including non-product substrates for dummy processing.
  • FIG. 12 is a view schematically showing the arrangement of a second variation of the substrate processing apparatus according to the present embodiment.
  • As shown in FIG. 12, the substrate processing apparatus 100″ as the second variation is comprised of a substrate processing section 202 including process chambers (P/C) for carrying out various types of processing, such as film formation, diffusion, and etching, on substrates W on a substrate-by-substrate basis, a substrate storing section 3 for storing not only unprocessed substrates W but also substrates W processed in the substrate processing section 202, and a transfer chamber (T/C) 203 for transferring a substrate W between the substrate processing section 202 and the substrate storing section 3. The substrate processing section 202 includes six P/Cs 6A to 6F connected to the T/C 203.
  • The substrate storing section 3 is comprised of a FOUP mounting base 11 on which four FOUPs 10A to 10D can be mounted, two load lock (L/L) chambers 9A and 9B connected to the T/C 203, a loader unit 12 of an atmosphere transport system, which is disposed between the FOUP mounting base 11 and the L/ L chambers 9A and 9B, an orienter 18 as a pre-alignment section (P/A) for pre-aligning the position of a substrate W, and two FOUPs, not shown, for non-product substrates, which are mounted on the front side surface of the loader unit 12 at respective locations below the L/ L chambers 9A and 9B. Each of the FOUPs 10A to 10D contains twenty-five substrates W, for example, and each of the FOUPs for non-product substrates contains a predetermined number of non-product substrates for dummy processing which are used for trial operation of the substrate processing apparatus 100″.
  • As described above, the substrate processing apparatus 100″ as the second variation of the present embodiment is provided with the six P/Cs 6A to 6F, i.e. more P/Cs than in the etching apparatus 100 in FIG. 2, so that more substrates W can be processed simultaneously, which makes it possible to improve the substrate processing efficiency of the substrate processing apparatus.
  • Although in the above described embodiment, substrates to be processed are semiconductor substrates, this is not limitative, but the present invention may be applied to processing of glass substrates for LCDs, FPDs (Flat Panel Displays), and the like.
  • It is to be understood that the object of the present invention may also be accomplished by supplying a computer or a CPU with a program code of software, which realizes the functions of the above described embodiment, and causing the computer or CPU to read out and execute the program code.
  • The above program has only to realize the functions of the above described embodiment on a computer, and the form of the program may be an object code, a program code executed by an interpreter, or script data supplied to an OS.
  • Further, it is to be understood that the object of the present invention may also be accomplished by supplying a system or an apparatus with a storage medium in which a program code of software, which realizes the functions of the above described embodiment is stored, and causing a computer (or CPU or MPU) of the system or apparatus to read out and execute the program code stored in the storage medium.
  • In this case, the program code itself read from the storage medium realizes the functions of the above described embodiment, and therefore the program code and the storage medium in which the program code is stored constitute the present invention.
  • Examples of the storage medium for supplying the program code include a floppy (registered trademark) disk, a hard disk, a magnetic-optical disk, a CD-ROM, a CD-R, a CD-RW, a DVD-ROM, a DVD-RAM, a DVD−RW, a DVD+RW, a magnetic tape, a nonvolatile memory card, and a ROM. Alternatively, the program may be downloaded via a network from another computer, a database, or the like, not shown, connected to the Internet, a commercial network, a local area network, or the like.
  • Further, it is to be understood that the functions of the above described embodiment may be accomplished not only by executing the program code read out by a computer, but also by causing an OS (operating system) or the like which operates on the computer to perform a part or all of the actual operations based on instructions of the program code.
  • Further, it is to be understood that the functions of the above described embodiment may be accomplished by writing a program code read out from the storage medium into a memory provided on an expansion board inserted into a computer or a memory provided in an expansion unit connected to the computer and then causing a CPU or the like provided in the expansion board or the expansion unit to perform a part or all of the actual operations based on instructions of the program code.
  • The present invention is not limited to the above described embodiment, but can be modified in various manners based on the subject matter of the present invention, which should not be excluded from within the scope of the present invention insofar as functions as recited in the appended claims or the functions performed by the construction of the above described embodiment can be achieved.

Claims (35)

1. A substrate processing apparatus comprising:
at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed;
dummy processing means for carrying out dummy processing on a non-product substrate; and
determining means for determining whether or not the dummy processing is to be executed,
wherein:
said determining means includes stability determining means for determining whether or not an interior of said process chamber is in a stable state; and
said dummy processing means includes dummy processing omitting means for omitting execution of the dummy processing when said stability determining means determines that the interior of said process chamber is in the stable state.
2. A substrate processing apparatus as claimed in claim 1, wherein when a standing time over which said process chamber is left standing and counting of which is started from a time count start reference time set to an execution end time of the predetermined processing has not exceeded a predetermined time period, said stability determining means determines that the interior of said process chamber is in the stable state.
3. A substrate processing apparatus as claimed in claim 2, wherein said determining means performs the determination based on preset dummy processing execution requirements or dummy processing non-execution requirements, the dummy processing non-execution requirements including a condition that the standing time does not exceed the predetermined time period.
4. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing non-execution requirements include a condition that the non-product substrate does not exist.
5. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing non-execution requirements include a condition that execution of the dummy processing on the non-product substrate before execution of the predetermined processing on the substrate is not designated.
6. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing execution requirements include a condition that it is configured such that omission of execution of the dummy processing by said dummy processing omitting means is disabled.
7. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing execution requirements include a condition that said process chamber is in an off-line state.
8. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after power of the substrate processing apparatus is turned on.
9. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing execution requirements include a condition that a substrate processed before the substrate was processed in an off-line state.
10. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after execution of maintenance of said process chamber.
11. A substrate processing apparatus as claimed in claim 3, wherein the dummy processing execution requirements include a condition that abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
12. A substrate processing apparatus as claimed in claim 1, wherein when said stability determining means determines that the interior of said process chamber is not in the stable state, said dummy processing means carries out the dummy processing once.
13. A substrate processing apparatus as claimed in claim 12, wherein processing time of the dummy processing carried out once is longer than processing time of the predetermined processing to be carried out on the substrate.
14. A substrate processing apparatus as claimed in claim 12, wherein a set value of power required for the dummy processing to be carried out once is higher than a set value of power required for the predetermined processing to be carried out on the substrate.
15. A substrate processing apparatus as claimed in claim 1, wherein said determining means performs the determination for each substrate lot including the substrate.
16. A substrate processing apparatus as claimed in claim 1, comprising abort processing setting means for allowing setting to be made as to whether or not the abort processing is to be executed on the substrate, after abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
17. A substrate processing apparatus as claimed in claim 1, comprising log recording means for recording a log indicative of whether or not execution of the dummy processing was omitted.
18. A substrate processing method for a substrate processing apparatus including at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed, comprising:
a dummy processing step of carrying out dummy processing on a non-product substrate; and
a determining step of determining whether or not the dummy processing is to be executed,
wherein:
said determining step includes a stability determining step of determining whether or not an interior of the process chamber is in a stable state; and
said dummy processing step includes a dummy processing omitting step of omitting the execution of the dummy processing when it is determined in said stability determining step that the interior of the process chamber is in the stable state.
19. A substrate processing method as claimed in claim 18, wherein when a standing time over which the process chamber is left standing and counting of which is started from a time count start reference time set to an execution end time of the predetermined processing has not exceeded a predetermined time period, it is determined in said stability determining step that the interior of the process chamber is in the stable state.
20. A substrate processing method as claimed in claim 19, wherein the determination in said determining step is performed based on preset dummy processing execution requirements or dummy processing non-execution requirements, the dummy processing non-execution requirements including a condition that the standing time does not exceed the predetermined time period.
21. A substrate processing method as claimed in claim 20, wherein the dummy processing non-execution requirements include a condition that the non-product substrate does not exist.
22. A substrate processing method as claimed in claim 20, wherein the dummy processing non-execution requirements include a condition that execution of the dummy processing on the non-product substrate before execution of the predetermined processing on the substrate is not designated.
23. A substrate processing method as claimed in claim 20, wherein the dummy processing execution requirements include a condition that it is configured such that omission of execution of the dummy processing in said dummy processing omitting step is disabled.
24. A substrate processing method as claimed in claim 20, wherein the dummy processing execution requirements include a condition that the process chamber is in an off-line state.
25. A substrate processing method as claimed in claim 20, wherein the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after power of the substrate processing apparatus is turned on.
26. A substrate processing method as claimed in claim 20, wherein the dummy processing execution requirements include a condition that a substrate processed before the substrate was processed in an off-line state.
27. A substrate processing method as claimed in claim 20, wherein the dummy processing execution requirements include a condition that the substrate is a first substrate to be processed after execution of maintenance of the process chamber.
28. A substrate processing method as claimed in claim 20, wherein the dummy processing execution requirements include a condition that abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
29. A substrate processing method as claimed in claim 18, wherein when it is determined in said stability determining step that the interior of the process chamber is not in the stable state, the dummy processing is carried out once in said dummy processing step.
30. A substrate processing method as claimed in claim 18, wherein processing time of the dummy processing carried out once is longer than processing time of the predetermined processing to be carried out on the substrate.
31. A substrate processing method as claimed in claim 18, wherein a set value of power required for the dummy processing to be carried out once is higher than a set value of power required for the predetermined processing to be carried out on the substrate.
32. A substrate processing method as claimed in claim 18, wherein said determining step comprises performing determination for each substrate lot including the substrate.
33. A substrate processing method as claimed in claim 18, comprising an abort processing setting step of allowing setting to be made as to whether or not the abort processing is to be executed on the substrate, after abort processing for forcibly terminating processing being executed was executed on a substrate which was being processed immediately before processing of the substrate.
34. A substrate processing method as claimed in claim 18, comprising a log recording step of recording a log indicative of whether or not execution of the dummy processing was omitted.
35. A program for causing a computer to execute a substrate processing method for a substrate processing apparatus including at least one process chamber in which predetermined processing is carried out on a substrate as an object to be processed, comprising:
a dummy processing module for carrying out dummy processing on a non-product substrate; and
a determining module for determining whether or not the dummy processing is to be executed,
wherein:
said determining module includes a stability determining module for determining whether or not an interior of the process chamber is in a stable state; and
said dummy processing module includes a dummy processing omitting module for omitting the execution of the dummy processing when said stability determining module determines that the interior of the process chamber is in the stable state.
US11/072,521 2004-03-05 2005-03-07 Substrate processing apparatus, substrate processing method, and program for implementing the method Abandoned US20050233477A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/072,521 US20050233477A1 (en) 2004-03-05 2005-03-07 Substrate processing apparatus, substrate processing method, and program for implementing the method
US13/034,170 US8785216B2 (en) 2004-03-05 2011-02-24 Substrate processing apparatus, substrate processing method, and program for implementing the method

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2004062982 2004-03-05
JP2004-062982 2004-03-05
JP2004-277774 2004-09-24
JP2004277774 2004-09-24
US63548604P 2004-12-14 2004-12-14
JP2005-047362 2005-02-23
JP2005047362A JP5080724B2 (en) 2004-03-05 2005-02-23 Substrate processing apparatus, substrate processing method, and program
US11/072,521 US20050233477A1 (en) 2004-03-05 2005-03-07 Substrate processing apparatus, substrate processing method, and program for implementing the method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/034,170 Division US8785216B2 (en) 2004-03-05 2011-02-24 Substrate processing apparatus, substrate processing method, and program for implementing the method

Publications (1)

Publication Number Publication Date
US20050233477A1 true US20050233477A1 (en) 2005-10-20

Family

ID=35096777

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/072,521 Abandoned US20050233477A1 (en) 2004-03-05 2005-03-07 Substrate processing apparatus, substrate processing method, and program for implementing the method
US13/034,170 Active 2025-07-26 US8785216B2 (en) 2004-03-05 2011-02-24 Substrate processing apparatus, substrate processing method, and program for implementing the method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/034,170 Active 2025-07-26 US8785216B2 (en) 2004-03-05 2011-02-24 Substrate processing apparatus, substrate processing method, and program for implementing the method

Country Status (1)

Country Link
US (2) US20050233477A1 (en)

Cited By (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004181A1 (en) * 2005-06-30 2007-01-04 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20070227658A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Control device for controlling substrate processing apparatus and method therefor
US20070238199A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method for conditioning a process chamber
US20090229634A1 (en) * 2008-02-26 2009-09-17 Makoto Nomura Substrate processing apparatus
US20100144145A1 (en) * 2008-12-10 2010-06-10 Hitachi-Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20110035957A1 (en) * 2006-12-26 2011-02-17 Tokyo Electron Limited Gas processing apparatus, gas processing method, and storage medium
TWI409850B (en) * 2006-03-29 2013-09-21 Tokyo Electron Ltd A control device for a substrate processing apparatus, and a control method thereof
CN103529735A (en) * 2013-10-24 2014-01-22 四川虹欧显示器件有限公司 Control method of after-sintering stay time of fluorescent powder of FPD (flat panel display)
US20140099176A1 (en) * 2012-10-10 2014-04-10 Hitachi High-Technologies Corporation Vacuum processing apparatus and vacuum processing method
US9389607B2 (en) 2011-06-24 2016-07-12 Tokyo Electron Limited Method for setting substrate-treatment time, and storage medium
CN109213091A (en) * 2018-06-27 2019-01-15 中国电子科技集团公司第五十五研究所 A kind of semiconductor chip process equipment method for monitoring state based on document analysis
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236199B2 (en) * 2010-12-28 2019-03-19 Canon Anelva Corporation Substrate processing method and substrate processing apparatus
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10748742B2 (en) 2013-01-30 2020-08-18 Hitachi High-Tech Corporation Device for dust emitting of foreign matter and dust emission cause analysis device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US20210013056A1 (en) * 2019-07-08 2021-01-14 Tokyo Electron Limited Substrate processing system and substrate processing method
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2207909B1 (en) * 2007-10-24 2012-08-29 OC Oerlikon Balzers AG Method for manufacturing workpieces and apparatus
US20150253762A1 (en) * 2012-09-26 2015-09-10 Hitachi Kokusai Electric Inc. Integrated management system, management device, method of displaying information for substrate processing apparatus, and recording medium
JP2014116545A (en) * 2012-12-12 2014-06-26 Tokyo Electron Ltd Substrate processing apparatus
JP6877200B2 (en) * 2017-03-15 2021-05-26 東京エレクトロン株式会社 Control device of board processing device and board processing display method
US20230163001A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers

Citations (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4333808A (en) * 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4399424A (en) * 1980-10-07 1983-08-16 Itt Industries, Inc. Gas sensor
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4647947A (en) * 1982-03-15 1987-03-03 Tokyo Shibaura Denki Kabushiki Kaisha Optical protuberant bubble recording medium
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5006192A (en) * 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
US5055319A (en) * 1990-04-02 1991-10-08 The Regents Of The University Of California Controlled high rate deposition of metal oxide films
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5698022A (en) * 1996-08-14 1997-12-16 Advanced Technology Materials, Inc. Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US5795808A (en) * 1995-11-13 1998-08-18 Hyundai Electronics Industries C., Ltd. Method for forming shallow junction for semiconductor device
US5801105A (en) * 1995-08-04 1998-09-01 Tdk Corporation Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film
US5810923A (en) * 1994-08-17 1998-09-22 Tdk Corporation Method for forming oxide thin film and the treatment of silicon substrate
US5822256A (en) * 1994-09-06 1998-10-13 Intel Corporation Method and circuitry for usage of partially functional nonvolatile memory
US5840897A (en) * 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5912797A (en) * 1997-09-24 1999-06-15 Lucent Technologies Inc. Dielectric materials of amorphous compositions and devices employing same
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6057271A (en) * 1989-12-22 2000-05-02 Sumitomo Electric Industries, Ltd. Method of making a superconducting microwave component by off-axis sputtering
US6059885A (en) * 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207589B1 (en) * 1999-07-19 2001-03-27 Sharp Laboratories Of America, Inc. Method of forming a doped metal oxide dielectric film
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6211035B1 (en) * 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US6281144B1 (en) * 1997-09-26 2001-08-28 Novellus Systems, Inc. Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6303481B2 (en) * 1999-12-29 2001-10-16 Hyundai Electronics Industries Co., Ltd. Method for forming a gate insulating film for semiconductor devices
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6368941B1 (en) * 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US6380579B1 (en) * 1999-04-12 2002-04-30 Samsung Electronics Co., Ltd. Capacitor of semiconductor device
US6387712B1 (en) * 1996-06-26 2002-05-14 Tdk Corporation Process for preparing ferroelectric thin films
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20020094632A1 (en) * 2000-08-31 2002-07-18 Agarwal Vishnu K. Capacitor fabrication methods and capacitor constructions
US6432779B1 (en) * 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US6451695B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US20020146916A1 (en) * 2001-03-29 2002-10-10 Kiyoshi Irino Semiconductor device having a high-dielectric gate insulation film and fabrication process thereof
US6465334B1 (en) * 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US20020155689A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US20020168806A1 (en) * 1999-12-14 2002-11-14 Nec Corporation Automated processing method and system for product wafer and non product wafer, and recording medium in which the method is recorded
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US20020192974A1 (en) * 2001-06-13 2002-12-19 Ahn Kie Y. Dielectric layer forming method and devices formed therewith
US20030017717A1 (en) * 2001-07-18 2003-01-23 Ahn Kie Y. Methods for forming dielectric materials and methods for forming semiconductor devices
US20030019840A1 (en) * 1998-04-23 2003-01-30 Smith Michael Lane Method & apparatus for monitoring plasma processing operations
US6521911B2 (en) * 2000-07-20 2003-02-18 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US20030048666A1 (en) * 2001-08-30 2003-03-13 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6544875B1 (en) * 1999-01-13 2003-04-08 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
US6586349B1 (en) * 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6627503B2 (en) * 2000-02-11 2003-09-30 Sharp Laboratories Of America, Inc. Method of forming a multilayer dielectric stack
US20030193061A1 (en) * 2000-08-03 2003-10-16 Hans-Joerg Osten Electronic component and method for producing an electronic component
US20030207593A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Atomic layer deposition and conversion
US6645882B1 (en) * 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US20040004859A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US20040038525A1 (en) * 2002-08-26 2004-02-26 Shuang Meng Enhanced atomic layer deposition
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US20040214399A1 (en) * 2003-04-22 2004-10-28 Micron Technology, Inc. Atomic layer deposited ZrTiO4 films
US7228257B1 (en) * 2003-06-13 2007-06-05 Lam Research Corporation Architecture for general purpose programmable semiconductor processing system and methods therefor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61247015A (en) 1985-04-24 1986-11-04 Nec Corp Forming apparatus for thin film
JP3568749B2 (en) * 1996-12-17 2004-09-22 株式会社デンソー Dry etching method for semiconductor
US5943230A (en) * 1996-12-19 1999-08-24 Applied Materials, Inc. Computer-implemented inter-chamber synchronization in a multiple chamber substrate processing system
JP2001044090A (en) 1999-08-03 2001-02-16 Hitachi Kokusai Electric Inc Semiconductor production facility and maintenance method therefor
JP2002069633A (en) * 2000-08-31 2002-03-08 Matsushita Electric Ind Co Ltd Film forming method and sputtering equipment
JP3660582B2 (en) * 2000-12-04 2005-06-15 株式会社日立製作所 Plasma etching processing equipment
JP2002190468A (en) 2000-12-20 2002-07-05 Sharp Corp Method for plasma etching
JP3897566B2 (en) 2001-10-26 2007-03-28 松下電器産業株式会社 Plasma processing method
JP4132898B2 (en) 2002-03-19 2008-08-13 富士通株式会社 Dry cleaning method
TWI328164B (en) 2002-05-29 2010-08-01 Tokyo Electron Ltd Method and apparatus for monitoring tool performance
JP3612526B2 (en) 2002-06-21 2005-01-19 株式会社日立ハイテクノロジーズ Seasoning method for plasma processing apparatus
US20040110388A1 (en) * 2002-12-06 2004-06-10 International Business Machines Corporation Apparatus and method for shielding a wafer from charged particles during plasma etching
JP4490704B2 (en) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ Plasma processing method

Patent Citations (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
US4333808A (en) * 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4399424A (en) * 1980-10-07 1983-08-16 Itt Industries, Inc. Gas sensor
US4647947A (en) * 1982-03-15 1987-03-03 Tokyo Shibaura Denki Kabushiki Kaisha Optical protuberant bubble recording medium
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
US5006192A (en) * 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US6057271A (en) * 1989-12-22 2000-05-02 Sumitomo Electric Industries, Ltd. Method of making a superconducting microwave component by off-axis sputtering
US5055319A (en) * 1990-04-02 1991-10-08 The Regents Of The University Of California Controlled high rate deposition of metal oxide films
US5840897A (en) * 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5810923A (en) * 1994-08-17 1998-09-22 Tdk Corporation Method for forming oxide thin film and the treatment of silicon substrate
US5828080A (en) * 1994-08-17 1998-10-27 Tdk Corporation Oxide thin film, electronic device substrate and electronic device
US5822256A (en) * 1994-09-06 1998-10-13 Intel Corporation Method and circuitry for usage of partially functional nonvolatile memory
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5801105A (en) * 1995-08-04 1998-09-01 Tdk Corporation Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film
US5795808A (en) * 1995-11-13 1998-08-18 Hyundai Electronics Industries C., Ltd. Method for forming shallow junction for semiconductor device
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6387712B1 (en) * 1996-06-26 2002-05-14 Tdk Corporation Process for preparing ferroelectric thin films
US5698022A (en) * 1996-08-14 1997-12-16 Advanced Technology Materials, Inc. Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6059885A (en) * 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6291866B1 (en) * 1997-07-24 2001-09-18 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US5912797A (en) * 1997-09-24 1999-06-15 Lucent Technologies Inc. Dielectric materials of amorphous compositions and devices employing same
US6281144B1 (en) * 1997-09-26 2001-08-28 Novellus Systems, Inc. Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US20030019840A1 (en) * 1998-04-23 2003-01-30 Smith Michael Lane Method & apparatus for monitoring plasma processing operations
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6211035B1 (en) * 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
US6544875B1 (en) * 1999-01-13 2003-04-08 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
US6451695B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6380579B1 (en) * 1999-04-12 2002-04-30 Samsung Electronics Co., Ltd. Capacitor of semiconductor device
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6207589B1 (en) * 1999-07-19 2001-03-27 Sharp Laboratories Of America, Inc. Method of forming a doped metal oxide dielectric film
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US20020168806A1 (en) * 1999-12-14 2002-11-14 Nec Corporation Automated processing method and system for product wafer and non product wafer, and recording medium in which the method is recorded
US6303481B2 (en) * 1999-12-29 2001-10-16 Hyundai Electronics Industries Co., Ltd. Method for forming a gate insulating film for semiconductor devices
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US6627503B2 (en) * 2000-02-11 2003-09-30 Sharp Laboratories Of America, Inc. Method of forming a multilayer dielectric stack
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6432779B1 (en) * 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
US6521911B2 (en) * 2000-07-20 2003-02-18 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US20030193061A1 (en) * 2000-08-03 2003-10-16 Hans-Joerg Osten Electronic component and method for producing an electronic component
US20020094632A1 (en) * 2000-08-31 2002-07-18 Agarwal Vishnu K. Capacitor fabrication methods and capacitor constructions
US6465334B1 (en) * 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6368941B1 (en) * 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US20020146916A1 (en) * 2001-03-29 2002-10-10 Kiyoshi Irino Semiconductor device having a high-dielectric gate insulation film and fabrication process thereof
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US20020155689A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US20020155688A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US20020192974A1 (en) * 2001-06-13 2002-12-19 Ahn Kie Y. Dielectric layer forming method and devices formed therewith
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US20030017717A1 (en) * 2001-07-18 2003-01-23 Ahn Kie Y. Methods for forming dielectric materials and methods for forming semiconductor devices
US20030048666A1 (en) * 2001-08-30 2003-03-13 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6645882B1 (en) * 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US6586349B1 (en) * 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US20030207593A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Atomic layer deposition and conversion
US20040004859A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20040038525A1 (en) * 2002-08-26 2004-02-26 Shuang Meng Enhanced atomic layer deposition
US20040214399A1 (en) * 2003-04-22 2004-10-28 Micron Technology, Inc. Atomic layer deposited ZrTiO4 films
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US7228257B1 (en) * 2003-06-13 2007-06-05 Lam Research Corporation Architecture for general purpose programmable semiconductor processing system and methods therefor

Cited By (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004181A1 (en) * 2005-06-30 2007-01-04 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20110190924A1 (en) * 2006-03-29 2011-08-04 Tokyo Electron Limited Control device for controlling substrate processing apparatus and method therefor
US20070227658A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Control device for controlling substrate processing apparatus and method therefor
TWI409850B (en) * 2006-03-29 2013-09-21 Tokyo Electron Ltd A control device for a substrate processing apparatus, and a control method thereof
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070238199A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method for conditioning a process chamber
WO2007126469A3 (en) * 2006-03-31 2008-10-23 Tokyo Electron Ltd Method for conditioning a process chamber
WO2007126469A2 (en) * 2006-03-31 2007-11-08 Tokyo Electron Limited Method for conditioning a process chamber
US20110035957A1 (en) * 2006-12-26 2011-02-17 Tokyo Electron Limited Gas processing apparatus, gas processing method, and storage medium
US8904955B2 (en) * 2008-02-26 2014-12-09 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US20090229634A1 (en) * 2008-02-26 2009-09-17 Makoto Nomura Substrate processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100144145A1 (en) * 2008-12-10 2010-06-10 Hitachi-Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US9437465B2 (en) * 2008-12-10 2016-09-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10236199B2 (en) * 2010-12-28 2019-03-19 Canon Anelva Corporation Substrate processing method and substrate processing apparatus
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9389607B2 (en) 2011-06-24 2016-07-12 Tokyo Electron Limited Method for setting substrate-treatment time, and storage medium
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US20140099176A1 (en) * 2012-10-10 2014-04-10 Hitachi High-Technologies Corporation Vacuum processing apparatus and vacuum processing method
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10748742B2 (en) 2013-01-30 2020-08-18 Hitachi High-Tech Corporation Device for dust emitting of foreign matter and dust emission cause analysis device
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
CN103529735A (en) * 2013-10-24 2014-01-22 四川虹欧显示器件有限公司 Control method of after-sintering stay time of fluorescent powder of FPD (flat panel display)
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN109213091A (en) * 2018-06-27 2019-01-15 中国电子科技集团公司第五十五研究所 A kind of semiconductor chip process equipment method for monitoring state based on document analysis
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11854840B2 (en) * 2019-07-08 2023-12-26 Tokyo Electron Limited Substrate processing system and substrate processing method
US20210013056A1 (en) * 2019-07-08 2021-01-14 Tokyo Electron Limited Substrate processing system and substrate processing method
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
US20110139749A1 (en) 2011-06-16
US8785216B2 (en) 2014-07-22

Similar Documents

Publication Publication Date Title
US8785216B2 (en) Substrate processing apparatus, substrate processing method, and program for implementing the method
CN100373545C (en) Base plate processing device,base plate processing method and progarm
KR100848522B1 (en) Integration of silicon etch and chamber cleaning processes
JP5080724B2 (en) Substrate processing apparatus, substrate processing method, and program
US6802933B2 (en) Apparatus for performing self cleaning method of forming deep trenches in silicon substrates
US6677242B1 (en) Integrated shallow trench isolation approach
US6482746B2 (en) Computer readable medium for controlling a method of cleaning a process chamber
JP2002529912A (en) Method for in-situ post-deposition surface passivation of chemical vapor deposited films
EP1918979A2 (en) Etching oxide with high selectivity to titanium nitride
CN100388429C (en) Method for removing photoresist and etch residues
US6783626B2 (en) Treatment and evaluation of a substrate processing chamber
JP2007531996A (en) System and method for removing chamber residues from a plasma processing system in a dry cleaning process
US6814814B2 (en) Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US6797188B1 (en) Self-cleaning process for etching silicon-containing material
JP7162705B2 (en) SUBSTRATE PROCESSING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND PROGRAM
US20040200498A1 (en) Method and apparatus for cleaning a substrate processing chamber
TW201009989A (en) Substrate processing apparatus and substrate transfer method adopted therein
JP4511721B2 (en) Titanium chemical vapor deposition on wafer including in situ pre-cleaning step
KR101562407B1 (en) Method and apparatus for shaping gas profile near bevel edge
JPH1126399A (en) Method and apparatus for minimizing aluminum accumulation in cvd chamber
US8382910B2 (en) Cleaning method for substrate processing system, storage medium, and substrate processing system
US6325948B1 (en) Waferless clean process of a dry etcher
JP2011530170A (en) Method for plasma cleaning process of chamber
US20170185077A1 (en) Processing system and processing program
US20040261815A1 (en) Three-step chamber cleaning process for deposition tools

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAMAZAKI, SATOSHI;HASHIMOTO, MITSURU;REEL/FRAME:016737/0641

Effective date: 20050620

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION