US20050230350A1 - In-situ dry clean chamber for front end of line fabrication - Google Patents

In-situ dry clean chamber for front end of line fabrication Download PDF

Info

Publication number
US20050230350A1
US20050230350A1 US11/063,645 US6364505A US2005230350A1 US 20050230350 A1 US20050230350 A1 US 20050230350A1 US 6364505 A US6364505 A US 6364505A US 2005230350 A1 US2005230350 A1 US 2005230350A1
Authority
US
United States
Prior art keywords
substrate
chamber
electrode
gas
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/063,645
Inventor
Chien-Teh Kao
Jing-Pei Chou
Chiukin Lai
Sal Umotoy
Joel Huston
Son Trinh
Mei Chang
Xiaoxiong Yuan
Yu Chang
Xinliang Lu
Wei Wang
See-Eng Phan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US11/063,645 priority Critical patent/US20050230350A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/137,199 priority patent/US7520957B2/en
Priority to US11/137,609 priority patent/US7396480B2/en
Priority to US11/137,090 priority patent/US20050221552A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOU, JING-PEI (CONNIE), TRINH, SON, CHANG, MEI, LAI, CHIUKIN (STEVEN), KAO, CHIEN-TEH, UMOTOY, SAL, HUSTON, JOEL M., CHANG, YU, LU, XINLIANG, PHAN, SEE-ENG, WANG, WEI W., YUAN, XIAOXIONG (JOHN)
Publication of US20050230350A1 publication Critical patent/US20050230350A1/en
Priority to US11/266,167 priority patent/US20060051966A1/en
Priority to US11/622,437 priority patent/US20070123051A1/en
Priority to US11/962,791 priority patent/US7780793B2/en
Priority to US12/134,715 priority patent/US7767024B2/en
Priority to US12/257,093 priority patent/US20090095621A1/en
Priority to US12/257,104 priority patent/US8343307B2/en
Priority to US12/328,466 priority patent/US20090111280A1/en
Priority to US13/112,875 priority patent/US20110223755A1/en
Priority to US13/457,421 priority patent/US10593539B2/en
Priority to US13/489,137 priority patent/US8846163B2/en
Priority to US14/057,477 priority patent/US20140076234A1/en
Priority to US16/567,818 priority patent/US20200006054A1/en
Priority to US17/225,311 priority patent/US20210225640A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the present invention generally relate to semiconductor processing equipment. More particularly, embodiments of the present invention relate to a chemical vapor deposition (CVD) system for semiconductor fabrication and in situ dry cleaning methods using the same.
  • CVD chemical vapor deposition
  • a native oxide typically forms when a substrate surface is exposed to oxygen. Oxygen exposure occurs when the substrate is moved between processing chambers at atmospheric conditions, or when a small amount of oxygen remaining in a vacuum chamber contacts the substrate surface. Native oxides may also result if the substrate surface is contaminated during etching. Native oxides typically form an undesirable film on the substrate surface. Native oxide films are usually very thin, such as between 5 and 20 angstroms, but thick enough to cause difficulties in subsequent fabrication processes.
  • Such difficulties usually affect the electrical properties of semiconductor devices formed on the substrate.
  • MOSFET Metal Oxide Silicon Field Effect Transistor
  • Silicon oxide films are electrically insulating and are undesirable at interfaces with contact electrodes or interconnecting electrical pathways because they cause high electrical contact resistance.
  • the electrodes and interconnecting pathways include silicide layers formed by depositing a refractory metal on bare silicon and annealing the layer to produce the metal silicide layer.
  • Native silicon oxide films at the interface between the substrate and the metal reduce the compositional uniformity of the silicide layer by impeding the diffusional chemical reaction that forms the metal silicide. This results in lower substrate yields and increased failure rates due to overheating at the electrical contacts.
  • the native silicon oxide film can also prevent adhesion of other CVD or sputtered layers which are subsequently deposited on the substrate.
  • Sputter etch processes have been tried to reduce contaminants in large features or in small features having aspect ratios smaller than about 4:1.
  • sputter etch processes can damage delicate silicon layers by physical bombardment.
  • wet etch processes using hydrofluoric (HF) acid and deionized water, for example have also been tried.
  • Wet etch processes such as this are disadvantageous in today's smaller devices where the aspect ratio exceeds 4:1, and especially where the aspect ratio exceeds 10:1.
  • the wet solution cannot penetrate into those sizes of vias, contacts, or other features formed within the substrate surface.
  • the removal of the native oxide film is incomplete.
  • a wet etch solution if successful in penetrating a feature of that size, is even more difficult to remove from the feature once etching is complete.
  • fluorine-containing gases Another approach for eliminating native oxide films is a dry etch process, such as one utilizing fluorine-containing gases.
  • fluorine-containing gases One disadvantage to using fluorine-containing gases, however, is that fluorine is typically left behind on the substrate surface. Fluorine atoms or fluorine radicals left behind on the substrate surface can be detrimental. For example, the fluorine atoms left behind can continue to etch the substrate causing voids therein.
  • a more recent approach to remove native oxide films has been to form a fluorine/silicon-containing salt on the substrate surface that is subsequently removed by thermal anneal.
  • a thin layer of the salt is formed by reacting a fluorine-containing gas with the silicon oxide surface.
  • the salt is then heated to an elevated temperature sufficient to dissociate the salt into volatile by-products which are then removed from the processing chamber.
  • the formation of a reactive fluorine-containing gas is usually assisted by thermal addition or by plasma energy.
  • the salt is usually formed at a reduced temperature that requires cooling of the substrate surface. This sequence of cooling followed by heating is usually accomplished by transferring the substrate from a cooling chamber where the substrate is cooled to a separate anneal chamber or furnace where the substrate is heated.
  • this reactive fluorine processing sequence is not desirable. Namely, wafer throughput is greatly diminished because of the time involved to transfer the wafer. Also, the wafer is highly susceptible to further oxidation or other contamination during the transfer. Moreover, the cost of ownership is doubled because two separate chambers are needed to complete the oxide removal process.
  • the chamber comprises a chamber body and a support assembly at least partially disposed within the chamber body and adapted to support a substrate thereon.
  • the support assembly includes one or more fluid channels at least partially formed therein that are capable of providing a fluid for cooling the substrate.
  • the chamber further comprises a lid assembly disposed on an upper surface of the chamber body.
  • the lid assembly includes a first electrode and a second electrode which define a plasma cavity therebetween, wherein the second electrode is heated and adapted to connectively heat the substrate.
  • a method for etching native oxides from a substrate surface comprises loading a substrate to be processed within a processing chamber comprising a chamber body and a support assembly at least partially disposed within the chamber body and adapted to support a substrate thereon.
  • the support assembly includes one or more fluid channels at least partially formed therein that are capable of providing a fluid for cooling the substrate.
  • the chamber further comprises a lid assembly disposed on an upper surface of the chamber body.
  • the lid assembly includes a first electrode and a second electrode which define a plasma cavity therebetween, wherein the second electrode is adapted to connectively heat the substrate.
  • the method further comprises generating a plasma of reactive gas within the plasma cavity, cooling the substrate by flowing a heat transfer medium through the one or more fluid channels of the support assembly, flowing the reactive gas through the second electrode to the substrate surface, etching the substrate surface with the reactive gas, heating the second electrode by applying power to a heating element in contact therewith, and heating the substrate using the heated second electrode by placing the support assembly in close proximity to the heated electrode.
  • FIG. 1A shows a partial cross sectional view of an illustrative processing chamber 100 for heating, cooling, and etching.
  • FIG. 1B shows an enlarged schematic view of an illustrative liner disposed within the processing chamber of FIG. 1A .
  • FIG. 2A shows an enlarged cross sectional view of an illustrative lid assembly that can be disposed at an upper end of the chamber body shown in FIG. 1A .
  • FIGS. 2B and 2C show enlarged schematic views of the gas distribution plate of FIG. 2A .
  • FIG. 3A shows a partial cross sectional view of an illustrative support assembly, which is at least partially disposed within the chamber body 112 of FIG. 1A .
  • FIG. 3B shows an enlarged partial cross sectional view of the illustrative support member 300 of FIG. 3A .
  • FIG. 4A shows a schematic cross sectional view of another illustrative lid assembly 400 .
  • FIG. 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of FIG. 4A .
  • FIG. 4C shows a partial cross sectional view of the illustrative processing chamber 100 utilizing the lid assembly 400 of FIG. 4A .
  • FIGS. 5A-5H are sectional schematic views of a fabrication sequence for forming an illustrative active electronic device, such as a MOSFET structure.
  • FIG. 6 is a schematic diagram of an exemplary multi-chamber processing system adapted to perform multiple processing operations.
  • a processing chamber for any number of substrate processing techniques is provided.
  • the chamber is particularly useful for performing a plasma assisted dry etch process that requires both heating and cooling of the substrate surface without breaking vacuum.
  • the processing chamber described herein is envisioned to be best suited for a front-end-of line (FEOL) clean chamber for removing oxides and other contaminants from a substrate surface.
  • FEOL front-end-of line
  • a “substrate surface”, as used herein, refers to any substrate surface upon which processing is performed.
  • a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • a substrate surface may also include dielectric materials such as silicon dioxide, organosilicates, and carbon doped silicon oxides.
  • the substrate itself is not limited to any particular size or shape.
  • the term “substrate” refers to a round wafer having a 200 mm diameter or 300 mm diameter.
  • the term “substrate” refers to any polygonal, squared, rectangular, curved or otherwise non-circular workpiece, such as a glass substrate used in the fabrication of flat panel displays, for example.
  • FIG. 1A is a partial cross sectional view showing an illustrative processing chamber 100 .
  • the processing chamber 100 includes a chamber body 112 , a lid assembly 200 , and a support assembly 300 .
  • the lid assembly 200 is disposed at an upper end of the chamber body 112
  • the support assembly 300 is at least partially disposed within the chamber body 112 .
  • the processing chamber 100 and the associated hardware are preferably formed from one or more process-compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061-T6, stainless steel, as well as combinations and alloys thereof, for example.
  • the chamber body 112 includes a slit valve opening 160 formed in a sidewall thereof to provide access to the interior of the processing chamber 100 .
  • the slit valve opening 160 is selectively opened and closed to allow access to the interior of the chamber body 112 by a wafer handling robot (not shown).
  • Wafer handling robots are well known to those with skill in the art, and any suitable robot may be used.
  • an exemplary robotic transfer assembly has been described in a commonly assigned U.S. Pat. No. 4,951,601, entitled “Multi-chamber Integrated Process System,” issued Aug. 28, 1990, the complete disclosure of which is incorporated herein by reference.
  • a wafer can be transported in and out of the processing chamber 100 through the slit valve opening 160 to an adjacent transfer chamber and/or load-lock chamber, or another chamber within a cluster tool.
  • a cluster tool of a type that can be coupled to the processing chamber 100 is described in a commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing System and Method”, issued Feb. 16, 1993, and is herein incorporated by reference.
  • the chamber body 112 includes a channel 113 formed therein for flowing a heat transfer fluid therethrough.
  • the heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 112 during processing and substrate transfer.
  • the temperature of the chamber body 112 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls.
  • Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof.
  • An exemplary heat transfer fluid may also include nitrogen gas.
  • the chamber body 112 can further include a liner 133 that surrounds the support assembly 300 .
  • the liner 133 is preferably removable for servicing and cleaning.
  • the liner 133 can be made of a metal such as aluminum, or a ceramic material. However, the liner 133 can be any process compatible material.
  • the liner 133 can be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of the processing chamber 100 .
  • the liner 133 includes one or more apertures 135 and a pumping channel 129 formed therein that is in fluid communication with a vacuum system. The apertures 135 provide a flow path for gases into the pumping channel 129 , which provides an egress for the gases within the processing chamber 100 .
  • the vacuum system can include a vacuum pump 125 and a throttle valve 127 to regulate flow of gases through the processing chamber 100 .
  • the vacuum pump 125 is coupled to a vacuum port 131 disposed on the chamber body 112 and therefore, in fluid communication with the pumping channel 129 formed within the liner 133 .
  • gas and “gases” are used interchangeably, unless otherwise noted, and refer to one or more precursors, reactants, catalysts, carrier, purge, cleaning, combinations thereof, as well as any other fluid introduced into the chamber body 112 .
  • FIG. 1B shows an enlarged schematic view of one embodiment of the liner 133 .
  • the liner 133 includes an upper portion 133 A and a lower portion 133 B.
  • An aperture 133 C that aligns with the slit valve opening 160 disposed on a side wall of the chamber body 112 is formed within the liner 133 to allow entry and egress of substrates to/from the chamber body 112 .
  • the pumping channel 129 is formed within the upper portion 133 A.
  • the upper portion 133 A also includes the one or more apertures 135 formed therethrough to provide passageways or flow paths for gases into the pumping channel 129 .
  • the apertures 135 allow the pumping channel 129 to be in fluid communication with a processing zone 140 within the chamber body 112 .
  • the processing zone 140 is defined by a lower surface of the lid assembly 200 and an upper surface of the support assembly 300 , and is surrounded by the liner 133 .
  • the apertures 135 may be uniformly sized and evenly spaced about the liner 133 . However, any number, position, size or shape of apertures may be used, and each of those design parameters can vary depending on the desired flow pattern of gas across the substrate receiving surface as is discussed in more detail below.
  • the size, number and position of the apertures 135 are configured to achieve uniform flow of gases exiting the processing chamber 100 .
  • the aperture size and location may be configured to provide rapid or high capacity pumping to facilitate a rapid exhaust of gas from the chamber 100 .
  • the number and size of apertures 135 in close proximity to the vacuum port 131 may be smaller than the size of apertures 135 positioned farther away from the vacuum port 131 .
  • the lower portion 133 B of the liner 133 includes a flow path or vacuum channel 129 A disposed therein.
  • the vacuum channel 129 A is in fluid communication with the vacuum system described above.
  • the vacuum channel 129 A is also in fluid communication with the pumping channel 129 via a recess or port 129 B formed in an outer diameter of the liner 133 .
  • two gas ports 129 B are formed in an outer diameter of the liner 133 between the upper portion 133 A and the lower portion 133 B.
  • the gas ports 129 B provide a flow path between the pumping channel 129 and the vacuum channel 129 A.
  • each port 129 B is a matter of design, and are determined by the stoichiometry of a desired film, the geometry of the device being formed, the volume capacity of the processing chamber 100 as well as the capabilities of the vacuum system coupled thereto.
  • the ports 129 B are arranged opposite one another or 180 degrees apart about the outer diameter of the liner 133 .
  • one or more gases exiting the processing chamber 100 flow through the apertures 135 formed through the upper portion 133 A of the liner 133 into the pumping channel 129 .
  • the gas then flows within the pumping channel 129 and through the ports 129 B into the vacuum channel 129 A.
  • the gas exits the vacuum channel 129 A through the vacuum port 131 into the vacuum pump 125 .
  • FIG. 2A shows an enlarged cross sectional view of an illustrative lid assembly 200 that can be disposed at an upper end of the chamber body 112 shown in FIG. 1A .
  • the lid assembly 200 includes a number of components stacked on top of one another, as shown in FIG. 1A .
  • the lid assembly 200 includes a lid rim 210 , gas delivery assembly 220 , and a top plate 250 .
  • the gas delivery assembly 220 is coupled to an upper surface of the lid rim 210 and is arranged to make minimum thermal contact therewith.
  • the components of the lid assembly 200 are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface for example.
  • the thermal resistance of the components is less than about 5 ⁇ 10 ⁇ 4 m 2 K/W.
  • the lid rim 210 is designed to hold the weight of the components making up the lid assembly 200 and is coupled to an upper surface of the chamber body 112 via a hinge assembly (not shown in this view) to provide access to the internal chamber components, such as the support assembly 300 for example.
  • the gas delivery assembly 220 can include a distribution plate or showerhead 225 .
  • FIG. 2B shows an enlarged schematic view of one embodiment of an illustrative gas distribution plate 225
  • FIG. 2C shows a partial cross sectional view.
  • the distribution plate 225 is substantially disc-shaped and includes a plurality of apertures 225 A or passageways to distribute the flow of gases therethrough.
  • the apertures 225 A of the distribution plate 225 prevent the gases flowing through the lid assembly 200 from impinging directly on the substrate surface below by slowing and re-directing the velocity profile of the flowing gases.
  • the apertures 225 A of the distribution plate 225 also evenly distribute the flow of the gas exiting the lid assembly 200 , thereby providing an even distribution of the gas across the surface of the substrate.
  • the distribution plate 225 further includes an annular mounting flange 222 formed at a perimeter thereof, which is sized to rest on the lid rim 210 . Accordingly, the distribution plate 225 makes minimal contact with the lid assembly 200 .
  • an o-ring type seal 224 such as an elastomeric o-ring, is at least partially disposed within the annular mounting flange 222 to ensure a fluid-tight contact with the lid rim 210 .
  • the gas delivery assembly 220 can further include a blocker assembly 230 disposed adjacent the distribution plate 225 .
  • the blocker assembly 230 provides an even distribution of gas to the backside of the distribution plate 225 .
  • the blocker assembly 230 is made of an aluminum alloy and is removably coupled to the distribution plate 225 to ensure good thermal contact.
  • the blocker assembly 230 can be coupled to the distribution plate 225 using a bolt 221 or similar fastener.
  • the blocker assembly 230 makes no thermal contact with the lid rim 210 as shown in FIGS. 2A .
  • the blocker assembly 230 includes a first blocker plate 233 mounted to a second blocker plate 235 .
  • the second blocker plate 235 includes a passage 259 formed therethrough.
  • the passage 259 is centrally located through the second blocker plate 235 such that the passage 259 is in fluid communication with a first cavity or volume 261 defined by a lower surface of the top plate 250 and an upper surface of the second blocker plate 235 .
  • the passage 259 is also in fluid communication with a second cavity or volume 262 defined by a lower surface of the second blocker plate 235 and an upper surface of the first blocker plate 233 .
  • the passage 259 is also in fluid communication with a third cavity or volume 263 defined by a lower surface of the first blocker plate 233 and an upper surface of the distribution plate 225 .
  • the passage 259 is coupled to a gas inlet 223 .
  • the gas inlet 223 is coupled to the top plate 250 at a first end thereof.
  • the gas inlet 223 is coupled at a second end thereof to one or more upstream gas sources and/or other gas delivery components, such as gas mixers.
  • the first blocker plate 233 includes a plurality of passageways 233 A formed therein that are adapted to disperse the gases flowing from the passage 259 to the gas distribution plate 225 .
  • the passageways 233 A are shown as being circular or rounded, the passageways 233 A can be square, rectangular, or any other shape.
  • the passageways 233 A can be sized and positioned about the blocker plate 233 to provide a controlled and even flow distribution across the surface of the substrate. As described above, the first blocker plate 233 can easily be removed from the second blocker plate 235 and from the distribution plate 225 to facilitate cleaning or replacement of those components.
  • one or more process gases are introduced into the gas delivery assembly 220 via the gas inlet 223 .
  • the process gas flows into the first volume 261 and through the passage 259 of the second blocker plate 235 into the second volume 262 .
  • the process gas is then distributed through the holes 233 A of the first blocker plate 233 into the third volume 263 and further distributed through the holes 225 A of the distribution plate 225 until the gas meets the exposed surfaces of the substrate disposed within the chamber body 112 .
  • a gas supply panel (not shown) is typically used to provide the one or more gases to the processing chamber 100 .
  • the particular gas or gases that are used depend upon the process or processes to be performed within the chamber 100 .
  • Illustrative gases can include, but are not limited to one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof.
  • the one or more gases introduced to the processing chamber 100 flow through the inlet 223 into the lid assembly 200 and then into the chamber body 112 through the gas delivery assembly 220 .
  • An electronically operated valve and/or flow control mechanism may be used to control the flow of gas from the gas supply into the processing chamber 100 .
  • any number of gases can be delivered to the processing chamber 100 , and can be mixed either in the processing chamber 100 or before the gases are delivered to the processing chamber 100 , such as within a gas mixture (not shown), for example.
  • the lid assembly 200 can further include an electrode 240 to generate a plasma of reactive species within the lid assembly 200 .
  • the electrode 240 is supported on the top plate 250 and is electrically isolated therefrom.
  • an isolator filler ring 241 can be disposed about a lower portion of the electrode 240 separating the electrode 240 from the top plate 250 as shown in FIG. 2A .
  • An annular isolator 242 can also be disposed about an outer surface of the isolator filler ring 241 .
  • An annular insulator 243 can then be disposed about an upper portion of the electrode 240 so that the electrode 240 is electrically isolated from the top plate 250 and all the other components of the lid assembly 200 .
  • Each of these rings 241 , 242 , 243 can be made from aluminum oxide or any other insulative, process compatible material.
  • the electrode 240 is coupled to a power source (not shown) while the gas delivery assembly 220 is connected to ground (i.e. the gas delivery assembly 220 serves as an electrode). Accordingly, a plasma of one or more process gases can be generated in the volumes 261 , 262 and/or 263 between the electrode 240 (“first electrode”) and the gas delivery assembly 220 (“second electrode”). For example, the plasma can be struck and contained between the electrode 240 and the blocker assembly 230 . Alternatively, the plasma can be struck and contained between the electrode 240 and the distribution plate 225 , in the absence of the blocker assembly 230 . In either embodiment, the plasma is well confined or contained within the lid assembly 200 . Accordingly, the plasma is a “remote plasma” since no active plasma is in direct contact with the substrate disposed within the chamber body 112 . As a result, plasma damage to the substrate is avoided because the plasma is sufficiently separated from the substrate surface.
  • any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used.
  • radio frequency (RF), direct current (DC), or microwave (MW) based power discharge techniques may be used.
  • the activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source.
  • a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into the chamber 100 .
  • Exemplary remote plasma generators are available from vendors such as MKS Instruments, Inc. and Advanced Energy Industries, Inc.
  • an RF power supply is coupled to the electrode 240 .
  • the gas delivery assembly 220 can be heated depending on the process gases and operations to be performed within the processing chamber 100 .
  • a heating element 270 such as a resistive heater for example, can be coupled to the distribution plate 225 .
  • the heating element 270 is a tubular member and is pressed into an upper surface of the distribution plate 225 as shown in more detail in FIGS. 2B and 2C .
  • the upper surface of the distribution plate 225 includes a groove or recessed channel having a width slightly smaller than the outer diameter of the heating element 270 , such that the heating element 270 is held within the groove using an interference fit.
  • the heating element 270 regulates the temperature of the gas delivery assembly 220 since the components of the delivery assembly 220 , including the distribution plate 225 and the blocker assembly 230 , are each conductively coupled to one another. Regulation of the temperature may be facilitated by a thermocouple 272 coupled to the distribution plate 225 .
  • the thermocouple 272 may be used in a feedback loop to control electric current applied to the heating element 270 from a power supply, such that the gas delivery assembly 220 temperature can be maintained or controlled at a desired temperature or within a desired temperature range. Control of the gas delivery assembly 220 temperature is facilitated because as described above, the gas delivery assembly 220 makes minimal thermal contact with the other components of the lid assembly 200 , and as such, thermal conductivity is limited.
  • the lid assembly 200 can include one or more fluid channel 202 formed therein for flowing a heat transfer medium to provide temperature control of the gas delivery assembly 220 .
  • the fluid channel 202 can be formed within the lid rim 210 , as shown in FIG. 2A .
  • the fluid channel 202 can be formed within any component of the lid assembly 200 to provide an uniform heat transfer to the gas delivery assembly 220 .
  • the fluid channel 202 can contain either a heating or cooling medium to control temperature of the gas delivery assembly 220 , depending on the process requirements within the chamber 100 . Any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
  • the gas delivery assembly 220 can be heated using one or more heat lamps (not shown).
  • the heat lamps are arranged about an upper surface of the distribution plate 225 to heat the distribution plate 225 by radiation.
  • FIG. 3A shows a partial cross sectional view of an illustrative support assembly 300 .
  • the support assembly 300 can be at least partially disposed within the chamber body 112 .
  • the support assembly 300 can include a support member 310 to support a substrate (not shown in this view) for processing within the chamber body 112 .
  • the support member 310 can be coupled to a lift mechanism 330 through a shaft 314 which extends through a centrally-located opening 114 formed in a bottom surface of the chamber body 112 .
  • the lift mechanism 330 can be flexibly sealed to the chamber body 112 by a bellows 333 that prevents vacuum leakage from around the shaft 314 .
  • the lift mechanism 330 allows the support member 310 to be moved vertically within the chamber body 112 between a process position and a lower, transfer position.
  • the transfer position is slightly below the opening of the slit valve 160 formed in a sidewall of the chamber body 112 .
  • FIG. 3B shows an enlarged partial cross sectional of the support assembly 300 shown in FIG. 3A .
  • the support member 310 has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon.
  • the support member 310 is preferably constructed of aluminum.
  • the support member 310 can include a removable top plate 311 made of some other material, such as silicon or ceramic material, for example, to reduce backside contamination of the substrate.
  • the support member 310 or the top plate 311 can include a plurality of extensions or dimples 31 1 A arranged on the upper surface thereof.
  • the dimples 311 A are shown on the upper surface of the top plate 311 . It can be envisioned that the dimples 311 A can be arranged on the upper surface of the support member 310 if a top plate 311 is not desired.
  • the dimples 311 A provide minimum contact between the lower surface of the substrate and the support surface of the support assembly 300 (i.e. either the support member 310 or the top plate 311 ).
  • the substrate may be secured to the support assembly 300 using a vacuum chuck.
  • the top plate 311 can include a plurality of holes 312 in fluid communication with one or more grooves 316 formed in the support member 310 .
  • the grooves 316 are in fluid communication with a vacuum pump (not shown) via a vacuum conduit 313 disposed within the shaft 314 and the support member 310 .
  • the vacuum conduit 313 can be used to supply a purge gas to the surface of the support member 310 to prevent deposition when a substrate is not disposed on the support member 310 .
  • the vacuum conduit 313 can also pass a purge gas during processing to prevent a reactive gas or byproduct from contacting the backside of the substrate.
  • the substrate may be secured to the support member 310 using an electrostatic chuck.
  • the substrate can be held in place on the support member 310 by a mechanical clamp (not shown), such as a conventional clamp ring.
  • an electrostatic chuck typically includes at least a dielectric material that surrounds an electrode (not shown), which may be located on an upper surface of the support member 310 or formed as an integral part of the support member 310 .
  • the dielectric portion of the chuck electrically insulates the chuck electrode from the substrate and from the remainder of the support assembly 300 .
  • the perimeter of the chuck dielectric can be is slightly smaller than the perimeter of the substrate.
  • the substrate slightly overhangs the perimeter of the chuck dielectric so that the chuck dielectric will remain completely covered by the substrate even if the substrate is misaligned off center when positioned on the chuck. Assuring that the substrate completely covers the chuck dielectric ensures that the substrate shields the chuck from exposure to potentially corrosive or damaging substances within the chamber body 112 .
  • the voltage for operating the electrostatic chuck can be supplied by a separate “chuck” power supply (not shown).
  • One output terminal of the chucking power supply is connected to the chuck electrode.
  • the other output terminal typically is connected to electrical ground, but alternatively may be connected to a metal body portion of the support assembly 300 .
  • the substrate is placed in contact with the dielectric portion, and a direct current voltage is placed on the electrode to create the electrostatic attractive force or bias to adhere the substrate on the upper surface of the support member 310 .
  • the support member 310 can include one or more bores 323 formed therethrough to accommodate a lift pin 325 .
  • Each lift pin 325 is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport.
  • Each lift pin 325 is slideably mounted within the bore 323 .
  • the bore 323 is lined with a ceramic sleeve to help freely slide the lift pin 325 .
  • the lift pin 325 is moveable within its respective bore 323 by engaging an annular lift ring 320 disposed within the chamber body 112 .
  • the lift ring 320 is movable such that the upper surface of the lift-pin 325 can be located above the substrate support surface of the support member 310 when the lift ring 320 is in an upper position. Conversely, the upper surface of the lift-pins 325 is located below the substrate support surface of the support member 310 when the lift ring 320 is in a lower position. Thus, part of each lift-pin 325 passes through its respective bore 323 in the support member 310 when the lift ring 320 moves from either the lower position to the upper position.
  • the lift pins 325 When activated, the lift pins 325 push against a lower surface of the substrate, lifting the substrate off the support member 310 . Conversely, the lift pins 325 may be de-activated to lower the substrate, thereby resting the substrate on the support member 310 .
  • the lift pins 325 can include enlarged upper ends or conical heads to prevent the pins 325 from falling out from the support member 310 .
  • Other pin designs can also be utilized and are well known to those skilled in the art.
  • one or more of the lift pins 325 include a coating or an attachment disposed thereon that is made of a non-skid or highly frictional material to prevent the substrate from sliding when supported thereon.
  • a preferred material is a high temperature, polymeric material that does not scratch or otherwise damage the backside of the substrate which would create contaminants within the processing chamber 100 .
  • the coating or attachment is KALREZTM coating available from DuPont.
  • an actuator such as a conventional pneumatic cylinder or a stepper motor (not shown).
  • the stepper motor or cylinder drives the lift ring 320 in the up or down positions, which in turn drives the lift-pins 325 that raise or lower the substrate.
  • a substrate (not shown) is supported on the support member 310 by three lift-pins 325 (not shown in this view) dispersed approximately 120 degrees apart and projecting from the lift ring 320 .
  • the support assembly 300 can include an edge ring 305 disposed about the support member 310 .
  • the edge ring 305 can be made of a variety of materials such as ceramic, quartz, aluminum and steel, among others.
  • the edge ring 305 is an annular member that is adapted to cover an outer perimeter of the support member 310 and protect the support member 310 from deposition.
  • the edge ring 305 can be positioned on or adjacent the support member 310 to form an annular purge gas channel 334 between the outer diameter of support member 310 and the inner diameter of the edge ring 305 .
  • the annular purge gas channel 334 can be in fluid communication with a purge gas conduit 335 formed through the support member 310 and the shaft 314 .
  • the purge gas conduit 335 is in fluid communication with a purge gas supply (not shown) to provide a purge gas to the purge gas channel 334 .
  • a purge gas supply (not shown) to provide a purge gas to the purge gas channel 334 .
  • Any suitable purge gas such as nitrogen, argon, or helium, may be used alone or in combination.
  • the purge gas flows through the conduit 335 , into the purge gas channel 334 , and about an edge of the substrate disposed on the support member 310 . Accordingly, the purge gas working in cooperation with the edge ring 305 prevents deposition at the edge and/or backside of the substrate.
  • the temperature of the support assembly 300 is controlled by a fluid circulated through a fluid channel 360 embedded in the body of the support member 310 .
  • the fluid channel 360 is in fluid communication with a heat transfer conduit 361 disposed through the shaft 314 of the support assembly 300 .
  • the fluid channel 360 is positioned about the support member 310 to provide a uniform heat transfer to the substrate receiving surface of the support member 310 .
  • the fluid channel 360 and heat transfer conduit 361 can flow heat transfer fluids to either heat or cool the support member 310 . Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof.
  • the support assembly 300 can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the support member 310 .
  • a signal from the thermocouple may be used in a feedback loop to control the temperature or flowrate of the fluid circulated through the fluid channel 360 .
  • the support member 310 can be moved vertically within the chamber body 112 so that a distance between support member 310 and the lid assembly 200 can be controlled.
  • a sensor (not shown) can provide information concerning the position of support member 310 within chamber 100 .
  • An example of a lifting mechanism for the support member 310 is described in detail in U.S. Pat. No. 5,951,776, issued Sep. 14, 1999 to Selyutin et al., entitled “Self-Aligning Lift Mechanism”, which is hereby incorporated by reference in it entirety.
  • the support member 310 can be elevated to a close proximity of the lid assembly 200 to control the temperature of the substrate being processed.
  • the substrate can be heated via radiation emitted from the distribution plate 225 that is controlled by the heating element 270 .
  • the substrate can be lifted off the support member 310 to close proximity of the heated lid assembly 200 using the lift pins 325 activated by the lift ring 320 .
  • processing chamber 100 After extended periods of use or at designated times for scheduled maintenance, certain components of the processing chamber 100 including those described above can be regularly inspected, replaced, or cleaned. These components are typically parts that are collectively known as the “process kit.” Illustrative components of the process kit can include, but are not limited to the showerhead 225 , the top plate 311 , the edge ring 305 , the liner 133 , and the lift pins 325 , for example. Any one or more of these components are typically removed from the chamber 100 and cleaned or replaced at regular intervals or according to an as-needed basis.
  • FIG. 4A shows a partial cross sectional view of another illustrative lid assembly 400 .
  • the lid assembly 400 includes at least two stacked components configured to form a plasma volume or cavity therebetween.
  • the lid assembly 400 includes a first electrode 410 (“upper electrode”) disposed vertically above a second electrode 450 (“lower electrode”) confining a plasma volume or cavity 425 therebetween.
  • the first electrode 410 is connected to a power source 415 , such as an RF power supply, and the second electrode 450 is connected to ground, forming a capacitance between the two electrodes 410 , 450 .
  • a power source 415 such as an RF power supply
  • the lid assembly 400 includes one or more gas inlets 412 (only one is shown) that are at least partially formed within an upper section 413 of the first electrode 410 .
  • the one or more process gases enter the lid assembly 400 via the one or more gas inlets 412 .
  • the one or more gas inlets 412 are in fluid communication with the plasma cavity 425 at a first end thereof and coupled to one or more upstream gas sources and/or other gas delivery components, such as gas mixers, at a second end thereof.
  • the first end of the one or more gas inlets 412 can open into the plasma cavity 425 at the upper most point of the inner diameter 430 of the expanding section 420 as shown in FIG. 4A .
  • the first end of the one or more gas inlets 412 can open into the plasma cavity 425 at any height interval along the inner diameter 430 of the expanding section 420 .
  • two gas inlets 412 can be disposed at opposite sides of the expanding section 420 to create a swirling flow pattern or “vortex” flow into the expanding section 420 which helps mix the gases within the plasma cavity 425 .
  • a more detailed description of such a flow pattern and gas inlet arrangements is provided by U.S. Patent Application No. 20030079686, filed on Dec. 21, 2001, which is incorporated by reference herein.
  • the first electrode 410 has an expanding section 420 that houses the plasma cavity 425 .
  • the expanding section 420 is in fluid communication with the gas inlet 412 as described above.
  • the expanding section 420 is an annular member that has an inner surface or diameter 430 that gradually increases from an upper portion 420 A thereof to a lower portion 420 B thereof.
  • the distance between the first electrode 410 and the second electrode 450 is variable. That varying distance helps control the formation and stability of the plasma generated within the plasma cavity 425 .
  • the expanding section 420 resembles a cone or “funnel,” as is shown in FIGS. 4A and 4B .
  • FIG. 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of FIG. 4A .
  • the inner surface 430 of the expanding section 420 gradually slopes from the upper portion 420 A to the lower portion 420 B of the expanding section 420 .
  • the slope or angle of the inner diameter 430 can vary depending on process requirements and/or process limitations.
  • the length or height of the expanding section 420 can also vary depending on specific process requirements and/or limitations.
  • the slope of the inner diameter 430 can vary depending on the volume of plasma needed for processing.
  • the slope of the inner diameter 430 can be at least 1:1, or at least 1.5:1 or at least 2:1 or at least 3:1 or at least 4:1 or at least 5:1 or at least 10:1.
  • the slope of the inner diameter 430 can range from a low of 2:1 to a high of 20:1.
  • the expanding section 420 can be curved or arced although not shown in the figures.
  • the inner surface 430 of the expanding section 420 can be curved or arced to be either convexed or concaved.
  • the inner surface 430 of the expanding section 420 can have a plurality of sections that are each sloped, tapered, convexed, or concaved.
  • the expanding section 420 of the first electrode 410 varies the vertical distance between the first electrode 410 and the second electrode 450 because of the gradually increasing inner surface 430 of the first electrode 410 . That variable distance is directly related to the power level within the plasma cavity 425 .
  • the variation in distance between the two electrodes 410 , 450 allows the plasma to find the necessary power level to sustain itself within some portion of the plasma cavity 425 if not throughout the entire plasma cavity 425 .
  • the plasma within the plasma cavity 425 is therefore less dependent on pressure, allowing the plasma to be generated and sustained within a wider operating window. As such, a more repeatable and reliable plasma can be formed within the lid assembly 400 .
  • the first electrode 410 can be constructed from any process compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061-T6, stainless steel as well as combinations and alloys thereof, for example.
  • the entire first electrode 410 or portions thereof are nickel coated to reduce unwanted particle formation.
  • at least the inner surface 430 of the expanding section 420 is nickel plated.
  • the second electrode 450 can include one or more stacked plates. When two or more plates are desired, the plates should be in electrical communication with one another. Each of the plates should include a plurality of apertures or gas passages to allow the one or more gases from the plasma cavity 425 to flow through.
  • the lid assembly 400 can further include an isolator ring 440 to electrically isolate the first electrode 410 from the second electrode 450 .
  • the isolator ring 440 can be made from aluminum oxide or any other insulative, process compatible material.
  • the isolator ring 440 surrounds or substantially surrounds at least the expanding section 420 as shown in FIG. 4B .
  • the second electrode 450 includes a top plate 460 , distribution plate 470 and blocker plate 480 .
  • the top plate 460 , distribution plate 470 and blocker plate 480 are stacked and disposed on a lid rim 490 which is connected to the chamber body 112 as shown in FIG. 4B .
  • a hinge assembly (not shown) can be used to couple the lid rim 490 to the chamber body 112 .
  • the lid rim 490 can include an embedded channel or passage 492 for housing a heat transfer medium.
  • the heat transfer medium can be used for heating, cooling, or both, depending on the process requirements. Illustrative heat transfer mediums are listed above.
  • the top plate 460 includes a plurality of gas passages or apertures 465 formed beneath the plasma cavity 425 to allow gas from the plasma cavity 425 to flow therethrough.
  • the top plate 460 can include a recessed portion 462 that is adapted to house at least a portion of the first electrode 410 .
  • the apertures 465 are through the cross section of the top plate 460 beneath the recessed portion 462 .
  • the recessed portion 462 of the top plate 460 can be stair stepped as shown in FIG. 4A to provide a better sealed fit therebetween.
  • the outer diameter of the top plate 460 can be designed to mount or rest on an outer diameter of the distribution plate 470 as shown in FIG. 4A .
  • An o-ring type seal such as an elastomeric o-ring 463 , can be at least partially disposed within the recessed portion 462 of the top plate 460 to ensure a fluid-tight contact with the first electrode 410 .
  • an o-ring type seal 466 can be used to provide a fluid-tight contact between the outer perimeters of the top plate 460 and the distribution plate 470 .
  • the distribution plate 470 is identical to the distribution plate 225 shown and described above with reference to FIGS. 2A-2C .
  • the distribution plate 470 is substantially disc-shaped and includes a plurality of apertures 475 or passageways to distribute the flow of gases therethrough.
  • the apertures 475 can be sized and positioned about the distribution plate 470 to provide a controlled and even flow distribution to the chamber body 112 where the substrate to be processed is located.
  • the apertures 475 prevent the gas(es) from impinging directly on the substrate surface by slowing and re-directing the velocity profile of the flowing gases, as well as evenly distributing the flow of gas to provide an even distribution of gas across the surface of the substrate.
  • the distribution plate 470 can also include an annular mounting flange 472 formed at an outer perimeter thereof.
  • the mounting flange 472 can be sized to rest on an upper surface of the lid rim 490 .
  • An o-ring type seal such as an elastomeric o-ring, can be at least partially disposed within the annular mounting flange 472 to ensure a fluid-tight contact with the lid rim 490 .
  • the distribution plate 470 includes one or more embedded channels or passages 474 for housing a heater or heating fluid to provide temperature control of the lid assembly 400 . Similar to the lid assembly 200 described above, a resistive heating element can be inserted within the passage 474 to heat the distribution plate 470 . A thermocouple can be connected to the distribution plate 470 to regulate the temperature thereof. The thermocouple can be used in a feedback loop to control electric current applied to the heating element, as described above.
  • a heat transfer medium can be passed through the passage 474 .
  • the one or more passages 474 can contain a cooling medium, if needed, to better control temperature of the distribution plate 470 depending on the process requirements within the chamber body 112 .
  • any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
  • the lid assembly 400 can be heated using one or more heat lamps (not shown).
  • the heat lamps are arranged about an upper surface of the distribution plate 470 to heat the components of the lid assembly 400 including the distribution plate 470 by radiation.
  • the blocker plate 480 is optional and would be disposed between the top plate 460 and the distribution plate 470 .
  • the blocker plate 480 is removably mounted to a lower surface of the top plate 460 .
  • the blocker plate 480 should make good thermal and electrical contact with the top plate 460 .
  • the blocker plate 480 can be coupled to the top plate 460 using a bolt or similar fastener.
  • the blocker plate 480 can also be threaded or screwed onto an out diameter of the top plate 460 .
  • the blocker plate 480 includes a plurality of apertures 485 to provide a plurality of gas passages from the top plate 460 to the distribution plate 470 .
  • the apertures 485 can be sized and positioned about the blocker plate 480 to provide a controlled and even flow distribution the distribution plate 470 .
  • FIG. 4C shows a partial cross sectional view of the chamber body 112 having the lid assembly 400 disposed thereon.
  • the expanding section 420 is centered above the support assembly 300 as shown in FIG. 4C .
  • the confinement of the plasma within the plasma cavity 425 and the central location of the confined plasma allows an even and repeatable distribution of the disassociated gas(es) into the chamber body 112 .
  • the gas leaving the plasma volume 425 flows through the apertures 465 of the top plate 460 to the upper surface of the blocker plate 480 .
  • the apertures 485 of the blocker plate 480 distribute the gas to the backside of the distribution plate 470 where the gas is further distributed through the apertures 475 of the distribution plate 470 before contacting the substrate (not shown) within the chamber body 112 .
  • NH 3 ammonia
  • NF 3 nitrogen trifluoride
  • the dry etch process begins by placing a substrate (not shown), such as a semiconductor substrate for example, into the processing chamber 100 .
  • the substrate is typically placed into the chamber body 112 through the slit valve opening 160 and disposed on the upper surface of the support member 310 .
  • the substrate is chucked to the upper surface of the support member 310 , and an edge purge is passed through the channel 334 .
  • the substrate is chucked to the upper surface of the support member 310 by pulling a vacuum through the holes 312 and grooves 316 that are in fluid communication with a vacuum pump via conduit 313 .
  • the support member 310 is then lifted to a processing position within the chamber body 112 , if not already in a processing position.
  • the chamber body 112 is preferably maintained at a temperature of between 50° C. and 80° C., more preferably at about 65° C. This temperature of the chamber body 112 is maintained by passing a heat transfer medium through the fluid channel 113 .
  • the substrate is cooled below 65° C., such as between 15° C. and 50° C., by passing a heat transfer medium or coolant through the fluid channel 360 formed within the support assembly 300 .
  • the substrate is maintained below room temperature.
  • the substrate is maintained at a temperature of between 22° C. and 40° C.
  • the support member 310 is maintained below about 22° C. to reach the desired substrate temperatures specified above.
  • the coolant is passed through the fluid channel 360 .
  • a continuous flow of coolant is preferred to better control the temperature of the support member 310 .
  • the coolant is preferably 50 percent by volume ethylene glycol and 50 percent by volume water. Of course, any ratio of water and ethylene glycol can be used so long as the desired temperature of the substrate is maintained.
  • the ammonia and nitrogen trifluoride gases are then introduced into the chamber 100 to form a cleaning gas mixture.
  • the amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of the chamber body 112 , as well as the capabilities of the vacuum system coupled to the chamber body 112 .
  • the gases are added to provide a gas mixture having at least a 1:1 molar ratio of ammonia to nitrogen trifluoride.
  • the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride).
  • the gases are introduced in the chamber 100 at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
  • a purge gas or carrier gas may also be added to the gas mixture.
  • Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example.
  • the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas.
  • the purge or carrier gas is first introduced into the chamber body 112 before the reactive gases to stabilize the pressure within the chamber body 112 .
  • the operating pressure within the chamber body 112 can be variable. Typically, the pressure is maintained between about 500 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber body 112 is maintained between about 3 Torr and about 6 Torr.
  • An RF power of from about 5 and about 600 Watts is applied to the electrode 240 to ignite a plasma of the gas mixture within the volumes 261 , 262 , and 263 contained in the gas delivery assembly 220 .
  • the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
  • the plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH 4 F) compound and/or ammonium hydrogen fluoride (NH 4 F.HF) in the gas phase. These molecules then flow through the gas delivery assembly 220 via the holes 225 A of the distribution plate 225 to react with the substrate surface to be cleaned.
  • the carrier gas is first introduced into the chamber 100 , a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • the etchant gas NH 4 F and/or NH 4 F.HF, reacts with the silicon oxide surface to form ammonium hexafluorosilicate (NH 4 ) 2 SiF 6 , NH 3 , and H 2 O products.
  • the NH 3 , and H 2 O are vapors at processing conditions and removed from the chamber 100 by the vacuum pump 125 .
  • the volatile gases flow through the apertures 135 formed in the liner 133 into the pumping channel 129 before the gases exit the chamber 100 through the vacuum port 131 into the vacuum pump 125 .
  • a thin film of (NH 4 ) 2 SiF 6 is left behind on the substrate surface.
  • the support member 310 having the substrate supported thereon is elevated to an anneal position in close proximity to the heated distribution plate 225 .
  • the heat radiated from the distribution plate 225 should be sufficient to dissociate or sublimate the thin film of (NH 4 ) 2 SiF 6 into volatile SiF 4 , NH 3 , and HF products.
  • These volatile products are then removed from the chamber 100 by the vacuum pump 125 as described above.
  • a temperature of 75° C. or more is used to effectively sublimate and remove the thin film from the substrate.
  • a temperature of 100° C. or more is used, such as between about 115° C. and about 200° C.
  • the thermal energy to dissociate the thin film of (NH 4 ) 2 SiF 6 into its volatile components is convected or radiated by the distribution plate 225 .
  • a heating element 270 is directly coupled to the distribution plate 225 , and is activated to heat the distribution plate 225 and the components in thermal contact therewith to a temperature between about 75° C. and 250° C.
  • the distribution plate 225 is heated to a temperature of between 100° C. and 150° C., such as about 120° C.
  • the lift mechanism 330 can elevate the support member 310 toward a lower surface of the distribution plate 225 .
  • the substrate is secured to the support member 310 , such as by the vacuum chuck or electrostatic chuck described above.
  • the substrate can be lifted off the support member 310 and placed in close proximity to the heated distribution plate 225 by elevating the lift pins 325 via the lift ring 320 .
  • the distance between the upper surface of the substrate having the thin film thereon and the distribution plate 225 is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is effective.
  • the chamber is purged and evacuated.
  • the cleaned substrate is then removed from the chamber body 112 by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve opening 160 .
  • a system controller (not shown) can be used to regulate the operations of the processing chamber 100 .
  • the system controller can operate under the control of a computer program stored on a hard disk drive of a computer.
  • the computer program can dictate the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and other parameters of a particular process.
  • the interface between a user and the system controller can be made via a CRT monitor and light pen (not shown).
  • two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. Also preferred is that both monitors simultaneously display the same information but only one light pen is enabled.
  • the light pen detects light emitted by the CRT display with a light sensor in the tip of the pen. To select a particular screen or function, the operator can touch a designated area of the display screen and push the button on the pen.
  • the display screen generally confirms communication between the light pen and the touched area by changing its appearance, i.e. highlight or color, or displaying a new menu or screen.
  • a variety of processes can be implemented using a computer program product that runs on, for example, the system controller.
  • the computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal.
  • Suitable program code can be entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
  • FIGS. 5A-5H are sectional schematic views of an exemplary fabrication sequence for forming an exemplary active electronic device, such as a MOSFET structure 500 , utilizing the dry etch process and the processing chamber 100 described herein.
  • the exemplary MOSFET structure may be formed on a semiconductor material, for example a silicon or gallium arsenide substrate 525 .
  • the substrate 525 is a silicon wafer having a ⁇ 100> crystallographic orientation and a diameter of 150 mm (6 inches), 200 mm (8 inches), or 300 mm (12 inches).
  • the MOSFET structure includes a combination of (i) dielectric layers, such as silicon dioxide, organosilicate, carbon doped silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), silicon nitride, or combinations thereof; (ii) semiconducting layers such as doped polysilicon, and n-type or p-type doped monocrystalline silicon; and (iii) electrical contacts and interconnect lines formed from layers of metal or metal silicide, such as tungsten, tungsten silicide, titanium, titanium silicide, cobalt silicide, nickel silicide, or combinations thereof.
  • dielectric layers such as silicon dioxide, organosilicate, carbon doped silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), silicon nitride, or combinations thereof
  • semiconducting layers such as doped polysilicon, and n-type or p-type doped monocrystalline silicon
  • fabrication of the active electronic device begins by forming electrical isolation structures that electrically isolate the active electronic device from other devices.
  • electrical isolation structures There are several types of electrical isolation structures as generally described in VLSI Technology, Second Edition, Chapter 11, by S. M. Sze, McGraw-Hill Publishing Company (1988), which is incorporated herein by reference.
  • a field oxide layer (not shown) having a thickness of about 2,000 angstroms is first grown over the entire substrate 525 , and portions of the oxide layer are removed to form the field oxide barriers 545 A, B which surround exposed regions in which the electrically active elements of the device are formed.
  • the exposed regions are thermally oxidized to form a thin gate oxide layer 550 having a thickness of from about 50 to 300 angstroms.
  • a polysilicon layer is then deposited, patterned, and etched to create a gate electrode 555 .
  • the surface of the polysilicon gate electrode 555 can be reoxidized to form an insulating dielectric layer 560 , providing the structure shown in FIG. 5A .
  • the source and drain 570 A, B are next formed by doping the appropriate regions with suitable dopant atoms.
  • an n-type dopant species comprising arsenic or phosphorous is used.
  • the doping is performed by an ion implanter and might include, for example, phosphorous ( 31 P) at a concentration of about 10 13 atoms/cm 2 at an energy level of from about 30 to 80 Kev, or Arsenic ( 75 As) at a dose of from about 10 15 to 10 17 atoms/cm 2 and an energy of from 10 to 100 Kev.
  • the dopant is driven into the substrate 525 by heating the substrate, for example, in a rapid thermal processing (RTP) apparatus.
  • RTP rapid thermal processing
  • the oxide layer 550 covering the source and drain regions 570 A, B is stripped in a conventional stripping process to remove any impurities caused by the implantation process which are trapped in the oxide layer, providing the structure shown in FIG. 8B .
  • a silicon nitride layer 575 is deposited on the gate electrode 555 and the surfaces on the substrate 525 by low-pressure chemical vapor deposition (LPCVD) using a gas mixture of SiH 2 , Cl 2 , and NH 3 .
  • the silicon nitride layer 575 is then etched using reactive ion etching (RIE) techniques to form nitride spacers 580 on the sidewall of the gate electrode 555 , as shown in FIG. 5D .
  • the spacers 580 electrically isolate the silicide layer formed on the top surface of the gate 555 from other silicide layers deposited over the source 570 A and drain 570 B.
  • the electrical isolation sidewall spacers 580 and overlayers can be fabricated from other materials, such as silicon oxide.
  • the silicon oxide layers used to form sidewall spacers 580 are typically deposited by CVD or PECVD from a feed gas of tetraethoxysilane (TEOS) at a temperature in the range of from about 600° C. to about 1,000° C.
  • TEOS tetraethoxysilane
  • a native silicon oxide layer 585 is formed on exposed silicon surfaces by exposure to the atmosphere before and after the processes.
  • the native silicon oxide layer 585 must be removed prior to forming conductive metal suicide contacts on the gate 555 , source 570 A, and drain 570 B to improve the alloying reaction and electrical conductivity of the metal suicide formed.
  • the native silicon oxide layer 585 can increase the electrical resistance of the semiconducting material, and adversely affect the silicidation reaction of the silicon and metal layers that are subsequently deposited. Therefore, it is necessary to remove this native silicon dioxide layer 585 using the dry etch process described prior to forming metal silicide contacts or conductors for interconnecting active electronic devices.
  • the dry etch process removes the native silicon oxide layers 585 to expose the source 570 A, drain 570 B, and the top surface of the gate electrode 555 as shown in FIG. 5F .
  • a PVD sputtering process is used to deposit a layer of metal 590 .
  • Conventional furnace annealing is then used to anneal the metal and silicon layers to form metal silicide in regions in which the metal layer 590 is in contact with silicon.
  • the anneal is typically performed in a separate processing system.
  • a protective cap layer (not shown) may be deposited over the metal 590 .
  • the cap layers are typically nitride materials and may include one or more materials selected from the group consiting of titanium nitride, tungsten nitride, tantalum nitride, nafnium nitride, and silicon nitride.
  • the cap layer may be deposited by any deposition process, preferably by PVD.
  • Annealing typically involves heating the substrate 500 to a temperature of between 600° C. and 800° C. in an atmosphere of nitrogen for about 30 minutes.
  • the metal silicide 595 can be formed utilizing a rapid thermal annealing process in which the substrate 500 is rapidly heated to about 1000° C. for about 30 seconds.
  • Suitable conductive metals include cobalt, titanium, nickel, tungsten, platinum, and any other metal that has a low contact resistance and that can form a reliable metal silicide contact on both polysilicon and monocrystalline silicon.
  • Unreacted portions of the metal layer 590 can be removed by a wet etch using aqua regia, (HCl and HNO 3 ) which removes the metal without attacking the metal silicide 595 ; the spacer 580 , or the field oxide 545 A, B, thus leaving a self-aligned metal silicide contact 595 on the gate 555 , source 570 A, and drain 570 B, as shown in FIG. 5H .
  • an insulating cover layer comprising, for example, silicon oxide, BPSG, or PSG, can be deposited on the electrode structures.
  • the insulating cover layer is deposited by means of chemical-vapor deposition in a CVD chamber, in which the material condenses from a feed gas at low or atmospheric pressure, as for example, described in commonly assigned U.S. Pat. No. 5,500,249, issued Mar. 19, 1996, which is incorporated herein by reference. Thereafter, the structure 500 is annealed at glass transition temperatures to form a smooth planarized surface.
  • the processing chamber 100 can be integrated into a multi-processing platform, such as an EnduraTM platform available from Applied Materials, Inc. located in Santa Clara, Calif. Such a processing platform is capable of performing several processing operations without breaking vacuum. Details of the EnduraTM platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30,1999, which is incorporated by reference herein.
  • FIG. 6 is a schematic top-view diagram of an illustrative multi-chamber processing system 600 .
  • the system 600 can include one or more load lock chambers 602 , 604 for transfering of substrates into and out of the system 600 .
  • the load lock chambers 602 , 604 may “pump down” the substrates introduced into the system 600 .
  • a first robot 610 may transfer the substrates between the load lock chambers 602 , 604 , and a first set of one or more substrate processing chambers 612 , 614 , 616 , 618 (four are shown).
  • Each processing chamber 612 , 614 , 616 , 618 can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean, degas, orientation and other substrate processes.
  • the first robot 610 can also transfer substrates to/from one or more transfer chambers 622 , 624 .
  • the transfer chambers 622 , 624 can be used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600 .
  • a second robot 630 can transfer the substrates between the transfer chambers 622 , 624 and a second set of one or more processing chambers 632 , 634 , 636 , 638 .
  • the processing chambers 632 , 634 , 636 , 638 can be outfitted to perform a variety of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean, degas, and orientation, for example.
  • Any of the substrate processing chambers 612 , 614 , 616 , 618 , 632 , 634 , 636 , 638 may be removed from the system 600 if not necessary for a particular process to be performed by the system 600 .
  • An illustrative multi-processing system 600 for forming the MOSFET structure of FIGS. 5A-5H can include two ptocessing chambers 100 as described above, two physical vapor deposition chambers to deposit the metal 500 and two physical vapor deposition chambers to deposit the optional cap layer (not shown). Any one of the processing chambers 612 , 614 , 616 , 618 , 632 , 634 , 636 , 638 shown in FIG. 6 represent the PVD chambers and/or processing chambers 100 .
  • the dry etch process described herein can also be used to form other semiconductor structures and devices that have other metal silicide layers, for example, suicides of tungsten, tantalum, molybdenum.
  • the cleaning process can also be used prior to the deposition of layers of different metals including, for example, aluminum, copper, cobalt, nickel, silicon, titanium, palladium, hafnium, boron, tungsten, tantalum, or mixtures thereof.
  • etch a gas mixture of 2 sccm of NF 3 , 10 sccm of NH 3 and 2,500 sccm of argon was introduced into the chamber.
  • a plasma of the gas mixture was ignited using 100 Watts of power.
  • the bottom purge was 1,500 sccm of argon and the edge purge was 50 sccm of argon.
  • the chamber pressure was maintained at about 6 Torr, and the substrate temperature was about 22° C. The substrate was etched for 120 seconds.
  • the spacing was 750 mil and the lid temperature was 120° C.
  • the substrate was annealed for about 60 seconds. About 50 angstroms of material was removed from the substrate surface. No anneal effect was observed. The etch rate was about 0.46 angstroms per second (28 ⁇ /min). The observed etch uniformity was about 5% for the 50 ⁇ etch.

Abstract

A method and apparatus for removing native oxides from a substrate surface is provided. In one aspect, the chamber comprises a chamber body and a support assembly at least partially disposed within the chamber body and adapted to support a substrate thereon. The support assembly includes one or more fluid channels at least partially formed therein and capable of cooling the substrate. The chamber further comprises a lid assembly disposed on an upper surface of the chamber body. The lid assembly includes a first electrode and a second electrode which define a plasma cavity therebetween, wherein the second electrode is adapted to connectively heat the substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 60/547,839, filed Feb. 26, 2004, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to semiconductor processing equipment. More particularly, embodiments of the present invention relate to a chemical vapor deposition (CVD) system for semiconductor fabrication and in situ dry cleaning methods using the same.
  • 2. Description of the Related Art
  • A native oxide typically forms when a substrate surface is exposed to oxygen. Oxygen exposure occurs when the substrate is moved between processing chambers at atmospheric conditions, or when a small amount of oxygen remaining in a vacuum chamber contacts the substrate surface. Native oxides may also result if the substrate surface is contaminated during etching. Native oxides typically form an undesirable film on the substrate surface. Native oxide films are usually very thin, such as between 5 and 20 angstroms, but thick enough to cause difficulties in subsequent fabrication processes.
  • Such difficulties usually affect the electrical properties of semiconductor devices formed on the substrate. For example, a particular problem arises when native silicon oxide films are formed on exposed silicon containing layers, especially during processing of Metal Oxide Silicon Field Effect Transistor (“MOSFET”) structures. Silicon oxide films are electrically insulating and are undesirable at interfaces with contact electrodes or interconnecting electrical pathways because they cause high electrical contact resistance. In MOSFET structures, the electrodes and interconnecting pathways include silicide layers formed by depositing a refractory metal on bare silicon and annealing the layer to produce the metal silicide layer. Native silicon oxide films at the interface between the substrate and the metal reduce the compositional uniformity of the silicide layer by impeding the diffusional chemical reaction that forms the metal silicide. This results in lower substrate yields and increased failure rates due to overheating at the electrical contacts. The native silicon oxide film can also prevent adhesion of other CVD or sputtered layers which are subsequently deposited on the substrate.
  • Sputter etch processes have been tried to reduce contaminants in large features or in small features having aspect ratios smaller than about 4:1. However, sputter etch processes can damage delicate silicon layers by physical bombardment. In response, wet etch processes using hydrofluoric (HF) acid and deionized water, for example, have also been tried. Wet etch processes such as this, however, are disadvantageous in today's smaller devices where the aspect ratio exceeds 4:1, and especially where the aspect ratio exceeds 10:1. Particularly, the wet solution cannot penetrate into those sizes of vias, contacts, or other features formed within the substrate surface. As a result, the removal of the native oxide film is incomplete. Similarly, a wet etch solution, if successful in penetrating a feature of that size, is even more difficult to remove from the feature once etching is complete.
  • Another approach for eliminating native oxide films is a dry etch process, such as one utilizing fluorine-containing gases. One disadvantage to using fluorine-containing gases, however, is that fluorine is typically left behind on the substrate surface. Fluorine atoms or fluorine radicals left behind on the substrate surface can be detrimental. For example, the fluorine atoms left behind can continue to etch the substrate causing voids therein.
  • A more recent approach to remove native oxide films has been to form a fluorine/silicon-containing salt on the substrate surface that is subsequently removed by thermal anneal. In this approach, a thin layer of the salt is formed by reacting a fluorine-containing gas with the silicon oxide surface. The salt is then heated to an elevated temperature sufficient to dissociate the salt into volatile by-products which are then removed from the processing chamber. The formation of a reactive fluorine-containing gas is usually assisted by thermal addition or by plasma energy. The salt is usually formed at a reduced temperature that requires cooling of the substrate surface. This sequence of cooling followed by heating is usually accomplished by transferring the substrate from a cooling chamber where the substrate is cooled to a separate anneal chamber or furnace where the substrate is heated.
  • For various reasons, this reactive fluorine processing sequence is not desirable. Namely, wafer throughput is greatly diminished because of the time involved to transfer the wafer. Also, the wafer is highly susceptible to further oxidation or other contamination during the transfer. Moreover, the cost of ownership is doubled because two separate chambers are needed to complete the oxide removal process.
  • There is a need, therefore, for a processing chamber capable of remote plasma generation, heating and cooling, and thereby capable of performing a single dry etch process in a single chamber (i.e. in-situ).
  • SUMMARY OF THE INVENTION
  • A processing chamber for removing native oxides from a substrate surface is provided. In one aspect, the chamber comprises a chamber body and a support assembly at least partially disposed within the chamber body and adapted to support a substrate thereon. The support assembly includes one or more fluid channels at least partially formed therein that are capable of providing a fluid for cooling the substrate. The chamber further comprises a lid assembly disposed on an upper surface of the chamber body. The lid assembly includes a first electrode and a second electrode which define a plasma cavity therebetween, wherein the second electrode is heated and adapted to connectively heat the substrate.
  • A method for etching native oxides from a substrate surface is also provided. In one aspect, the method comprises loading a substrate to be processed within a processing chamber comprising a chamber body and a support assembly at least partially disposed within the chamber body and adapted to support a substrate thereon. The support assembly includes one or more fluid channels at least partially formed therein that are capable of providing a fluid for cooling the substrate. The chamber further comprises a lid assembly disposed on an upper surface of the chamber body. The lid assembly includes a first electrode and a second electrode which define a plasma cavity therebetween, wherein the second electrode is adapted to connectively heat the substrate.
  • The method further comprises generating a plasma of reactive gas within the plasma cavity, cooling the substrate by flowing a heat transfer medium through the one or more fluid channels of the support assembly, flowing the reactive gas through the second electrode to the substrate surface, etching the substrate surface with the reactive gas, heating the second electrode by applying power to a heating element in contact therewith, and heating the substrate using the heated second electrode by placing the support assembly in close proximity to the heated electrode.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A shows a partial cross sectional view of an illustrative processing chamber 100 for heating, cooling, and etching.
  • FIG. 1B shows an enlarged schematic view of an illustrative liner disposed within the processing chamber of FIG. 1A.
  • FIG. 2A shows an enlarged cross sectional view of an illustrative lid assembly that can be disposed at an upper end of the chamber body shown in FIG. 1A.
  • FIGS. 2B and 2C show enlarged schematic views of the gas distribution plate of FIG. 2A.
  • FIG. 3A shows a partial cross sectional view of an illustrative support assembly, which is at least partially disposed within the chamber body 112 of FIG. 1A.
  • FIG. 3B shows an enlarged partial cross sectional view of the illustrative support member 300 of FIG. 3A.
  • FIG. 4A shows a schematic cross sectional view of another illustrative lid assembly 400.
  • FIG. 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of FIG. 4A.
  • FIG. 4C shows a partial cross sectional view of the illustrative processing chamber 100 utilizing the lid assembly 400 of FIG. 4A.
  • FIGS. 5A-5H are sectional schematic views of a fabrication sequence for forming an illustrative active electronic device, such as a MOSFET structure.
  • FIG. 6 is a schematic diagram of an exemplary multi-chamber processing system adapted to perform multiple processing operations.
  • DETAILED DESCRIPTION
  • A processing chamber for any number of substrate processing techniques is provided. The chamber is particularly useful for performing a plasma assisted dry etch process that requires both heating and cooling of the substrate surface without breaking vacuum. For example, the processing chamber described herein is envisioned to be best suited for a front-end-of line (FEOL) clean chamber for removing oxides and other contaminants from a substrate surface.
  • A “substrate surface”, as used herein, refers to any substrate surface upon which processing is performed. For example, a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. A substrate surface may also include dielectric materials such as silicon dioxide, organosilicates, and carbon doped silicon oxides. The substrate itself is not limited to any particular size or shape. In one aspect, the term “substrate” refers to a round wafer having a 200 mm diameter or 300 mm diameter. In another aspect, the term “substrate” refers to any polygonal, squared, rectangular, curved or otherwise non-circular workpiece, such as a glass substrate used in the fabrication of flat panel displays, for example.
  • FIG. 1A is a partial cross sectional view showing an illustrative processing chamber 100. In one embodiment, the processing chamber 100 includes a chamber body 112, a lid assembly 200, and a support assembly 300. The lid assembly 200 is disposed at an upper end of the chamber body 112, and the support assembly 300 is at least partially disposed within the chamber body 112. The processing chamber 100 and the associated hardware are preferably formed from one or more process-compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061-T6, stainless steel, as well as combinations and alloys thereof, for example.
  • The chamber body 112 includes a slit valve opening 160 formed in a sidewall thereof to provide access to the interior of the processing chamber 100. The slit valve opening 160 is selectively opened and closed to allow access to the interior of the chamber body 112 by a wafer handling robot (not shown). Wafer handling robots are well known to those with skill in the art, and any suitable robot may be used. For example, an exemplary robotic transfer assembly has been described in a commonly assigned U.S. Pat. No. 4,951,601, entitled “Multi-chamber Integrated Process System,” issued Aug. 28, 1990, the complete disclosure of which is incorporated herein by reference. In one embodiment, a wafer can be transported in and out of the processing chamber 100 through the slit valve opening 160 to an adjacent transfer chamber and/or load-lock chamber, or another chamber within a cluster tool. A cluster tool of a type that can be coupled to the processing chamber 100 is described in a commonly assigned U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing System and Method”, issued Feb. 16, 1993, and is herein incorporated by reference.
  • In one or more embodiments, the chamber body 112 includes a channel 113 formed therein for flowing a heat transfer fluid therethrough. The heat transfer fluid can be a heating fluid or a coolant and is used to control the temperature of the chamber body 112 during processing and substrate transfer. The temperature of the chamber body 112 is important to prevent unwanted condensation of the gas or byproducts on the chamber walls. Exemplary heat transfer fluids include water, ethylene glycol, or a mixture thereof. An exemplary heat transfer fluid may also include nitrogen gas.
  • The chamber body 112 can further include a liner 133 that surrounds the support assembly 300. The liner 133 is preferably removable for servicing and cleaning. The liner 133 can be made of a metal such as aluminum, or a ceramic material. However, the liner 133 can be any process compatible material. The liner 133 can be bead blasted to increase the adhesion of any material deposited thereon, thereby preventing flaking of material which results in contamination of the processing chamber 100. In one or more embodiments, the liner 133 includes one or more apertures 135 and a pumping channel 129 formed therein that is in fluid communication with a vacuum system. The apertures 135 provide a flow path for gases into the pumping channel 129, which provides an egress for the gases within the processing chamber 100.
  • The vacuum system can include a vacuum pump 125 and a throttle valve 127 to regulate flow of gases through the processing chamber 100. The vacuum pump 125 is coupled to a vacuum port 131 disposed on the chamber body 112 and therefore, in fluid communication with the pumping channel 129 formed within the liner 133. The terms “gas” and “gases” are used interchangeably, unless otherwise noted, and refer to one or more precursors, reactants, catalysts, carrier, purge, cleaning, combinations thereof, as well as any other fluid introduced into the chamber body 112.
  • Considering the liner 133 in greater detail, FIG. 1B shows an enlarged schematic view of one embodiment of the liner 133. In this embodiment, the liner 133 includes an upper portion 133A and a lower portion 133B. An aperture 133C that aligns with the slit valve opening 160 disposed on a side wall of the chamber body 112 is formed within the liner 133 to allow entry and egress of substrates to/from the chamber body 112. Typically, the pumping channel 129 is formed within the upper portion 133A. The upper portion 133A also includes the one or more apertures 135 formed therethrough to provide passageways or flow paths for gases into the pumping channel 129.
  • Referring to FIGS. 1A and 1B, the apertures 135 allow the pumping channel 129 to be in fluid communication with a processing zone 140 within the chamber body 112. The processing zone 140 is defined by a lower surface of the lid assembly 200 and an upper surface of the support assembly 300, and is surrounded by the liner 133. The apertures 135 may be uniformly sized and evenly spaced about the liner 133. However, any number, position, size or shape of apertures may be used, and each of those design parameters can vary depending on the desired flow pattern of gas across the substrate receiving surface as is discussed in more detail below. In addition, the size, number and position of the apertures 135 are configured to achieve uniform flow of gases exiting the processing chamber 100. Further, the aperture size and location may be configured to provide rapid or high capacity pumping to facilitate a rapid exhaust of gas from the chamber 100. For example, the number and size of apertures 135 in close proximity to the vacuum port 131 may be smaller than the size of apertures 135 positioned farther away from the vacuum port 131.
  • Still referring to FIGS. 1A and 1B, the lower portion 133B of the liner 133 includes a flow path or vacuum channel 129A disposed therein. The vacuum channel 129A is in fluid communication with the vacuum system described above. The vacuum channel 129A is also in fluid communication with the pumping channel 129 via a recess or port 129B formed in an outer diameter of the liner 133. Generally, two gas ports 129B (only one shown in this view) are formed in an outer diameter of the liner 133 between the upper portion 133A and the lower portion 133B. The gas ports 129B provide a flow path between the pumping channel 129 and the vacuum channel 129A. The size and location of each port 129B is a matter of design, and are determined by the stoichiometry of a desired film, the geometry of the device being formed, the volume capacity of the processing chamber 100 as well as the capabilities of the vacuum system coupled thereto. Typically, the ports 129B are arranged opposite one another or 180 degrees apart about the outer diameter of the liner 133.
  • In operation, one or more gases exiting the processing chamber 100 flow through the apertures 135 formed through the upper portion 133A of the liner 133 into the pumping channel 129. The gas then flows within the pumping channel 129 and through the ports 129B into the vacuum channel 129A. The gas exits the vacuum channel 129A through the vacuum port 131 into the vacuum pump 125.
  • Considering the lid assembly 200 in more detail, FIG. 2A shows an enlarged cross sectional view of an illustrative lid assembly 200 that can be disposed at an upper end of the chamber body 112 shown in FIG. 1A. Referring to FIGS. 1A and 2A, the lid assembly 200 includes a number of components stacked on top of one another, as shown in FIG. 1A. In one or more embodiments, the lid assembly 200 includes a lid rim 210, gas delivery assembly 220, and a top plate 250. The gas delivery assembly 220 is coupled to an upper surface of the lid rim 210 and is arranged to make minimum thermal contact therewith. The components of the lid assembly 200 are preferably constructed of a material having a high thermal conductivity and low thermal resistance, such as an aluminum alloy with a highly finished surface for example. Preferably, the thermal resistance of the components is less than about 5×10−4 m2 K/W. The lid rim 210 is designed to hold the weight of the components making up the lid assembly 200 and is coupled to an upper surface of the chamber body 112 via a hinge assembly (not shown in this view) to provide access to the internal chamber components, such as the support assembly 300 for example.
  • Referring to FIGS. 2B and 2C, the gas delivery assembly 220 can include a distribution plate or showerhead 225. FIG. 2B shows an enlarged schematic view of one embodiment of an illustrative gas distribution plate 225 and FIG. 2C shows a partial cross sectional view. In one or more embodiments, the distribution plate 225 is substantially disc-shaped and includes a plurality of apertures 225A or passageways to distribute the flow of gases therethrough. The apertures 225A of the distribution plate 225 prevent the gases flowing through the lid assembly 200 from impinging directly on the substrate surface below by slowing and re-directing the velocity profile of the flowing gases. The apertures 225A of the distribution plate 225 also evenly distribute the flow of the gas exiting the lid assembly 200, thereby providing an even distribution of the gas across the surface of the substrate.
  • Referring to FIGS. 2A, 2B and 2C, the distribution plate 225 further includes an annular mounting flange 222 formed at a perimeter thereof, which is sized to rest on the lid rim 210. Accordingly, the distribution plate 225 makes minimal contact with the lid assembly 200. Preferably, an o-ring type seal 224, such as an elastomeric o-ring, is at least partially disposed within the annular mounting flange 222 to ensure a fluid-tight contact with the lid rim 210.
  • The gas delivery assembly 220 can further include a blocker assembly 230 disposed adjacent the distribution plate 225. The blocker assembly 230 provides an even distribution of gas to the backside of the distribution plate 225. Preferably, the blocker assembly 230 is made of an aluminum alloy and is removably coupled to the distribution plate 225 to ensure good thermal contact. For example, the blocker assembly 230 can be coupled to the distribution plate 225 using a bolt 221 or similar fastener. Preferably, the blocker assembly 230 makes no thermal contact with the lid rim 210 as shown in FIGS. 2A.
  • In one or more embodiments, the blocker assembly 230 includes a first blocker plate 233 mounted to a second blocker plate 235. The second blocker plate 235 includes a passage 259 formed therethrough. Preferably, the passage 259 is centrally located through the second blocker plate 235 such that the passage 259 is in fluid communication with a first cavity or volume 261 defined by a lower surface of the top plate 250 and an upper surface of the second blocker plate 235. The passage 259 is also in fluid communication with a second cavity or volume 262 defined by a lower surface of the second blocker plate 235 and an upper surface of the first blocker plate 233. The passage 259 is also in fluid communication with a third cavity or volume 263 defined by a lower surface of the first blocker plate 233 and an upper surface of the distribution plate 225. The passage 259 is coupled to a gas inlet 223. The gas inlet 223 is coupled to the top plate 250 at a first end thereof. Although not shown, the gas inlet 223 is coupled at a second end thereof to one or more upstream gas sources and/or other gas delivery components, such as gas mixers.
  • The first blocker plate 233 includes a plurality of passageways 233A formed therein that are adapted to disperse the gases flowing from the passage 259 to the gas distribution plate 225. Although the passageways 233A are shown as being circular or rounded, the passageways 233A can be square, rectangular, or any other shape. The passageways 233A can be sized and positioned about the blocker plate 233 to provide a controlled and even flow distribution across the surface of the substrate. As described above, the first blocker plate 233 can easily be removed from the second blocker plate 235 and from the distribution plate 225 to facilitate cleaning or replacement of those components.
  • In use, one or more process gases are introduced into the gas delivery assembly 220 via the gas inlet 223. The process gas flows into the first volume 261 and through the passage 259 of the second blocker plate 235 into the second volume 262. The process gas is then distributed through the holes 233A of the first blocker plate 233 into the third volume 263 and further distributed through the holes 225A of the distribution plate 225 until the gas meets the exposed surfaces of the substrate disposed within the chamber body 112.
  • A gas supply panel (not shown) is typically used to provide the one or more gases to the processing chamber 100. The particular gas or gases that are used depend upon the process or processes to be performed within the chamber 100. Illustrative gases can include, but are not limited to one or more precursors, reductants, catalysts, carriers, purge, cleaning, or any mixture or combination thereof. Typically, the one or more gases introduced to the processing chamber 100 flow through the inlet 223 into the lid assembly 200 and then into the chamber body 112 through the gas delivery assembly 220. An electronically operated valve and/or flow control mechanism (not shown) may be used to control the flow of gas from the gas supply into the processing chamber 100. Depending on the process, any number of gases can be delivered to the processing chamber 100, and can be mixed either in the processing chamber 100 or before the gases are delivered to the processing chamber 100, such as within a gas mixture (not shown), for example.
  • Still referring to FIGS. 1A and 2A, the lid assembly 200 can further include an electrode 240 to generate a plasma of reactive species within the lid assembly 200. In one embodiment, the electrode 240 is supported on the top plate 250 and is electrically isolated therefrom. For example, an isolator filler ring 241 can be disposed about a lower portion of the electrode 240 separating the electrode 240 from the top plate 250 as shown in FIG. 2A. An annular isolator 242 can also be disposed about an outer surface of the isolator filler ring 241. An annular insulator 243 can then be disposed about an upper portion of the electrode 240 so that the electrode 240 is electrically isolated from the top plate 250 and all the other components of the lid assembly 200. Each of these rings 241, 242, 243 can be made from aluminum oxide or any other insulative, process compatible material.
  • In one or more embodiments, the electrode 240 is coupled to a power source (not shown) while the gas delivery assembly 220 is connected to ground (i.e. the gas delivery assembly 220 serves as an electrode). Accordingly, a plasma of one or more process gases can be generated in the volumes 261, 262 and/or 263 between the electrode 240 (“first electrode”) and the gas delivery assembly 220 (“second electrode”). For example, the plasma can be struck and contained between the electrode 240 and the blocker assembly 230. Alternatively, the plasma can be struck and contained between the electrode 240 and the distribution plate 225, in the absence of the blocker assembly 230. In either embodiment, the plasma is well confined or contained within the lid assembly 200. Accordingly, the plasma is a “remote plasma” since no active plasma is in direct contact with the substrate disposed within the chamber body 112. As a result, plasma damage to the substrate is avoided because the plasma is sufficiently separated from the substrate surface.
  • Any power source capable of activating the gases into reactive species and maintaining the plasma of reactive species may be used. For example, radio frequency (RF), direct current (DC), or microwave (MW) based power discharge techniques may be used. The activation may also be generated by a thermally based technique, a gas breakdown technique, a high intensity light source (e.g., UV energy), or exposure to an x-ray source. Alternatively, a remote activation source may be used, such as a remote plasma generator, to generate a plasma of reactive species which are then delivered into the chamber 100. Exemplary remote plasma generators are available from vendors such as MKS Instruments, Inc. and Advanced Energy Industries, Inc. Preferably, an RF power supply is coupled to the electrode 240.
  • Referring to FIG. 2A, the gas delivery assembly 220 can be heated depending on the process gases and operations to be performed within the processing chamber 100. In one embodiment, a heating element 270, such as a resistive heater for example, can be coupled to the distribution plate 225. In one embodiment, the heating element 270 is a tubular member and is pressed into an upper surface of the distribution plate 225 as shown in more detail in FIGS. 2B and 2C.
  • Referring to FIGS. 2B and 2C, the upper surface of the distribution plate 225 includes a groove or recessed channel having a width slightly smaller than the outer diameter of the heating element 270, such that the heating element 270 is held within the groove using an interference fit. The heating element 270 regulates the temperature of the gas delivery assembly 220 since the components of the delivery assembly 220, including the distribution plate 225 and the blocker assembly 230, are each conductively coupled to one another. Regulation of the temperature may be facilitated by a thermocouple 272 coupled to the distribution plate 225. The thermocouple 272 may be used in a feedback loop to control electric current applied to the heating element 270 from a power supply, such that the gas delivery assembly 220 temperature can be maintained or controlled at a desired temperature or within a desired temperature range. Control of the gas delivery assembly 220 temperature is facilitated because as described above, the gas delivery assembly 220 makes minimal thermal contact with the other components of the lid assembly 200, and as such, thermal conductivity is limited.
  • In one or more embodiments, the lid assembly 200 can include one or more fluid channel 202 formed therein for flowing a heat transfer medium to provide temperature control of the gas delivery assembly 220. In one embodiment, the fluid channel 202 can be formed within the lid rim 210, as shown in FIG. 2A. Alternatively, the fluid channel 202 can be formed within any component of the lid assembly 200 to provide an uniform heat transfer to the gas delivery assembly 220. The fluid channel 202 can contain either a heating or cooling medium to control temperature of the gas delivery assembly 220, depending on the process requirements within the chamber 100. Any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
  • In one or more embodiments, the gas delivery assembly 220 can be heated using one or more heat lamps (not shown). Typically, the heat lamps are arranged about an upper surface of the distribution plate 225 to heat the distribution plate 225 by radiation.
  • FIG. 3A shows a partial cross sectional view of an illustrative support assembly 300. The support assembly 300 can be at least partially disposed within the chamber body 112. The support assembly 300 can include a support member 310 to support a substrate (not shown in this view) for processing within the chamber body 112. The support member 310 can be coupled to a lift mechanism 330 through a shaft 314 which extends through a centrally-located opening 114 formed in a bottom surface of the chamber body 112. The lift mechanism 330 can be flexibly sealed to the chamber body 112 by a bellows 333 that prevents vacuum leakage from around the shaft 314. The lift mechanism 330 allows the support member 310 to be moved vertically within the chamber body 112 between a process position and a lower, transfer position. The transfer position is slightly below the opening of the slit valve 160 formed in a sidewall of the chamber body 112.
  • FIG. 3B shows an enlarged partial cross sectional of the support assembly 300 shown in FIG. 3A. In one or more embodiments, the support member 310 has a flat, circular surface or a substantially flat, circular surface for supporting a substrate to be processed thereon. The support member 310 is preferably constructed of aluminum. The support member 310 can include a removable top plate 311 made of some other material, such as silicon or ceramic material, for example, to reduce backside contamination of the substrate.
  • In one or more embodiments, the support member 310 or the top plate 311 can include a plurality of extensions or dimples 31 1A arranged on the upper surface thereof. In FIG. 3B, the dimples 311A are shown on the upper surface of the top plate 311. It can be envisioned that the dimples 311A can be arranged on the upper surface of the support member 310 if a top plate 311 is not desired. The dimples 311A provide minimum contact between the lower surface of the substrate and the support surface of the support assembly 300 (i.e. either the support member 310 or the top plate 311).
  • In one or more embodiments, the substrate (not shown) may be secured to the support assembly 300 using a vacuum chuck. The top plate 311 can include a plurality of holes 312 in fluid communication with one or more grooves 316 formed in the support member 310. The grooves 316 are in fluid communication with a vacuum pump (not shown) via a vacuum conduit 313 disposed within the shaft 314 and the support member 310. Under certain conditions, the vacuum conduit 313 can be used to supply a purge gas to the surface of the support member 310 to prevent deposition when a substrate is not disposed on the support member 310. The vacuum conduit 313 can also pass a purge gas during processing to prevent a reactive gas or byproduct from contacting the backside of the substrate.
  • In one or more embodiments, the substrate (not shown) may be secured to the support member 310 using an electrostatic chuck. In one or more embodiments, the substrate can be held in place on the support member 310 by a mechanical clamp (not shown), such as a conventional clamp ring.
  • Preferably, the substrate is secured using an electrostatic chuck. An electrostatic chuck typically includes at least a dielectric material that surrounds an electrode (not shown), which may be located on an upper surface of the support member 310 or formed as an integral part of the support member 310. The dielectric portion of the chuck electrically insulates the chuck electrode from the substrate and from the remainder of the support assembly 300.
  • In one or more embodiments, the perimeter of the chuck dielectric can be is slightly smaller than the perimeter of the substrate. In other words, the substrate slightly overhangs the perimeter of the chuck dielectric so that the chuck dielectric will remain completely covered by the substrate even if the substrate is misaligned off center when positioned on the chuck. Assuring that the substrate completely covers the chuck dielectric ensures that the substrate shields the chuck from exposure to potentially corrosive or damaging substances within the chamber body 112.
  • The voltage for operating the electrostatic chuck can be supplied by a separate “chuck” power supply (not shown). One output terminal of the chucking power supply is connected to the chuck electrode. The other output terminal typically is connected to electrical ground, but alternatively may be connected to a metal body portion of the support assembly 300. In operation, the substrate is placed in contact with the dielectric portion, and a direct current voltage is placed on the electrode to create the electrostatic attractive force or bias to adhere the substrate on the upper surface of the support member 310.
  • Still referring to FIGS. 3A and 3B, the support member 310 can include one or more bores 323 formed therethrough to accommodate a lift pin 325. Each lift pin 325 is typically constructed of ceramic or ceramic-containing materials, and are used for substrate-handling and transport. Each lift pin 325 is slideably mounted within the bore 323. In one aspect, the bore 323 is lined with a ceramic sleeve to help freely slide the lift pin 325. The lift pin 325 is moveable within its respective bore 323 by engaging an annular lift ring 320 disposed within the chamber body 112. The lift ring 320 is movable such that the upper surface of the lift-pin 325 can be located above the substrate support surface of the support member 310 when the lift ring 320 is in an upper position. Conversely, the upper surface of the lift-pins 325 is located below the substrate support surface of the support member 310 when the lift ring 320 is in a lower position. Thus, part of each lift-pin 325 passes through its respective bore 323 in the support member 310 when the lift ring 320 moves from either the lower position to the upper position.
  • When activated, the lift pins 325 push against a lower surface of the substrate, lifting the substrate off the support member 310. Conversely, the lift pins 325 may be de-activated to lower the substrate, thereby resting the substrate on the support member 310. The lift pins 325 can include enlarged upper ends or conical heads to prevent the pins 325 from falling out from the support member 310. Other pin designs can also be utilized and are well known to those skilled in the art.
  • In one embodiment, one or more of the lift pins 325 include a coating or an attachment disposed thereon that is made of a non-skid or highly frictional material to prevent the substrate from sliding when supported thereon. A preferred material is a high temperature, polymeric material that does not scratch or otherwise damage the backside of the substrate which would create contaminants within the processing chamber 100. Preferably, the coating or attachment is KALREZ™ coating available from DuPont.
  • To drive the lift ring 320, an actuator, such as a conventional pneumatic cylinder or a stepper motor (not shown), is generally used. The stepper motor or cylinder drives the lift ring 320 in the up or down positions, which in turn drives the lift-pins 325 that raise or lower the substrate. In a specific embodiment, a substrate (not shown) is supported on the support member 310 by three lift-pins 325 (not shown in this view) dispersed approximately 120 degrees apart and projecting from the lift ring 320.
  • Referring again to FIG. 3A, the support assembly 300 can include an edge ring 305 disposed about the support member 310. The edge ring 305 can be made of a variety of materials such as ceramic, quartz, aluminum and steel, among others. In one or more embodiments, the edge ring 305 is an annular member that is adapted to cover an outer perimeter of the support member 310 and protect the support member 310 from deposition. The edge ring 305 can be positioned on or adjacent the support member 310 to form an annular purge gas channel 334 between the outer diameter of support member 310 and the inner diameter of the edge ring 305. The annular purge gas channel 334 can be in fluid communication with a purge gas conduit 335 formed through the support member 310 and the shaft 314. Preferably, the purge gas conduit 335 is in fluid communication with a purge gas supply (not shown) to provide a purge gas to the purge gas channel 334. Any suitable purge gas such as nitrogen, argon, or helium, may be used alone or in combination. In operation, the purge gas flows through the conduit 335, into the purge gas channel 334, and about an edge of the substrate disposed on the support member 310. Accordingly, the purge gas working in cooperation with the edge ring 305 prevents deposition at the edge and/or backside of the substrate.
  • Referring again to FIGS. 3A and 3B, the temperature of the support assembly 300 is controlled by a fluid circulated through a fluid channel 360 embedded in the body of the support member 310. In one or more embodiments, the fluid channel 360 is in fluid communication with a heat transfer conduit 361 disposed through the shaft 314 of the support assembly 300. Preferably, the fluid channel 360 is positioned about the support member 310 to provide a uniform heat transfer to the substrate receiving surface of the support member 310. The fluid channel 360 and heat transfer conduit 361 can flow heat transfer fluids to either heat or cool the support member 310. Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof. The support assembly 300 can further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the support member 310. For example, a signal from the thermocouple may be used in a feedback loop to control the temperature or flowrate of the fluid circulated through the fluid channel 360.
  • Referring back to FIG. 3A, the support member 310 can be moved vertically within the chamber body 112 so that a distance between support member 310 and the lid assembly 200 can be controlled. A sensor (not shown) can provide information concerning the position of support member 310 within chamber 100. An example of a lifting mechanism for the support member 310 is described in detail in U.S. Pat. No. 5,951,776, issued Sep. 14, 1999 to Selyutin et al., entitled “Self-Aligning Lift Mechanism”, which is hereby incorporated by reference in it entirety.
  • In operation, the support member 310 can be elevated to a close proximity of the lid assembly 200 to control the temperature of the substrate being processed. As such, the substrate can be heated via radiation emitted from the distribution plate 225 that is controlled by the heating element 270. Alternatively, the substrate can be lifted off the support member 310 to close proximity of the heated lid assembly 200 using the lift pins 325 activated by the lift ring 320.
  • After extended periods of use or at designated times for scheduled maintenance, certain components of the processing chamber 100 including those described above can be regularly inspected, replaced, or cleaned. These components are typically parts that are collectively known as the “process kit.” Illustrative components of the process kit can include, but are not limited to the showerhead 225, the top plate 311, the edge ring 305, the liner 133, and the lift pins 325, for example. Any one or more of these components are typically removed from the chamber 100 and cleaned or replaced at regular intervals or according to an as-needed basis.
  • FIG. 4A shows a partial cross sectional view of another illustrative lid assembly 400. The lid assembly 400 includes at least two stacked components configured to form a plasma volume or cavity therebetween. In one or more embodiments, the lid assembly 400 includes a first electrode 410 (“upper electrode”) disposed vertically above a second electrode 450 (“lower electrode”) confining a plasma volume or cavity 425 therebetween. The first electrode 410 is connected to a power source 415, such as an RF power supply, and the second electrode 450 is connected to ground, forming a capacitance between the two electrodes 410, 450.
  • In one or more embodiments, the lid assembly 400 includes one or more gas inlets 412 (only one is shown) that are at least partially formed within an upper section 413 of the first electrode 410. The one or more process gases enter the lid assembly 400 via the one or more gas inlets 412. The one or more gas inlets 412 are in fluid communication with the plasma cavity 425 at a first end thereof and coupled to one or more upstream gas sources and/or other gas delivery components, such as gas mixers, at a second end thereof. The first end of the one or more gas inlets 412 can open into the plasma cavity 425 at the upper most point of the inner diameter 430 of the expanding section 420 as shown in FIG. 4A. Similarly, the first end of the one or more gas inlets 412 can open into the plasma cavity 425 at any height interval along the inner diameter 430 of the expanding section 420. Although not shown, two gas inlets 412 can be disposed at opposite sides of the expanding section 420 to create a swirling flow pattern or “vortex” flow into the expanding section 420 which helps mix the gases within the plasma cavity 425. A more detailed description of such a flow pattern and gas inlet arrangements is provided by U.S. Patent Application No. 20030079686, filed on Dec. 21, 2001, which is incorporated by reference herein.
  • In one or more embodiments, the first electrode 410 has an expanding section 420 that houses the plasma cavity 425. As shown in FIG. 4A, the expanding section 420 is in fluid communication with the gas inlet 412 as described above. In one or more embodiments, the expanding section 420 is an annular member that has an inner surface or diameter 430 that gradually increases from an upper portion 420A thereof to a lower portion 420B thereof. As such, the distance between the first electrode 410 and the second electrode 450 is variable. That varying distance helps control the formation and stability of the plasma generated within the plasma cavity 425.
  • In one or more embodiments, the expanding section 420 resembles a cone or “funnel,” as is shown in FIGS. 4A and 4B. FIG. 4B shows an enlarged schematic, partial cross sectional view of the upper electrode of FIG. 4A. In one or more embodiments, the inner surface 430 of the expanding section 420 gradually slopes from the upper portion 420A to the lower portion 420B of the expanding section 420. The slope or angle of the inner diameter 430 can vary depending on process requirements and/or process limitations. The length or height of the expanding section 420 can also vary depending on specific process requirements and/or limitations. In one or more embodiments, the slope of the inner diameter 430, or the height of the expanding section 420, or both can vary depending on the volume of plasma needed for processing. For example, the slope of the inner diameter 430 can be at least 1:1, or at least 1.5:1 or at least 2:1 or at least 3:1 or at least 4:1 or at least 5:1 or at least 10:1. In one or more embodiments, the slope of the inner diameter 430 can range from a low of 2:1 to a high of 20:1.
  • In one or more embodiments, the expanding section 420 can be curved or arced although not shown in the figures. For example, the inner surface 430 of the expanding section 420 can be curved or arced to be either convexed or concaved. In one or more embodiments, the inner surface 430 of the expanding section 420 can have a plurality of sections that are each sloped, tapered, convexed, or concaved.
  • As mentioned above, the expanding section 420 of the first electrode 410 varies the vertical distance between the first electrode 410 and the second electrode 450 because of the gradually increasing inner surface 430 of the first electrode 410. That variable distance is directly related to the power level within the plasma cavity 425. Not wishing to be bound by theory, the variation in distance between the two electrodes 410, 450 allows the plasma to find the necessary power level to sustain itself within some portion of the plasma cavity 425 if not throughout the entire plasma cavity 425. The plasma within the plasma cavity 425 is therefore less dependent on pressure, allowing the plasma to be generated and sustained within a wider operating window. As such, a more repeatable and reliable plasma can be formed within the lid assembly 400.
  • The first electrode 410 can be constructed from any process compatible materials, such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6061-T6, stainless steel as well as combinations and alloys thereof, for example. In one or more embodiments, the entire first electrode 410 or portions thereof are nickel coated to reduce unwanted particle formation. Preferably, at least the inner surface 430 of the expanding section 420 is nickel plated.
  • The second electrode 450 can include one or more stacked plates. When two or more plates are desired, the plates should be in electrical communication with one another. Each of the plates should include a plurality of apertures or gas passages to allow the one or more gases from the plasma cavity 425 to flow through.
  • Referring to FIG. 4B, the lid assembly 400 can further include an isolator ring 440 to electrically isolate the first electrode 410 from the second electrode 450. The isolator ring 440 can be made from aluminum oxide or any other insulative, process compatible material. Preferably, the isolator ring 440 surrounds or substantially surrounds at least the expanding section 420 as shown in FIG. 4B.
  • Referring again to the specific embodiment shown in FIG. 4A, the second electrode 450 includes a top plate 460, distribution plate 470 and blocker plate 480. The top plate 460, distribution plate 470 and blocker plate 480 are stacked and disposed on a lid rim 490 which is connected to the chamber body 112 as shown in FIG. 4B. As is known in the art, a hinge assembly (not shown) can be used to couple the lid rim 490 to the chamber body 112. The lid rim 490 can include an embedded channel or passage 492 for housing a heat transfer medium. The heat transfer medium can be used for heating, cooling, or both, depending on the process requirements. Illustrative heat transfer mediums are listed above.
  • In one or more embodiments, the top plate 460 includes a plurality of gas passages or apertures 465 formed beneath the plasma cavity 425 to allow gas from the plasma cavity 425 to flow therethrough. In one or more embodiments, the top plate 460 can include a recessed portion 462 that is adapted to house at least a portion of the first electrode 410. In one or more embodiments, the apertures 465 are through the cross section of the top plate 460 beneath the recessed portion 462. The recessed portion 462 of the top plate 460 can be stair stepped as shown in FIG. 4A to provide a better sealed fit therebetween. Furthermore, the outer diameter of the top plate 460 can be designed to mount or rest on an outer diameter of the distribution plate 470 as shown in FIG. 4A. An o-ring type seal, such as an elastomeric o-ring 463, can be at least partially disposed within the recessed portion 462 of the top plate 460 to ensure a fluid-tight contact with the first electrode 410. Likewise, an o-ring type seal 466 can be used to provide a fluid-tight contact between the outer perimeters of the top plate 460 and the distribution plate 470.
  • In one or more embodiments, the distribution plate 470 is identical to the distribution plate 225 shown and described above with reference to FIGS. 2A-2C. Particularly, the distribution plate 470 is substantially disc-shaped and includes a plurality of apertures 475 or passageways to distribute the flow of gases therethrough. The apertures 475 can be sized and positioned about the distribution plate 470 to provide a controlled and even flow distribution to the chamber body 112 where the substrate to be processed is located. Furthermore, the apertures 475 prevent the gas(es) from impinging directly on the substrate surface by slowing and re-directing the velocity profile of the flowing gases, as well as evenly distributing the flow of gas to provide an even distribution of gas across the surface of the substrate.
  • The distribution plate 470 can also include an annular mounting flange 472 formed at an outer perimeter thereof. The mounting flange 472 can be sized to rest on an upper surface of the lid rim 490. An o-ring type seal, such as an elastomeric o-ring, can be at least partially disposed within the annular mounting flange 472 to ensure a fluid-tight contact with the lid rim 490.
  • In one or more embodiments, the distribution plate 470 includes one or more embedded channels or passages 474 for housing a heater or heating fluid to provide temperature control of the lid assembly 400. Similar to the lid assembly 200 described above, a resistive heating element can be inserted within the passage 474 to heat the distribution plate 470. A thermocouple can be connected to the distribution plate 470 to regulate the temperature thereof. The thermocouple can be used in a feedback loop to control electric current applied to the heating element, as described above.
  • Alternatively, a heat transfer medium can be passed through the passage 474. The one or more passages 474 can contain a cooling medium, if needed, to better control temperature of the distribution plate 470 depending on the process requirements within the chamber body 112. As mentioned above, any heat transfer medium may be used, such as nitrogen, water, ethylene glycol, or mixtures thereof, for example.
  • In one or more embodiments, the lid assembly 400 can be heated using one or more heat lamps (not shown). Typically, the heat lamps are arranged about an upper surface of the distribution plate 470 to heat the components of the lid assembly 400 including the distribution plate 470 by radiation.
  • The blocker plate 480 is optional and would be disposed between the top plate 460 and the distribution plate 470. Preferably, the blocker plate 480 is removably mounted to a lower surface of the top plate 460. The blocker plate 480 should make good thermal and electrical contact with the top plate 460. In one or more embodiments, the blocker plate 480 can be coupled to the top plate 460 using a bolt or similar fastener. The blocker plate 480 can also be threaded or screwed onto an out diameter of the top plate 460.
  • The blocker plate 480 includes a plurality of apertures 485 to provide a plurality of gas passages from the top plate 460 to the distribution plate 470. The apertures 485 can be sized and positioned about the blocker plate 480 to provide a controlled and even flow distribution the distribution plate 470.
  • FIG. 4C shows a partial cross sectional view of the chamber body 112 having the lid assembly 400 disposed thereon. Preferably, the expanding section 420 is centered above the support assembly 300 as shown in FIG. 4C. The confinement of the plasma within the plasma cavity 425 and the central location of the confined plasma allows an even and repeatable distribution of the disassociated gas(es) into the chamber body 112. Particularly, the gas leaving the plasma volume 425 flows through the apertures 465 of the top plate 460 to the upper surface of the blocker plate 480. The apertures 485 of the blocker plate 480 distribute the gas to the backside of the distribution plate 470 where the gas is further distributed through the apertures 475 of the distribution plate 470 before contacting the substrate (not shown) within the chamber body 112.
  • It is believed that the confinement of the plasma within the centrally located plasma cavity 425 and the variable distance between the first electrode 410 and the second electrode 450 generate a stable and reliable plasma within the lid assembly 400.
  • For simplicity and ease of description, an exemplary dry etch process for removing silicon oxide using an ammonia (NH3) and nitrogen trifluoride (NF3) gas mixture performed within the processing chamber 100 will now be described. It is believed that the processing chamber 100 is advantageous for any dry etch process that benefits from a plasma treatment in addition to both substrate heating and cooling all within a single processing environment, including an anneal process.
  • Referring to FIG. 1, the dry etch process begins by placing a substrate (not shown), such as a semiconductor substrate for example, into the processing chamber 100. The substrate is typically placed into the chamber body 112 through the slit valve opening 160 and disposed on the upper surface of the support member 310. The substrate is chucked to the upper surface of the support member 310, and an edge purge is passed through the channel 334. Preferably, the substrate is chucked to the upper surface of the support member 310 by pulling a vacuum through the holes 312 and grooves 316 that are in fluid communication with a vacuum pump via conduit 313. The support member 310 is then lifted to a processing position within the chamber body 112, if not already in a processing position. The chamber body 112 is preferably maintained at a temperature of between 50° C. and 80° C., more preferably at about 65° C. This temperature of the chamber body 112 is maintained by passing a heat transfer medium through the fluid channel 113.
  • The substrate is cooled below 65° C., such as between 15° C. and 50° C., by passing a heat transfer medium or coolant through the fluid channel 360 formed within the support assembly 300. In one embodiment, the substrate is maintained below room temperature. In another embodiment, the substrate is maintained at a temperature of between 22° C. and 40° C. Typically, the support member 310 is maintained below about 22° C. to reach the desired substrate temperatures specified above. To cool the support member 310, the coolant is passed through the fluid channel 360. A continuous flow of coolant is preferred to better control the temperature of the support member 310. The coolant is preferably 50 percent by volume ethylene glycol and 50 percent by volume water. Of course, any ratio of water and ethylene glycol can be used so long as the desired temperature of the substrate is maintained.
  • The ammonia and nitrogen trifluoride gases are then introduced into the chamber 100 to form a cleaning gas mixture. The amount of each gas introduced into the chamber is variable and may be adjusted to accommodate, for example, the thickness of the oxide layer to be removed, the geometry of the substrate being cleaned, the volume capacity of the plasma, the volume capacity of the chamber body 112, as well as the capabilities of the vacuum system coupled to the chamber body 112. In one aspect, the gases are added to provide a gas mixture having at least a 1:1 molar ratio of ammonia to nitrogen trifluoride. In another aspect, the molar ratio of the gas mixture is at least about 3 to 1 (ammonia to nitrogen trifluoride). Preferably, the gases are introduced in the chamber 100 at a molar ratio of from 5:1 (ammonia to nitrogen trifluoride) to 30:1. More preferably, the molar ratio of the gas mixture is of from about 5 to 1 (ammonia to nitrogen trifluoride) to about 10 to 1. The molar ratio of the gas mixture may also fall between about 10:1 (ammonia to nitrogen trifluoride) and about 20:1.
  • A purge gas or carrier gas may also be added to the gas mixture. Any suitable purge/carrier gas may be used, such as argon, helium, hydrogen, nitrogen, or mixtures thereof, for example. Typically, the overall gas mixture is from about 0.05% to about 20% by volume of ammonia and nitrogen trifluoride. The remainder being the carrier gas. In one embodiment, the purge or carrier gas is first introduced into the chamber body 112 before the reactive gases to stabilize the pressure within the chamber body 112.
  • The operating pressure within the chamber body 112 can be variable. Typically, the pressure is maintained between about 500 mTorr and about 30 Torr. Preferably, the pressure is maintained between about 1 Torr and about 10 Torr. More preferably, the operating pressure within the chamber body 112 is maintained between about 3 Torr and about 6 Torr.
  • An RF power of from about 5 and about 600 Watts is applied to the electrode 240 to ignite a plasma of the gas mixture within the volumes 261, 262, and 263 contained in the gas delivery assembly 220. Preferably, the RF power is less than 100 Watts. More preferable is that the frequency at which the power is applied is very low, such as less than 100 kHz. Preferably, the frequency ranges from about 50 kHz to about 90 kHz.
  • The plasma energy dissociates the ammonia and nitrogen trifluoride gases into reactive species that combine to form a highly reactive ammonia fluoride (NH4F) compound and/or ammonium hydrogen fluoride (NH4F.HF) in the gas phase. These molecules then flow through the gas delivery assembly 220 via the holes 225A of the distribution plate 225 to react with the substrate surface to be cleaned. In one embodiment, the carrier gas is first introduced into the chamber 100, a plasma of the carrier gas is generated, and then the reactive gases, ammonia and nitrogen trifluoride, are added to the plasma.
  • Not wishing to be bound by theory, it is believed that the etchant gas, NH4F and/or NH4F.HF, reacts with the silicon oxide surface to form ammonium hexafluorosilicate (NH4)2SiF6, NH3, and H2O products. The NH3, and H2O are vapors at processing conditions and removed from the chamber 100 by the vacuum pump 125. In particular, the volatile gases flow through the apertures 135 formed in the liner 133 into the pumping channel 129 before the gases exit the chamber 100 through the vacuum port 131 into the vacuum pump 125. A thin film of (NH4)2SiF6 is left behind on the substrate surface. This reaction mechanism can be summarized as follows:
  • NF3+NH3→NH4F+NH4F.HF+N2
  • 6NH4F+SiO2→(NH4)2SiF6+H2O
  • (NH4)2SiF6+heat→NH3+HF+SiF4
  • After the thin film is formed on the substrate surface, the support member 310 having the substrate supported thereon is elevated to an anneal position in close proximity to the heated distribution plate 225. The heat radiated from the distribution plate 225 should be sufficient to dissociate or sublimate the thin film of (NH4)2SiF6 into volatile SiF4, NH3, and HF products. These volatile products are then removed from the chamber 100 by the vacuum pump 125 as described above. Typically, a temperature of 75° C. or more is used to effectively sublimate and remove the thin film from the substrate. Preferably, a temperature of 100° C. or more is used, such as between about 115° C. and about 200° C.
  • The thermal energy to dissociate the thin film of (NH4)2SiF6 into its volatile components is convected or radiated by the distribution plate 225. As described above, a heating element 270 is directly coupled to the distribution plate 225, and is activated to heat the distribution plate 225 and the components in thermal contact therewith to a temperature between about 75° C. and 250° C. In one aspect, the distribution plate 225 is heated to a temperature of between 100° C. and 150° C., such as about 120° C.
  • This elevation change can be effectuated various ways. For example, the lift mechanism 330 can elevate the support member 310 toward a lower surface of the distribution plate 225. During this lifting step, the substrate is secured to the support member 310, such as by the vacuum chuck or electrostatic chuck described above. Alternatively, the substrate can be lifted off the support member 310 and placed in close proximity to the heated distribution plate 225 by elevating the lift pins 325 via the lift ring 320.
  • The distance between the upper surface of the substrate having the thin film thereon and the distribution plate 225 is not critical and is a matter of routine experimentation. A person of ordinary skill in the art can easily determine the spacing required to efficiently and effectively vaporize the thin film without damaging the underlying substrate. It is believed, however, that a spacing of between about 0.254 mm (10 mils) and 5.08 mm (200 mils) is effective.
  • Once the film has been removed from the substrate, the chamber is purged and evacuated. The cleaned substrate is then removed from the chamber body 112 by lowering the substrate to the transfer position, de-chucking the substrate, and transferring the substrate through the slit valve opening 160.
  • A system controller (not shown) can be used to regulate the operations of the processing chamber 100. The system controller can operate under the control of a computer program stored on a hard disk drive of a computer. For exemplary, the computer program can dictate the process sequencing and timing, mixture of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and other parameters of a particular process. The interface between a user and the system controller can be made via a CRT monitor and light pen (not shown). In a preferred embodiment, two monitors are used, one monitor mounted in the clean room wall for the operators and the other monitor behind the wall for the service technicians. Also preferred is that both monitors simultaneously display the same information but only one light pen is enabled. The light pen detects light emitted by the CRT display with a light sensor in the tip of the pen. To select a particular screen or function, the operator can touch a designated area of the display screen and push the button on the pen. The display screen generally confirms communication between the light pen and the touched area by changing its appearance, i.e. highlight or color, or displaying a new menu or screen.
  • A variety of processes can be implemented using a computer program product that runs on, for example, the system controller. The computer program code can be written in any conventional computer readable programming language such as for example 68000 assembly language, C, C++, or Pascal. Suitable program code can be entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled library routines. To execute the linked compiled object code, the system user invokes the object code, causing the computer system to load the code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program.
  • FIGS. 5A-5H are sectional schematic views of an exemplary fabrication sequence for forming an exemplary active electronic device, such as a MOSFET structure 500, utilizing the dry etch process and the processing chamber 100 described herein. Referring to FIGS. 5A-5H, the exemplary MOSFET structure may be formed on a semiconductor material, for example a silicon or gallium arsenide substrate 525. Preferably, the substrate 525 is a silicon wafer having a <100> crystallographic orientation and a diameter of 150 mm (6 inches), 200 mm (8 inches), or 300 mm (12 inches). Typically, the MOSFET structure includes a combination of (i) dielectric layers, such as silicon dioxide, organosilicate, carbon doped silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), silicon nitride, or combinations thereof; (ii) semiconducting layers such as doped polysilicon, and n-type or p-type doped monocrystalline silicon; and (iii) electrical contacts and interconnect lines formed from layers of metal or metal silicide, such as tungsten, tungsten silicide, titanium, titanium silicide, cobalt silicide, nickel silicide, or combinations thereof.
  • Referring to FIG. 5A, fabrication of the active electronic device begins by forming electrical isolation structures that electrically isolate the active electronic device from other devices. There are several types of electrical isolation structures as generally described in VLSI Technology, Second Edition, Chapter 11, by S. M. Sze, McGraw-Hill Publishing Company (1988), which is incorporated herein by reference. In one version, a field oxide layer (not shown) having a thickness of about 2,000 angstroms is first grown over the entire substrate 525, and portions of the oxide layer are removed to form the field oxide barriers 545A, B which surround exposed regions in which the electrically active elements of the device are formed. The exposed regions are thermally oxidized to form a thin gate oxide layer 550 having a thickness of from about 50 to 300 angstroms. A polysilicon layer is then deposited, patterned, and etched to create a gate electrode 555. The surface of the polysilicon gate electrode 555 can be reoxidized to form an insulating dielectric layer 560, providing the structure shown in FIG. 5A.
  • Referring to FIG. 5B, the source and drain 570A, B are next formed by doping the appropriate regions with suitable dopant atoms. For example, on p-type substrates 525, an n-type dopant species comprising arsenic or phosphorous is used. Typically the doping is performed by an ion implanter and might include, for example, phosphorous (31P) at a concentration of about 1013 atoms/cm2 at an energy level of from about 30 to 80 Kev, or Arsenic (75As) at a dose of from about 1015 to 1017 atoms/cm2 and an energy of from 10 to 100 Kev. After the implantation process, the dopant is driven into the substrate 525 by heating the substrate, for example, in a rapid thermal processing (RTP) apparatus. Thereafter, the oxide layer 550 covering the source and drain regions 570A, B is stripped in a conventional stripping process to remove any impurities caused by the implantation process which are trapped in the oxide layer, providing the structure shown in FIG. 8B.
  • Referring to FIGS. 5C and 5D, a silicon nitride layer 575 is deposited on the gate electrode 555 and the surfaces on the substrate 525 by low-pressure chemical vapor deposition (LPCVD) using a gas mixture of SiH2, Cl2, and NH3. The silicon nitride layer 575 is then etched using reactive ion etching (RIE) techniques to form nitride spacers 580 on the sidewall of the gate electrode 555, as shown in FIG. 5D. The spacers 580 electrically isolate the silicide layer formed on the top surface of the gate 555 from other silicide layers deposited over the source 570A and drain 570B. It should be noted that the electrical isolation sidewall spacers 580 and overlayers can be fabricated from other materials, such as silicon oxide. The silicon oxide layers used to form sidewall spacers 580 are typically deposited by CVD or PECVD from a feed gas of tetraethoxysilane (TEOS) at a temperature in the range of from about 600° C. to about 1,000° C.
  • Referring to FIG. 5E, a native silicon oxide layer 585 is formed on exposed silicon surfaces by exposure to the atmosphere before and after the processes. The native silicon oxide layer 585 must be removed prior to forming conductive metal suicide contacts on the gate 555, source 570A, and drain 570B to improve the alloying reaction and electrical conductivity of the metal suicide formed. The native silicon oxide layer 585 can increase the electrical resistance of the semiconducting material, and adversely affect the silicidation reaction of the silicon and metal layers that are subsequently deposited. Therefore, it is necessary to remove this native silicon dioxide layer 585 using the dry etch process described prior to forming metal silicide contacts or conductors for interconnecting active electronic devices. The dry etch process removes the native silicon oxide layers 585 to expose the source 570A, drain 570B, and the top surface of the gate electrode 555 as shown in FIG. 5F.
  • Thereafter, as illustrated in FIG. 5G, a PVD sputtering process is used to deposit a layer of metal 590. Conventional furnace annealing is then used to anneal the metal and silicon layers to form metal silicide in regions in which the metal layer 590 is in contact with silicon. The anneal is typically performed in a separate processing system. Accordingly, a protective cap layer (not shown) may be deposited over the metal 590. The cap layers are typically nitride materials and may include one or more materials selected from the group consiting of titanium nitride, tungsten nitride, tantalum nitride, nafnium nitride, and silicon nitride. The cap layer may be deposited by any deposition process, preferably by PVD.
  • Annealing typically involves heating the substrate 500 to a temperature of between 600° C. and 800° C. in an atmosphere of nitrogen for about 30 minutes. Alternatively, the metal silicide 595 can be formed utilizing a rapid thermal annealing process in which the substrate 500 is rapidly heated to about 1000° C. for about 30 seconds. Suitable conductive metals include cobalt, titanium, nickel, tungsten, platinum, and any other metal that has a low contact resistance and that can form a reliable metal silicide contact on both polysilicon and monocrystalline silicon.
  • Unreacted portions of the metal layer 590 can be removed by a wet etch using aqua regia, (HCl and HNO3) which removes the metal without attacking the metal silicide 595; the spacer 580, or the field oxide 545A, B, thus leaving a self-aligned metal silicide contact 595 on the gate 555, source 570A, and drain 570B, as shown in FIG. 5H. Thereafter, an insulating cover layer comprising, for example, silicon oxide, BPSG, or PSG, can be deposited on the electrode structures. The insulating cover layer is deposited by means of chemical-vapor deposition in a CVD chamber, in which the material condenses from a feed gas at low or atmospheric pressure, as for example, described in commonly assigned U.S. Pat. No. 5,500,249, issued Mar. 19, 1996, which is incorporated herein by reference. Thereafter, the structure 500 is annealed at glass transition temperatures to form a smooth planarized surface.
  • In one or more embodiments, the processing chamber 100 can be integrated into a multi-processing platform, such as an Endura™ platform available from Applied Materials, Inc. located in Santa Clara, Calif. Such a processing platform is capable of performing several processing operations without breaking vacuum. Details of the Endura™ platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30,1999, which is incorporated by reference herein.
  • FIG. 6 is a schematic top-view diagram of an illustrative multi-chamber processing system 600. The system 600 can include one or more load lock chambers 602, 604 for transfering of substrates into and out of the system 600. Typically, since the system 600 is under vacuum, the load lock chambers 602, 604 may “pump down” the substrates introduced into the system 600. A first robot 610 may transfer the substrates between the load lock chambers 602, 604, and a first set of one or more substrate processing chambers 612, 614, 616, 618 (four are shown). Each processing chamber 612, 614, 616, 618, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
  • The first robot 610 can also transfer substrates to/from one or more transfer chambers 622, 624. The transfer chambers 622, 624 can be used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600. A second robot 630 can transfer the substrates between the transfer chambers 622, 624 and a second set of one or more processing chambers 632, 634, 636, 638. Similar to processing chambers 612, 614, 616, 618, the processing chambers 632, 634, 636, 638 can be outfitted to perform a variety of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example. Any of the substrate processing chambers 612, 614, 616, 618, 632, 634, 636, 638 may be removed from the system 600 if not necessary for a particular process to be performed by the system 600.
  • An illustrative multi-processing system 600 for forming the MOSFET structure of FIGS. 5A-5H can include two ptocessing chambers 100 as described above, two physical vapor deposition chambers to deposit the metal 500 and two physical vapor deposition chambers to deposit the optional cap layer (not shown). Any one of the processing chambers 612, 614, 616, 618, 632, 634, 636, 638 shown in FIG. 6 represent the PVD chambers and/or processing chambers 100.
  • Although the process sequence above has been described in relation to the formation of a MOSFET device, the dry etch process described herein can also be used to form other semiconductor structures and devices that have other metal silicide layers, for example, suicides of tungsten, tantalum, molybdenum. The cleaning process can also be used prior to the deposition of layers of different metals including, for example, aluminum, copper, cobalt, nickel, silicon, titanium, palladium, hafnium, boron, tungsten, tantalum, or mixtures thereof.
  • To provide a better understanding of the foregoing discussion, the following non-limiting example is offered. Although the example may be directed to specific embodiments, the example should not be interpreted as limiting the invention in any specific respect.
  • EXAMPLE
  • During etch, a gas mixture of 2 sccm of NF3, 10 sccm of NH3 and 2,500 sccm of argon was introduced into the chamber. A plasma of the gas mixture was ignited using 100 Watts of power. The bottom purge was 1,500 sccm of argon and the edge purge was 50 sccm of argon. The chamber pressure was maintained at about 6 Torr, and the substrate temperature was about 22° C. The substrate was etched for 120 seconds.
  • During subsequent annealing, the spacing was 750 mil and the lid temperature was 120° C. The substrate was annealed for about 60 seconds. About 50 angstroms of material was removed from the substrate surface. No anneal effect was observed. The etch rate was about 0.46 angstroms per second (28 Å/min). The observed etch uniformity was about 5% for the 50 Å etch.
  • Unless otherwise indicated, all numbers expressing quantities of ingredients, properties, reaction conditions, and so forth, used in the specification and claims are to be understood as approximations. These approximations are based on the desired properties sought to be obtained by the present invention, and the error of measurement, and should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Further, any of the quantities expressed herein, including temperature, pressure, spacing, molar ratios, flow rates, and so on, can be further optimized to achieve the desired etch selectivity and particle performance.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A processing chamber for removing native oxides from a substrate surface, comprising:
a chamber body;
a support assembly at least partially disposed within the chamber body and adapted to support a substrate thereon, wherein the support assembly includes one or more fluid channels at least partially formed therein and capable of cooling the substrate; and
a lid assembly disposed on an upper surface of the chamber body, the lid assembly comprising a first electrode and a second electrode that define a plasma cavity therebetween, wherein the second electrode is heated and adapted to convectively heat the substrate.
2. The chamber of claim 1, wherein the support assembly is adapted to move vertically within the chamber body to locate the substrate in a heating position proximate the second electrode and to locate the substrate in an etch position removed from the second electrode.
3. The chamber of claim 1, wherein the support assembly comprises a receiving surface adapted to support the substrate thereon, wherein the receiving surface is disposed above a shaft coupled to a lift mechanism.
4. The chamber of claim 3, wherein the lift mechanism is adapted to move the receiving surface vertically within the chamber body to locate the substrate in a heating position proximate the second electrode and to locate the substrate in an etch position removed from the second electrode.
5. The chamber of claim 3, wherein the support assembly comprises one or more gas passageways that are in fluid communication with the receiving surface at one end thereof, and a purge gas source or vacuum source at a second end thereof.
6. The chamber of claim 5, wherein the receiving surface comprises one or more recessed channels formed on an upper surface thereof.
7. The chamber of claim 6, wherein the one or more recessed channels are substantially concentric.
8. The chamber of claim 5, wherein the shaft comprises one or more embedded gas conduits adapted to deliver one or more fluids to the gas passageways.
9. The chamber of claim 1, wherein the one or more fluid channels are disposed within the support assembly below the receiving surface.
10. The chamber of claim 3, wherein the one or more fluid channels are disposed within the support assembly below the receiving surface and the shaft comprises one or more embedded conduits that are in fluid communication with the fluid channels.
11. The chamber of claim 10, wherein the one or more embedded conduits are adapted to deliver a heating medium to the one or more fluid channels.
12. The chamber of claim 10, wherein the one or more embedded conduits are adapted to deliver a coolant to the one or more fluid channels.
13. The chamber of claim 1, wherein the second electrode comprises a blocker assembly coupled to a showerhead.
14. The chamber of claim 1, wherein the second electrode comprises a heating element coupled to a power source for controlling the temperature of the second electrode.
15. The chamber of claim 13, wherein the blocker assembly and the showerhead each comprise a plurality of apertures formed therethrough that cooperate to evenly distribute a gas into the chamber body.
16. The chamber of claim 1, wherein the plasma cavity is adapted to contain a plasma of reactive gases within the lid assembly.
17. The chamber of claim 1, wherein the first electrode is coupled to a radio frequency source, microwave source, or a source of direct current, and the second electrode is grounded.
18. A method for etching native oxides from a substrate surface, comprising:
loading a substrate to be processed within a processing chamber, the chamber comprising:
a chamber body;
a support assembly at least partially disposed within the chamber body and adapted to support a substrate thereon, wherein the support assembly includes one or more fluid channels at least partially formed therein that are capable of cooling the substrate; and
a lid assembly disposed on an upper surface of the chamber body, the lid assembly comprising a first electrode and a second electrode which define a plasma cavity therebetween, wherein the second electrode is adapted to connectively heat the substrate;
generating a plasma of reactive gas within the plasma cavity;
cooling the substrate by flowing a heat transfer medium through the one or more fluid channels of the support assembly;
flowing the reactive gas through the second electrode to the substrate surface;
etching the substrate surface with the reactive gas;
heating the second electrode by applying power to a heating element in contact therewith; and
heating the substrate using the heated second electrode by placing the support assembly in close proximity to the heated second electrode.
19. The method of claim 18, wherein cooling the substrate comprises maintaining a substrate temperature below room temperature.
20. The method of claim 18, wherein heating the substrate comprises maintaining the substrate temperature above 75° C.
US11/063,645 2004-02-26 2005-02-22 In-situ dry clean chamber for front end of line fabrication Abandoned US20050230350A1 (en)

Priority Applications (17)

Application Number Priority Date Filing Date Title
US11/063,645 US20050230350A1 (en) 2004-02-26 2005-02-22 In-situ dry clean chamber for front end of line fabrication
US11/137,199 US7520957B2 (en) 2004-02-26 2005-05-24 Lid assembly for front end of line fabrication
US11/137,609 US7396480B2 (en) 2004-02-26 2005-05-24 Method for front end of line fabrication
US11/137,090 US20050221552A1 (en) 2004-02-26 2005-05-24 Substrate support for in-situ dry clean chamber for front end of line fabrication
US11/266,167 US20060051966A1 (en) 2004-02-26 2005-11-03 In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US11/622,437 US20070123051A1 (en) 2004-02-26 2007-01-11 Oxide etch with nh4-nf3 chemistry
US11/962,791 US7780793B2 (en) 2004-02-26 2007-12-21 Passivation layer formation by plasma clean process to reduce native oxide growth
US12/134,715 US7767024B2 (en) 2004-02-26 2008-06-06 Method for front end of line fabrication
US12/257,104 US8343307B2 (en) 2004-02-26 2008-10-23 Showerhead assembly
US12/257,093 US20090095621A1 (en) 2004-02-26 2008-10-23 Support assembly
US12/328,466 US20090111280A1 (en) 2004-02-26 2008-12-04 Method for removing oxides
US13/112,875 US20110223755A1 (en) 2004-02-26 2011-05-20 Method for removing oxides
US13/457,421 US10593539B2 (en) 2004-02-26 2012-04-26 Support assembly
US13/489,137 US8846163B2 (en) 2004-02-26 2012-06-05 Method for removing oxides
US14/057,477 US20140076234A1 (en) 2004-02-26 2013-10-18 Multi chamber processing system
US16/567,818 US20200006054A1 (en) 2004-02-26 2019-09-11 Support assembly
US17/225,311 US20210225640A1 (en) 2004-02-26 2021-04-08 Support assembly

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US54783904P 2004-02-26 2004-02-26
US11/063,645 US20050230350A1 (en) 2004-02-26 2005-02-22 In-situ dry clean chamber for front end of line fabrication

Related Child Applications (7)

Application Number Title Priority Date Filing Date
US11/137,199 Division US7520957B2 (en) 2004-02-26 2005-05-24 Lid assembly for front end of line fabrication
US11/137,090 Division US20050221552A1 (en) 2004-02-26 2005-05-24 Substrate support for in-situ dry clean chamber for front end of line fabrication
US11/137,609 Division US7396480B2 (en) 2004-02-26 2005-05-24 Method for front end of line fabrication
US11/266,167 Continuation-In-Part US20060051966A1 (en) 2004-02-26 2005-11-03 In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US12/257,093 Continuation US20090095621A1 (en) 2004-02-26 2008-10-23 Support assembly
US12/257,104 Continuation US8343307B2 (en) 2004-02-26 2008-10-23 Showerhead assembly
US12/328,466 Continuation US20090111280A1 (en) 2004-02-26 2008-12-04 Method for removing oxides

Publications (1)

Publication Number Publication Date
US20050230350A1 true US20050230350A1 (en) 2005-10-20

Family

ID=34749068

Family Applications (14)

Application Number Title Priority Date Filing Date
US11/063,645 Abandoned US20050230350A1 (en) 2004-02-26 2005-02-22 In-situ dry clean chamber for front end of line fabrication
US11/137,609 Active 2026-04-18 US7396480B2 (en) 2004-02-26 2005-05-24 Method for front end of line fabrication
US11/137,090 Abandoned US20050221552A1 (en) 2004-02-26 2005-05-24 Substrate support for in-situ dry clean chamber for front end of line fabrication
US11/137,199 Active 2026-02-23 US7520957B2 (en) 2004-02-26 2005-05-24 Lid assembly for front end of line fabrication
US12/134,715 Active US7767024B2 (en) 2004-02-26 2008-06-06 Method for front end of line fabrication
US12/257,093 Abandoned US20090095621A1 (en) 2004-02-26 2008-10-23 Support assembly
US12/257,104 Active 2026-10-22 US8343307B2 (en) 2004-02-26 2008-10-23 Showerhead assembly
US12/328,466 Abandoned US20090111280A1 (en) 2004-02-26 2008-12-04 Method for removing oxides
US13/112,875 Abandoned US20110223755A1 (en) 2004-02-26 2011-05-20 Method for removing oxides
US13/457,421 Active US10593539B2 (en) 2004-02-26 2012-04-26 Support assembly
US13/489,137 Active US8846163B2 (en) 2004-02-26 2012-06-05 Method for removing oxides
US14/057,477 Abandoned US20140076234A1 (en) 2004-02-26 2013-10-18 Multi chamber processing system
US16/567,818 Abandoned US20200006054A1 (en) 2004-02-26 2019-09-11 Support assembly
US17/225,311 Pending US20210225640A1 (en) 2004-02-26 2021-04-08 Support assembly

Family Applications After (13)

Application Number Title Priority Date Filing Date
US11/137,609 Active 2026-04-18 US7396480B2 (en) 2004-02-26 2005-05-24 Method for front end of line fabrication
US11/137,090 Abandoned US20050221552A1 (en) 2004-02-26 2005-05-24 Substrate support for in-situ dry clean chamber for front end of line fabrication
US11/137,199 Active 2026-02-23 US7520957B2 (en) 2004-02-26 2005-05-24 Lid assembly for front end of line fabrication
US12/134,715 Active US7767024B2 (en) 2004-02-26 2008-06-06 Method for front end of line fabrication
US12/257,093 Abandoned US20090095621A1 (en) 2004-02-26 2008-10-23 Support assembly
US12/257,104 Active 2026-10-22 US8343307B2 (en) 2004-02-26 2008-10-23 Showerhead assembly
US12/328,466 Abandoned US20090111280A1 (en) 2004-02-26 2008-12-04 Method for removing oxides
US13/112,875 Abandoned US20110223755A1 (en) 2004-02-26 2011-05-20 Method for removing oxides
US13/457,421 Active US10593539B2 (en) 2004-02-26 2012-04-26 Support assembly
US13/489,137 Active US8846163B2 (en) 2004-02-26 2012-06-05 Method for removing oxides
US14/057,477 Abandoned US20140076234A1 (en) 2004-02-26 2013-10-18 Multi chamber processing system
US16/567,818 Abandoned US20200006054A1 (en) 2004-02-26 2019-09-11 Support assembly
US17/225,311 Pending US20210225640A1 (en) 2004-02-26 2021-04-08 Support assembly

Country Status (6)

Country Link
US (14) US20050230350A1 (en)
EP (2) EP2787099A3 (en)
JP (3) JP4960598B2 (en)
KR (6) KR101148431B1 (en)
CN (4) CN101241844B (en)
TW (5) TWI402371B (en)

Cited By (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050269031A1 (en) * 2002-04-19 2005-12-08 Nordson Corporation Plasma treatment system
US20070077755A1 (en) * 2005-10-04 2007-04-05 Hong Ji H Method of forming metal wiring in a semiconductor device
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20070181057A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20070269976A1 (en) * 2006-05-18 2007-11-22 Takuya Futase Method of manufacturing semiconductor device
US20080179007A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
US20080179291A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side photoresist removal
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080230846A1 (en) * 2007-03-23 2008-09-25 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20080245767A1 (en) * 2006-06-30 2008-10-09 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090133837A1 (en) * 2004-02-25 2009-05-28 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20090191714A1 (en) * 2008-01-24 2009-07-30 Kuo-Chih Lai Method of removing oxides
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US20090298288A1 (en) * 2008-05-30 2009-12-03 Canon Anelva Corporation Silicide forming method and system thereof
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US20100129958A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20100136800A1 (en) * 2007-10-10 2010-06-03 International Business Machines Corporation On-chip cooling systems for integrated circuits
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7867789B2 (en) 2005-07-18 2011-01-11 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20110030657A1 (en) * 2009-07-10 2011-02-10 Tula Technology, Inc. Skip fire engine control
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110151674A1 (en) * 2009-12-23 2011-06-23 Applied Materials, Inc. Smooth siconi etch for silicon-containing films
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US20110230052A1 (en) * 2010-03-17 2011-09-22 Applied Materials, Inc. Invertable pattern loading with dry etch
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
WO2012116259A2 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry chemical cleaning for gate stack preparation
US20120276740A1 (en) * 2011-04-28 2012-11-01 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8453656B2 (en) 2010-06-25 2013-06-04 Anastasios J. Tousimis Integrated processing and critical point drying systems for semiconductor and MEMS devices
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
TWI405251B (en) * 2006-04-10 2013-08-11 Renesas Electronics Corp Semiconductor device manufacturing method
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US20140053984A1 (en) * 2012-08-27 2014-02-27 Hyun Ho Doh Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US20140083362A1 (en) * 2006-05-30 2014-03-27 Applied Materials, Inc. Process chamber for dielectric gapfill
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9028648B1 (en) * 2014-03-26 2015-05-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20150315706A1 (en) * 2014-05-05 2015-11-05 Lam Research Corporation Low volume showerhead with porous baffle
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US20160312360A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934979B2 (en) * 2011-05-31 2018-04-03 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN108172513A (en) * 2016-11-29 2018-06-15 台湾积体电路制造股份有限公司 It is etched using with the room of top plate formed by not oxygen-containing material
US20180174869A1 (en) * 2016-12-21 2018-06-21 Samsung Electronics Co., Ltd. Temperature controller and a plasma-processing apparatus including the same
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10141207B2 (en) 2016-09-28 2018-11-27 Hitachi High-Technologies Corporation Operation method of plasma processing apparatus
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10366865B2 (en) 2011-05-31 2019-07-30 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2019245909A1 (en) * 2018-06-19 2019-12-26 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
WO2021087002A1 (en) * 2019-11-01 2021-05-06 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11302517B2 (en) 2017-07-11 2022-04-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11380556B2 (en) 2018-05-25 2022-07-05 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20220325400A1 (en) * 2021-04-07 2022-10-13 Applied Materials, Inc. Overlap susceptor and preheat ring
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11637022B2 (en) 2018-07-09 2023-04-25 Lam Research Corporation Electron excitation atomic layer etch
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11932939B2 (en) 2021-04-28 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6911092B2 (en) * 2002-01-17 2005-06-28 Sundew Technologies, Llc ALD apparatus and method
US20070051388A1 (en) 2005-09-06 2007-03-08 Applied Materials, Inc. Apparatus and methods for using high frequency chokes in a substrate deposition apparatus
JP4806241B2 (en) * 2005-09-14 2011-11-02 東京エレクトロン株式会社 Substrate processing apparatus and substrate lift apparatus
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
JP5046506B2 (en) * 2005-10-19 2012-10-10 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, program, and recording medium recording program
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
JP4601070B2 (en) * 2006-01-17 2010-12-22 東京エレクトロン株式会社 Heat treatment equipment
US20170046458A1 (en) 2006-02-14 2017-02-16 Power Analytics Corporation Systems and methods for real-time dc microgrid power analytics for mission-critical power systems
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
JP2008027796A (en) * 2006-07-24 2008-02-07 Canon Inc Plasma treatment device
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
JP5260861B2 (en) * 2006-11-29 2013-08-14 東京エレクトロン株式会社 Capacitor electrode manufacturing method, manufacturing system, and recording medium
JP4949091B2 (en) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and recording medium
WO2008125921A1 (en) * 2007-04-12 2008-10-23 Freescale Semiconductor, Inc. Etch method in the manufacture of a semiconductor device
US7732353B2 (en) * 2007-04-18 2010-06-08 Ultratech, Inc. Methods of forming a denuded zone in a semiconductor wafer using rapid laser annealing
KR100898440B1 (en) * 2007-06-27 2009-05-21 주식회사 동부하이텍 Method for fabricating flash memory device
KR101046520B1 (en) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Source gas flow path control in pecvd system to control a by-product film deposition on inside chamber
CN101399197B (en) * 2007-09-30 2011-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 Chamber lining
US7967994B2 (en) * 2007-10-25 2011-06-28 Ovonyx, Inc. Method and apparatus for chalcogenide device formation
WO2009082763A2 (en) * 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
KR100952671B1 (en) * 2007-12-27 2010-04-13 세메스 주식회사 Chucking member, substrate treating apparatus having the same and method of treating substrate using the same
KR101431197B1 (en) * 2008-01-24 2014-09-17 삼성전자주식회사 Equipment for depositing atomic layer
US20090236682A1 (en) * 2008-03-20 2009-09-24 Hocine Boubekeur Layer stack including a tungsten layer
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
CN101740338B (en) * 2008-11-24 2012-07-18 中芯国际集成电路制造(北京)有限公司 Method for removing film
KR101566922B1 (en) * 2009-02-16 2015-11-09 삼성전자주식회사 Method for forming metal silicide layer of semiconductor device combining just dry etching and chemical dry etching
DE112010000968T5 (en) 2009-03-05 2012-08-02 Applied Materials, Inc. Method for depositing layers with reduced interfacial contamination
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110082597A1 (en) 2009-10-01 2011-04-07 Edsa Micro Corporation Microgrid model based automated real time simulation for market based electric power system optimization
US8525139B2 (en) * 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
CN102054687B (en) * 2009-11-10 2012-05-23 中芯国际集成电路制造(上海)有限公司 Removal method of surface oxide
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
TWI558841B (en) * 2009-12-22 2016-11-21 應用材料股份有限公司 Slit valve tunnel support
KR101126389B1 (en) * 2009-12-29 2012-03-28 주식회사 케이씨텍 Susceptor unit for atomic layer deposition apparatus
KR101155291B1 (en) * 2010-02-22 2012-06-12 주식회사 테스 Apparatus for dry etching and substrate processing system having the same
JP5889806B2 (en) * 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Atomic layer deposition chamber with dual injection
KR20110114030A (en) 2010-04-12 2011-10-19 삼성전자주식회사 Method for manufacturing flash memory device
JP5909484B2 (en) 2010-04-28 2016-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Design of process chamber lids incorporating a plasma source for short-lived species
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
TW201202463A (en) * 2010-06-09 2012-01-16 Intevac Inc Full-enclosure, controlled-flow mini-environment for thin film chambers
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
JP5647845B2 (en) * 2010-09-29 2015-01-07 株式会社Screenホールディングス Substrate drying apparatus and substrate drying method
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN203205393U (en) 2011-03-01 2013-09-18 应用材料公司 Hoop assembly for transferring substrate and limiting free radical
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6104823B2 (en) * 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Thin heating substrate support
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR20120108324A (en) * 2011-03-23 2012-10-05 한국기초과학지원연구원 Method and apparatus for manufacturing light emit device using hyperthermal neutral beam
KR101295794B1 (en) * 2011-05-31 2013-08-09 세메스 주식회사 Apparatus for treating substrate
KR101870667B1 (en) * 2011-08-17 2018-06-26 세메스 주식회사 Substrate Processing Apparatus and Substrate Processing Methode
US20130052809A1 (en) * 2011-08-25 2013-02-28 United Microelectronics Corporation Pre-clean method for epitaxial deposition and applications thereof
TW201325326A (en) * 2011-10-05 2013-06-16 Applied Materials Inc Plasma processing apparatus and substrate support assembly thereof
DE112011105754B4 (en) * 2011-10-24 2014-12-31 Toyota Jidosha Kabushiki Kaisha Semiconductor module
JP5977986B2 (en) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ Heat treatment equipment
WO2013074369A1 (en) * 2011-11-15 2013-05-23 Applied Materials, Inc. Method and apparatus for selective nitridation process
KR101356664B1 (en) * 2012-02-03 2014-02-05 주식회사 유진테크 Apparatus for processing apparatus having side pumping type
KR20220025123A (en) 2012-02-14 2022-03-03 엔테그리스, 아이엔씨. Carbon dopant gas and co-flow for implant beam and source life performance
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN104137248B (en) 2012-02-29 2017-03-22 应用材料公司 Abatement and strip process chamber in a load lock configuration
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN103377868A (en) * 2012-04-14 2013-10-30 靖江先锋半导体科技有限公司 Lower electrode apparatus in etching electrode machine
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN102814305B (en) * 2012-08-03 2015-04-08 京东方科技集团股份有限公司 Device and method used for cleaning chamber before etching process
TWI467625B (en) * 2012-08-30 2015-01-01 Univ Chang Gung The plasma processing device
US20140083360A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Process chamber having more uniform gas flow
US9177780B2 (en) * 2012-10-02 2015-11-03 Applied Materials, Inc. Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
TWI591712B (en) * 2012-10-03 2017-07-11 應用材料股份有限公司 Directional sio2 etch using low-temperature etchant deposition and plasma post-treatment
US8980761B2 (en) * 2012-10-03 2015-03-17 Applied Materials, Inc. Directional SIO2 etch using low-temperature etchant deposition and plasma post-treatment
CN103785646A (en) * 2012-10-30 2014-05-14 中微半导体设备(上海)有限公司 Reaction cavity cleaning method
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
TW201430996A (en) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc Mechanical clamping assembly for a ring-shaped component within a vacuum chamber for substrate processing
JP5507654B2 (en) * 2012-11-30 2014-05-28 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
CN103915306B (en) * 2012-12-31 2016-04-20 北京北方微电子基地设备工艺研究中心有限责任公司 Microelectronic technique treatment facility and for its reaction chamber
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
KR102231596B1 (en) * 2013-02-06 2021-03-25 어플라이드 머티어리얼스, 인코포레이티드 Gas injection apparatus and substrate process chamber incorporating same
US9685316B2 (en) * 2013-02-25 2017-06-20 United Microelectronics Corp. Semiconductor process
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
KR20210003959A (en) * 2013-03-15 2021-01-12 어플라이드 머티어리얼스, 인코포레이티드 Chamber design for semiconductor processing
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
KR20160003831A (en) * 2013-04-30 2016-01-11 어플라이드 머티어리얼스, 인코포레이티드 Flow controlled liner having spatially distributed gas passages
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
KR20160024914A (en) * 2013-07-26 2016-03-07 가부시키가이샤 히다치 고쿠사이 덴키 Substrate treatment device and method for manufacturing semiconductor device
US9683308B2 (en) 2013-08-09 2017-06-20 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9472416B2 (en) * 2013-10-21 2016-10-18 Applied Materials, Inc. Methods of surface interface engineering
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
TW201522696A (en) 2013-11-01 2015-06-16 Applied Materials Inc Low temperature silicon nitride films using remote plasma CVD technology
DE102013020106A1 (en) * 2013-12-06 2015-06-11 Oliver Feddersen-Clausen Reaction chamber especially for Atomic Laver deposition
CN103695839B (en) * 2013-12-07 2016-05-18 深圳市金凯新瑞光电有限公司 A kind of ion gun cleaning device being applied in filming equipment
US10184179B2 (en) 2014-01-21 2019-01-22 Applied Materials, Inc. Atomic layer deposition processing chamber permitting low-pressure tool replacement
JP2015138931A (en) * 2014-01-24 2015-07-30 株式会社日立ハイテクノロジーズ vacuum processing apparatus and vacuum processing method
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9824865B2 (en) * 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
US9673092B2 (en) * 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9380694B2 (en) * 2014-04-17 2016-06-28 Millenium Synthfuels Corporation Plasma torch having an externally adjustable anode and cathode
MX355451B (en) * 2014-06-20 2018-04-18 Velo3D Inc Apparatuses, systems and methods for three-dimensional printing.
US11302520B2 (en) 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US9558928B2 (en) 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9359679B2 (en) 2014-10-03 2016-06-07 Applied Materials, Inc. Methods for cyclically etching a metal layer for an interconnection structure for semiconductor applications
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9368369B2 (en) 2014-11-06 2016-06-14 Applied Materials, Inc. Methods for forming a self-aligned contact via selective lateral etch
US9520302B2 (en) 2014-11-07 2016-12-13 Applied Materials, Inc. Methods for controlling Fin recess loading
KR102438139B1 (en) 2014-12-22 2022-08-29 어플라이드 머티어리얼스, 인코포레이티드 Process kit for a high throughput processing chamber
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10053774B2 (en) 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US10322384B2 (en) * 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6333232B2 (en) * 2015-12-02 2018-05-30 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
JP2019504507A (en) * 2016-02-05 2019-02-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Integrated layer etching system having multiple types of chambers
JP6991164B2 (en) * 2016-06-15 2022-01-12 エヴァテック・アーゲー Method for manufacturing vacuum-treated chamber and vacuum-treated plate-shaped substrate
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US20180053628A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation Grid for Plasma Chamber
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
JP6820717B2 (en) 2016-10-28 2021-01-27 株式会社日立ハイテク Plasma processing equipment
US20180122670A1 (en) * 2016-11-01 2018-05-03 Varian Semiconductor Equipment Associates, Inc. Removable substrate plane structure ring
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR101850895B1 (en) * 2017-01-03 2018-04-20 한국표준과학연구원 Plasma Generation Apparatus
US10629416B2 (en) * 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
US10249532B2 (en) 2017-02-27 2019-04-02 International Business Machines Corporation Modulating the microstructure of metallic interconnect structures
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
JP6772117B2 (en) 2017-08-23 2020-10-21 株式会社日立ハイテク Etching method and etching equipment
CN109427647B (en) * 2017-09-04 2021-04-20 联华电子股份有限公司 Method for manufacturing isolation structure
US10907252B2 (en) * 2017-10-23 2021-02-02 Applied Materials, Inc. Horizontal heat choke faceplate design
US20190119815A1 (en) * 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
CN107937886A (en) * 2017-11-14 2018-04-20 武汉华星光电半导体显示技术有限公司 Chemical vapor depsotition equipment and film build method
JP6890085B2 (en) * 2017-11-30 2021-06-18 東京エレクトロン株式会社 Board processing equipment
KR20200087267A (en) 2017-12-08 2020-07-20 램 리써치 코포레이션 Integrated showerhead with improved hole pattern to deliver radical and precursor gases to the downstream chamber to enable remote plasma film deposition
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10410854B2 (en) * 2017-12-28 2019-09-10 Globalfoundries Singapore Pte. Ltd. Method and device for reducing contamination for reliable bond pads
KR102560283B1 (en) * 2018-01-24 2023-07-26 삼성전자주식회사 Apparatus and method for manufacturing and designing a shower head
JP7066438B2 (en) * 2018-02-13 2022-05-13 東京エレクトロン株式会社 Cooling system
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11515130B2 (en) * 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
JP2021521648A (en) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Ceramic face plate to be heated
US11328929B2 (en) * 2018-05-01 2022-05-10 Applied Materials, Inc. Methods, apparatuses and systems for substrate processing for lowering contact resistance
US20210363640A1 (en) * 2018-06-20 2021-11-25 Ulvac, Inc. Vacuum processing apparatus and support shaft
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
JP7110020B2 (en) * 2018-07-24 2022-08-01 キオクシア株式会社 Substrate support device and plasma processing device
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
JP6966402B2 (en) * 2018-09-11 2021-11-17 株式会社Kokusai Electric Substrate processing equipment, manufacturing method of semiconductor equipment, and electrodes of substrate processing equipment
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
WO2020068343A1 (en) 2018-09-28 2020-04-02 Applied Materials, Inc. Coaxial lift device with dynamic leveling
KR102386210B1 (en) * 2018-10-15 2022-04-12 세메스 주식회사 Method for cooling hot plate, Apparatus and Method for treating substrate
KR20220056248A (en) 2018-10-19 2022-05-04 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN109600898B (en) * 2018-12-13 2020-04-17 大连理工大学 Spray type electrode and discharge system
JP2020123672A (en) 2019-01-30 2020-08-13 東京エレクトロン株式会社 Control method for substrate processing apparatus, substrate processing apparatus, and cluster system
US11515167B2 (en) 2019-02-01 2022-11-29 Hitachi High-Tech Corporation Plasma etching method and plasma processing apparatus
KR102386601B1 (en) 2019-04-22 2022-04-15 주식회사 히타치하이테크 Plasma treatment method and plasma treatment apparatus
WO2020243289A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Apparatus for improved flow control in process chambers
KR102628919B1 (en) * 2019-05-29 2024-01-24 주식회사 원익아이피에스 Substrate processing apparatus and method using the same
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
WO2021021518A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chamber and methods for cleaning the same
US10692730B1 (en) * 2019-08-30 2020-06-23 Mattson Technology, Inc. Silicon oxide selective dry etch process
US10991547B2 (en) 2019-09-25 2021-04-27 Applied Materials, Inc. Method and device for a carrier proximity mask
US10957512B1 (en) * 2019-09-25 2021-03-23 Applied Materials, Inc. Method and device for a carrier proximity mask
TWI721578B (en) * 2019-09-27 2021-03-11 聚昌科技股份有限公司 Modular plasma reaction chamber structure for rapid change of production line
TW202125573A (en) * 2019-11-16 2021-07-01 美商應用材料股份有限公司 Showerhead with embedded nut
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
KR102274459B1 (en) 2019-12-27 2021-07-07 한국기계연구원 Plasma cleaning apparatus and semiconductor process equipment with the same
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
WO2021162932A1 (en) * 2020-02-10 2021-08-19 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
CN111312583B (en) * 2020-04-01 2022-04-29 山东职业学院 Production process for preparing semiconductor silicon chip
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
US20210335586A1 (en) * 2020-04-22 2021-10-28 Applied Materials, Inc. Methods and apparatus for cleaning a showerhead
CN115461493A (en) * 2020-04-28 2022-12-09 朗姆研究公司 Showerhead design for controlling deposition on wafer bevel/edge
US11875978B2 (en) 2020-06-16 2024-01-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
WO2022040165A1 (en) * 2020-08-18 2022-02-24 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US20220195617A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Multi-layer epi chamber body
JP7312160B2 (en) * 2020-12-28 2023-07-20 株式会社アルバック Etching apparatus and etching method
CN112813415A (en) * 2020-12-31 2021-05-18 拓荆科技股份有限公司 Method for cleaning inside of cavity
CN115142046B (en) * 2021-03-31 2024-03-12 中微半导体设备(上海)股份有限公司 Substrate bearing assembly, chemical vapor deposition equipment and purging method
US11851758B2 (en) 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4565601A (en) * 1983-09-12 1986-01-21 Hitachi, Ltd. Method and apparatus for controlling sample temperature
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5328558A (en) * 1992-03-25 1994-07-12 Tokyo Electron Limited Method for etching an SiO2 film
US5368897A (en) * 1987-04-03 1994-11-29 Fujitsu Limited Method for arc discharge plasma vapor deposition of diamond
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5856240A (en) * 1993-04-05 1999-01-05 Applied Materials, Inc. Chemical vapor deposition of a thin film onto a substrate
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5942075A (en) * 1995-06-18 1999-08-24 Tokyo Electron Limited Plasma processing apparatus
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6241845B1 (en) * 1996-06-05 2001-06-05 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
US20030173347A1 (en) * 2002-03-15 2003-09-18 Guiver Harold Chris Vacuum thermal annealer
US20030196760A1 (en) * 2002-04-19 2003-10-23 Nordson Corporation Plasma treatment system
US20040005726A1 (en) * 2002-07-03 2004-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040129224A1 (en) * 2001-05-18 2004-07-08 Koichi Yamazaki Cooling mechanism with coolant, and treatment device with cooling mechanism
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20060185592A1 (en) * 2005-02-18 2006-08-24 Hiroyuki Matsuura Vertical batch processing apparatus

Family Cites Families (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3756511A (en) * 1971-02-02 1973-09-04 Kogyo Kaihatsu Kenyusho Nozzle and torch for plasma jet
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) * 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4361441A (en) * 1979-04-17 1982-11-30 Plasma Holdings N.V. Treatment of matter in low temperature plasmas
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (en) * 1980-03-11 1986-06-18 Oronzio De Nora Impianti PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (en) * 1982-05-28 1984-01-07 Fujitsu Ltd Plasma cvd device
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
JPS63204726A (en) * 1987-02-20 1988-08-24 Anelva Corp Vacuum treatment device
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4753898A (en) * 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
KR930003136B1 (en) * 1987-10-14 1993-04-22 후루가와덴기 고오교오 가부시기가이샤 Method and apparatus for thinfilm formation by plasma cvd
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JPH02121330A (en) 1988-10-31 1990-05-09 Hitachi Ltd Plasma processing and device therefor
JP2981243B2 (en) 1988-12-27 1999-11-22 株式会社東芝 Surface treatment method
US4985372A (en) * 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5000319A (en) * 1989-06-02 1991-03-19 Leon Mermelstein Negative storage page with lock-in flaps
US4994404A (en) * 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
DE69111493T2 (en) * 1990-03-12 1996-03-21 Ngk Insulators Ltd Wafer heaters for apparatus, for semiconductor manufacturing heating system with these heaters and manufacture of heaters.
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5147692A (en) * 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5549780A (en) * 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2787142B2 (en) * 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
KR100238629B1 (en) * 1992-12-17 2000-01-15 히가시 데쓰로 Stage having eletrostatic chuck and plasma processing apparatus using same
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) * 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) * 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
EP0637063B1 (en) * 1993-07-30 1999-11-03 Applied Materials, Inc. Method for depositing silicon nitride on silicium surfaces
US5468597A (en) * 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (en) * 1993-10-18 1995-06-12 Ladislav Bardos A method and apparatus for generating a discharge in own vapor from a radio frequency electrode for continuous self-sputtering of the electrode
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (en) 1993-12-27 1995-07-28 Mitsubishi Electric Corp Via-hole and its formation
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) * 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5531835A (en) * 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5767373A (en) * 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
TW434745B (en) * 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
WO1997009737A1 (en) * 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5716506A (en) * 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
DE69739101D1 (en) * 1996-03-25 2008-12-24 S George Lesinski MICRO DRIVE MOUNTING FOR IMPLANTED HEARING AID
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5917285A (en) * 1996-07-24 1999-06-29 Georgia Tech Research Corporation Apparatus and method for reducing operating voltage in gas discharge devices
US5747373A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
KR100237825B1 (en) * 1996-11-05 2000-01-15 윤종용 Pedestal in semiconductor chamber
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JPH10154699A (en) 1996-11-25 1998-06-09 Anelva Corp Remote plasma type plasma treating device
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
DE19700231C2 (en) * 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Device for filtering and separating flow media
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JPH10284360A (en) * 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) * 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
JPH1136076A (en) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd deposition apparatus and cvd deposition method
US6086688A (en) * 1997-07-28 2000-07-11 Alcan International Ltd. Cast metal-matrix composite material and its use
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (en) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル Plating method for micro plastic balls
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6406759B1 (en) * 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
JPH11204442A (en) 1998-01-12 1999-07-30 Tokyo Electron Ltd Single wafer heat treatment device
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
KR20010042419A (en) * 1998-04-02 2001-05-25 조셉 제이. 스위니 Method for etching low k dielectrics
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6562128B1 (en) * 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
ATE316426T1 (en) 1998-06-30 2006-02-15 Semitool Inc METALLIZATION STRUCTURES FOR MICROELECTRONIC APPLICATIONS AND METHOD FOR PRODUCING THESE STRUCTURES
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
JP2000026975A (en) * 1998-07-09 2000-01-25 Komatsu Ltd Surface treating device
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) * 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
KR100271770B1 (en) * 1998-09-03 2001-02-01 윤종용 Plasma Process Chamber for Semiconductor Device Manufacturing
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (en) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド Film forming method and apparatus
US6176198B1 (en) * 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4124543B2 (en) 1998-11-11 2008-07-23 東京エレクトロン株式会社 Surface treatment method and apparatus
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP4236329B2 (en) * 1999-04-15 2009-03-11 日本碍子株式会社 Plasma processing equipment
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) * 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
JP4057198B2 (en) 1999-08-13 2008-03-05 東京エレクトロン株式会社 Processing apparatus and processing method
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
DE29919142U1 (en) * 1999-10-30 2001-03-08 Agrodyn Hochspannungstechnik G Plasma nozzle
US6551924B1 (en) * 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
KR20010051575A (en) 1999-11-09 2001-06-25 조셉 제이. 스위니 Chemical plasma cleaning for salicide process
TW484170B (en) * 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) * 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
DE10060002B4 (en) * 1999-12-07 2016-01-28 Komatsu Ltd. Device for surface treatment
US6238513B1 (en) * 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (en) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (en) 2000-02-10 2005-12-07 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6958098B2 (en) * 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP2001355074A (en) * 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
JP2001308023A (en) * 2000-04-21 2001-11-02 Tokyo Electron Ltd Equipment and method for heat treatment
US6458718B1 (en) * 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) * 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (en) * 2000-07-18 2003-01-09 삼성전자 주식회사 Method for cleaning semiconductor substrate or LCD substrate
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (en) 2000-09-25 2002-04-05 Crystage Co Ltd Thin film forming system
WO2002043116A2 (en) * 2000-11-01 2002-05-30 Applied Materials, Inc. Etching of high aspect ratio features in a substrate
WO2002037541A2 (en) * 2000-11-01 2002-05-10 Applied Materials, Inc. Etch chamber for etching dielectric layer with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (en) * 2000-11-24 2003-05-09 삼성전자주식회사 Method of manufacturing semiconductor device in the clustered plasma apparatus
US6291348B1 (en) * 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
AUPR179500A0 (en) * 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) * 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6849854B2 (en) * 2001-01-18 2005-02-01 Saintech Pty Ltd. Ion source
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
KR100776843B1 (en) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 FILM FORMING DEVICE AND Ti-FILM FILM FORMING DEVICE
JP4260404B2 (en) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 Deposition equipment
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
JP3707394B2 (en) 2001-04-06 2005-10-19 ソニー株式会社 Electroless plating method
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
KR100687531B1 (en) * 2001-05-09 2007-02-27 에이에스엠 저펜 가부시기가이샤 Method of forming low dielectric constant insulation film for semiconductor device
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
CN1516895A (en) * 2001-06-14 2004-07-28 马特森技术公司 Barrier enhancement process for copper interconnects
JP2003019433A (en) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd Discharge plasma treating apparatus and treating method using the same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
EP1418619A4 (en) * 2001-08-13 2010-09-08 Ebara Corp Semiconductor device and production method therefor, and plating solution
US20030038305A1 (en) * 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (en) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc Plasma treatment equipment
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
WO2003018867A1 (en) * 2001-08-29 2003-03-06 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
AU2002301252B2 (en) 2001-10-12 2007-12-20 Bayer Aktiengesellschaft Photovoltaic modules with a thermoplastic hot-melt adhesive layer and a process for their production
JP3954833B2 (en) 2001-10-19 2007-08-08 株式会社アルバック Batch type vacuum processing equipment
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
KR100443121B1 (en) * 2001-11-29 2004-08-04 삼성전자주식회사 Method for processing of semiconductor and apparatus for processing of semiconductor
US20060051968A1 (en) * 2001-12-13 2006-03-09 Joshi Ajey M Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US6821379B2 (en) * 2001-12-21 2004-11-23 The Procter & Gamble Company Portable apparatus and method for treating a workpiece
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (en) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd Discharge plasma processing device
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6806653B2 (en) * 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) * 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
JP2003347278A (en) * 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc Substrate treatment apparatus and method for manufacturing semiconductor device
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
WO2004006303A2 (en) * 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
KR100500852B1 (en) * 2002-10-10 2005-07-12 최대규 Remote plasma generator
JP4606713B2 (en) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
JP3838969B2 (en) 2002-12-17 2006-10-25 沖電気工業株式会社 Dry etching method
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
CN101457338B (en) 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
CN100437970C (en) 2003-03-07 2008-11-26 琥珀波系统公司 Shallow trench isolation process
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
JP4245996B2 (en) 2003-07-07 2009-04-02 株式会社荏原製作所 Cap film forming method by electroless plating and apparatus used therefor
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
JP4644676B2 (en) 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド Equipment to improve wafer temperature uniformity for face-up wet processing
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) * 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
JP4879159B2 (en) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド CVD process for amorphous carbon film deposition
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
CN101124661A (en) 2004-05-11 2008-02-13 应用材料公司 Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) * 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
KR100593740B1 (en) 2004-09-16 2006-06-28 삼성전자주식회사 Method of removing native oxide film
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
WO2007035880A2 (en) * 2005-09-21 2007-03-29 Applied Materials, Inc. Method and apparatus for forming device features in an integrated electroless deposition system
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
DE202008016190U1 (en) 2007-12-04 2009-03-19 Parabel Ag Multilayer solar element
JP2009170890A (en) 2007-12-18 2009-07-30 Takashima & Co Ltd Flexible film type solar cell multilayer body

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4565601A (en) * 1983-09-12 1986-01-21 Hitachi, Ltd. Method and apparatus for controlling sample temperature
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5368897A (en) * 1987-04-03 1994-11-29 Fujitsu Limited Method for arc discharge plasma vapor deposition of diamond
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5328558A (en) * 1992-03-25 1994-07-12 Tokyo Electron Limited Method for etching an SiO2 film
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5856240A (en) * 1993-04-05 1999-01-05 Applied Materials, Inc. Chemical vapor deposition of a thin film onto a substrate
US5942075A (en) * 1995-06-18 1999-08-24 Tokyo Electron Limited Plasma processing apparatus
US6241845B1 (en) * 1996-06-05 2001-06-05 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6372657B1 (en) * 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6448537B1 (en) * 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US20040129224A1 (en) * 2001-05-18 2004-07-08 Koichi Yamazaki Cooling mechanism with coolant, and treatment device with cooling mechanism
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030173347A1 (en) * 2002-03-15 2003-09-18 Guiver Harold Chris Vacuum thermal annealer
US20030196760A1 (en) * 2002-04-19 2003-10-23 Nordson Corporation Plasma treatment system
US20040005726A1 (en) * 2002-07-03 2004-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20060185592A1 (en) * 2005-02-18 2006-08-24 Hiroyuki Matsuura Vertical batch processing apparatus

Cited By (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20050269031A1 (en) * 2002-04-19 2005-12-08 Nordson Corporation Plasma treatment system
US8613827B2 (en) 2002-04-19 2013-12-24 Nordson Corporation Plasma treatment system
US8623471B2 (en) * 2002-04-19 2014-01-07 Nordson Corporation Plasma treatment system
US20100140223A1 (en) * 2002-04-19 2010-06-10 Nordson Corporation Plasma Treatment System
US8480850B2 (en) * 2002-04-19 2013-07-09 Nordson Corporation Plasma treatment system
US20120118857A1 (en) * 2002-04-19 2012-05-17 Nordson Corporation Plasma Treatment System
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US8506711B2 (en) * 2004-02-25 2013-08-13 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20090133837A1 (en) * 2004-02-25 2009-05-28 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
US7867789B2 (en) 2005-07-18 2011-01-11 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US9147578B2 (en) 2005-07-18 2015-09-29 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20110104897A1 (en) * 2005-07-18 2011-05-05 Xinliang Lu Contact clean by remote plasma and repair of silicide surface
US20070077755A1 (en) * 2005-10-04 2007-04-05 Hong Ji H Method of forming metal wiring in a semiconductor device
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
KR101201964B1 (en) 2006-02-03 2012-11-20 어플라이드 머티어리얼스, 인코포레이티드 Epitaxial deposition process and apparatus
US20070181057A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
TWI405251B (en) * 2006-04-10 2013-08-11 Renesas Electronics Corp Semiconductor device manufacturing method
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7851355B2 (en) 2006-05-18 2010-12-14 Renesas Electronics Corporation Method of manufacturing semiconductor device
US8021979B2 (en) 2006-05-18 2011-09-20 Renesas Electronics Corporation Method of manufacturing semiconductor device
US20070269976A1 (en) * 2006-05-18 2007-11-22 Takuya Futase Method of manufacturing semiconductor device
US20110070731A1 (en) * 2006-05-18 2011-03-24 Takuya Futase Method of manufacturing semiconductor device
US20140083362A1 (en) * 2006-05-30 2014-03-27 Applied Materials, Inc. Process chamber for dielectric gapfill
US8956461B2 (en) 2006-06-20 2015-02-17 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7879184B2 (en) 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20110083697A1 (en) * 2006-06-20 2011-04-14 Eric Hudson Apparatuses, Systems and Methods for Rapid Cleaning of Plasma Confinement Rings with Minimal Erosion of Other Chamber Parts
US20080245767A1 (en) * 2006-06-30 2008-10-09 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US8043972B1 (en) 2006-06-30 2011-10-25 Novellus Systems, Inc. Adsorption based material removal process
US7651948B2 (en) 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
EP1944796A2 (en) 2007-01-11 2008-07-16 Applied Materials, Inc. Oxide etch with NH3-NF3 chemistry
US20080179291A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179007A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using plasma products in a lower process zone and purge gases in an upper process zone
US7967996B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080178913A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with a ring of plasma under the wafer
US20080179289A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with a plasma stream
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US20080230846A1 (en) * 2007-03-23 2008-09-25 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US7670952B2 (en) * 2007-03-23 2010-03-02 Texas Instruments Incorporated Method of manufacturing metal silicide contacts
US20080236614A1 (en) * 2007-03-30 2008-10-02 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US8298966B2 (en) * 2007-10-10 2012-10-30 International Business Machines Corporation On-chip cooling systems for integrated circuits
US20100136800A1 (en) * 2007-10-10 2010-06-03 International Business Machines Corporation On-chip cooling systems for integrated circuits
US8492295B2 (en) 2007-10-10 2013-07-23 International Business Machines Corporation On-chip cooling for integrated circuits
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US8617348B1 (en) 2007-12-13 2013-12-31 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
US8883650B2 (en) 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
US20090191714A1 (en) * 2008-01-24 2009-07-30 Kuo-Chih Lai Method of removing oxides
US20090191703A1 (en) * 2008-01-29 2009-07-30 Applied Materials, Inc. Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090298288A1 (en) * 2008-05-30 2009-12-03 Canon Anelva Corporation Silicide forming method and system thereof
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8268684B2 (en) 2008-11-24 2012-09-18 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20100129958A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110030657A1 (en) * 2009-07-10 2011-02-10 Tula Technology, Inc. Skip fire engine control
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110151674A1 (en) * 2009-12-23 2011-06-23 Applied Materials, Inc. Smooth siconi etch for silicon-containing films
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US20110230052A1 (en) * 2010-03-17 2011-09-22 Applied Materials, Inc. Invertable pattern loading with dry etch
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8685172B2 (en) 2010-06-25 2014-04-01 Anastasios J. Tousimis Integrated processing and critical point drying systems for semiconductor and MEMS devices
US8453656B2 (en) 2010-06-25 2013-06-04 Anastasios J. Tousimis Integrated processing and critical point drying systems for semiconductor and MEMS devices
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
WO2012116259A3 (en) * 2011-02-25 2012-12-06 Applied Materials, Inc. Dry chemical cleaning for gate stack preparation
WO2012116259A2 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry chemical cleaning for gate stack preparation
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120276740A1 (en) * 2011-04-28 2012-11-01 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US10366865B2 (en) 2011-05-31 2019-07-30 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9934979B2 (en) * 2011-05-31 2018-04-03 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140053984A1 (en) * 2012-08-27 2014-02-27 Hyun Ho Doh Symmetric return liner for modulating azimuthal non-uniformity in a plasma processing system
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9028648B1 (en) * 2014-03-26 2015-05-12 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US20150315706A1 (en) * 2014-05-05 2015-11-05 Lam Research Corporation Low volume showerhead with porous baffle
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US10679868B2 (en) 2015-01-06 2020-06-09 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160312360A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US9595452B2 (en) 2015-05-27 2017-03-14 Lam Research Corporation Residue free oxide etch
US9564341B1 (en) 2015-08-04 2017-02-07 Applied Materials, Inc. Gas-phase silicon oxide selective etch
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11164724B2 (en) 2015-09-08 2021-11-02 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10141207B2 (en) 2016-09-28 2018-11-27 Hitachi High-Technologies Corporation Operation method of plasma processing apparatus
US20180096821A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US11049698B2 (en) * 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN108172513A (en) * 2016-11-29 2018-06-15 台湾积体电路制造股份有限公司 It is etched using with the room of top plate formed by not oxygen-containing material
US10804120B2 (en) * 2016-12-21 2020-10-13 Samsung Electronics Co., Ltd. Temperature controller and a plasma-processing apparatus including the same
US20180174869A1 (en) * 2016-12-21 2018-06-21 Samsung Electronics Co., Ltd. Temperature controller and a plasma-processing apparatus including the same
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US11842883B2 (en) 2017-07-11 2023-12-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US11302517B2 (en) 2017-07-11 2022-04-12 Samsung Display Co., Ltd. Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11380556B2 (en) 2018-05-25 2022-07-05 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
WO2019245909A1 (en) * 2018-06-19 2019-12-26 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11637022B2 (en) 2018-07-09 2023-04-25 Lam Research Corporation Electron excitation atomic layer etch
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11236424B2 (en) 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
WO2021087002A1 (en) * 2019-11-01 2021-05-06 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
US20220119950A1 (en) * 2020-06-17 2022-04-21 Applied Materials, Inc. High temperature face plate for deposition application
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11697877B2 (en) * 2020-06-17 2023-07-11 Applied Materials, Inc. High temperature face plate for deposition application
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20220325400A1 (en) * 2021-04-07 2022-10-13 Applied Materials, Inc. Overlap susceptor and preheat ring
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US11932939B2 (en) 2021-04-28 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers

Also Published As

Publication number Publication date
US20140076234A1 (en) 2014-03-20
KR101148431B1 (en) 2012-05-23
TWI386517B (en) 2013-02-21
US20050218507A1 (en) 2005-10-06
US10593539B2 (en) 2020-03-17
TW200934887A (en) 2009-08-16
KR101324651B1 (en) 2013-11-01
KR101107919B1 (en) 2012-01-25
TWI330669B (en) 2010-09-21
TW200533777A (en) 2005-10-16
KR101228996B1 (en) 2013-02-04
TWI402371B (en) 2013-07-21
US8343307B2 (en) 2013-01-01
JP2011205154A (en) 2011-10-13
CN101916715B (en) 2012-11-28
US7396480B2 (en) 2008-07-08
US20210225640A1 (en) 2021-07-22
KR20060042255A (en) 2006-05-12
JP2011205135A (en) 2011-10-13
US20110223755A1 (en) 2011-09-15
CN1681079A (en) 2005-10-12
JP2005244244A (en) 2005-09-08
US20090111280A1 (en) 2009-04-30
TWI421370B (en) 2014-01-01
EP1568797B1 (en) 2014-07-23
JP5028536B2 (en) 2012-09-19
CN101241844B (en) 2010-09-22
US20080268645A1 (en) 2008-10-30
KR20080111424A (en) 2008-12-23
TW200930829A (en) 2009-07-16
US20050221552A1 (en) 2005-10-06
JP4960598B2 (en) 2012-06-27
CN101916740A (en) 2010-12-15
US20200006054A1 (en) 2020-01-02
TWI393800B (en) 2013-04-21
EP1568797A2 (en) 2005-08-31
US20120244704A1 (en) 2012-09-27
TW201102455A (en) 2011-01-16
EP1568797A3 (en) 2006-10-04
CN101916740B (en) 2013-01-02
CN101241844A (en) 2008-08-13
CN100487857C (en) 2009-05-13
US20050205110A1 (en) 2005-09-22
KR101234740B1 (en) 2013-02-19
KR20110110748A (en) 2011-10-07
CN101916715A (en) 2010-12-15
US20090095621A1 (en) 2009-04-16
KR20110110746A (en) 2011-10-07
JP5250668B2 (en) 2013-07-31
KR101192099B1 (en) 2012-10-17
EP2787099A3 (en) 2014-12-03
US8846163B2 (en) 2014-09-30
EP2787099A2 (en) 2014-10-08
US7520957B2 (en) 2009-04-21
US20090095334A1 (en) 2009-04-16
KR20080110967A (en) 2008-12-22
US7767024B2 (en) 2010-08-03
KR20080110566A (en) 2008-12-18
TW200923126A (en) 2009-06-01
US20120267346A1 (en) 2012-10-25

Similar Documents

Publication Publication Date Title
US20210225640A1 (en) Support assembly
US20060051966A1 (en) In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
EP1831430A2 (en) An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP5184890B2 (en) Processing chamber for substrates
KR101248182B1 (en) In-situ clean chamber for front end of line fabrication

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KAO, CHIEN-TEH;CHOU, JING-PEI (CONNIE);LAI, CHIUKIN (STEVEN);AND OTHERS;REEL/FRAME:016648/0046;SIGNING DATES FROM 20050419 TO 20050502

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION