US20050217580A1 - Gas distribution system - Google Patents

Gas distribution system Download PDF

Info

Publication number
US20050217580A1
US20050217580A1 US11/142,087 US14208705A US2005217580A1 US 20050217580 A1 US20050217580 A1 US 20050217580A1 US 14208705 A US14208705 A US 14208705A US 2005217580 A1 US2005217580 A1 US 2005217580A1
Authority
US
United States
Prior art keywords
passages
gas distribution
outlet
gas
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/142,087
Inventor
Jay DeDontney
Jack Yao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aviza Technology Inc
Original Assignee
Aviza Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology Inc filed Critical Aviza Technology Inc
Priority to US11/142,087 priority Critical patent/US20050217580A1/en
Publication of US20050217580A1 publication Critical patent/US20050217580A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Definitions

  • the present invention relates generally to the field of semiconductor equipment and processing. More specifically, the present invention relates to a gas distribution apparatus useful in semiconductor fabrication.
  • Wafer processing reactor systems and methods are widely used in the manufacture of semiconductors and integrated circuits.
  • One particular type of wafer processing system utilizes chemical vapor deposition (CVD) to deposit films or layers on the surface of a substrate as a step in the manufacture of semiconductors and integrated circuits.
  • CVD chemical vapor deposition
  • the gases are generally combined within a mixing chamber.
  • the gaseous mixture is then coupled through a conduit to a distribution plate or showerhead, which contains a plurality of holes such that the gaseous mixture is evenly distributed into a process region.
  • a chemical reaction occurs between the gases to form a film on a substrate proximate the processing region.
  • gases tend to begin reacting within the mixing chamber. Consequently, deposition or etching of the mixing chamber, conduits and other chamber components may occur prior to the gaseous mixture reaching the process region. Additionally, reaction by-products and deposits may accumulate in the chamber gas delivery components.
  • ALD atomic layer deposition
  • each reactant gas is independently introduced into a reaction chamber through, for example, a showerhead, so that no gas phase intermixing occurs.
  • a monolayer of a first reactant is physi- or chemi-sorbed onto a substrate surface.
  • a second reactant is then introduced through the showerhead to the reaction chamber and reacts with the first reactant to form a monolayer of the desired film via a self-limiting surface reaction.
  • a desired film thickness is obtained by repeating the deposition cycle as necessary. It is advantageous to introduce the first and second reactants independently and separately through the showerhead to avoid any reaction between the reactants in the showerhead.
  • gas distribution networks created in a showerhead body may be used.
  • a plurality of parallel channels can be formed in a unitary showerhead body from which a multitude of perpendicular outlet channels deliver process chemicals into a process region.
  • the parallel channels are intersected perpendicularly by a single transverse plenum connected to a central gas source inlet line.
  • Process gas passes from the inlet to the outlets of the showerhead by following a “Cartesian” path by flowing laterally along the transverse plenum, transverse through the parallel channels, and the outlet channels into the process region.
  • a disadvantage of this design is that there is a large variation in total flow path to reach points of constant radius within the showerhead. As a result, there is typical a large variation in backpressure within the interior flow channels that result in an unacceptable azimuthal and radial variation in outlet gas flow velocity from the multitude of outlet holes. Furthermore, in showerhead designs with a single central gas inlet, there exists an unavoidable time lag between the gases that exist near the center of the showerhead and those existing at the outer perimeter. The large variation in total flow path at points of constant radius inherent with Cartesian-style flow networks creates a “phase error” that may lead to non-uniform chemical concentrations around the perimeter of the showerhead which may affect deposition in transient-flow processes.
  • radially oriented channels that converge at the center gas inlet may be employed instead of a multitude of parallel channels.
  • this type of design leads to a decreasing outlet hole density (hole per square centimeter) due to the divergence of the radial passages. This may be compensated somewhat by additional radial passages at larger radii, however, these require cross-connection to the same source of gas which becomes difficult to do in a truly unit body block of material. Furthermore, it is not apparent that this will yield acceptable flow uniformity either.
  • a gas distribution apparatus useful in semiconductor fabrication is provided.
  • the gas distribution apparatus promotes uniformly delivery of gases into a process region and reduces azimuthal variation in time lag between gas that exits near the center and gas exiting at the outer perimeter of the apparatus.
  • the present gas distribution apparatus comprises a member and a gas distribution network formed within the unitary member for uniformly delivering a gas into a process region.
  • the member can be a unitary member.
  • the gas distribution network is formed of an inlet passage extending upwardly through the upper surface of the unitary member for connecting to a gas source.
  • a plurality of first passages converge at a junction and interconnect with the inlet passage at the junction.
  • a plurality of second passages are connected with the plurality of first passages, and a plurality of outlet passages are connected with the plurality of second passages for delivering the gas into a processing region.
  • the first passages extend radially and outwardly from the junction to the periphery surface of the unitary member.
  • the second passages are non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface.
  • the outlet passages extend downwardly through the lower surface of the unitary member for delivering the gas into the processing region.
  • the first passages are comprised of four orthogonal coordinate passages dividing the gas distribution network into four regions or quadrants.
  • the second passages in each of the quadrants are parallel with each other. In opposite two quadrants, the first and second passages are symmetrically arranged. In adjacent two quadrants, the second passages on both sides of a common first passage are staggeredly arranged.
  • the first and second passages constitute an angle from about 30 to about 45. In one embodiment, the angle is about 45 degrees.
  • the first passages are comprised of six passages, and adjacent two passages form an angle of about 60 degrees.
  • the first passages have a diameter larger than the diameter of the second passages.
  • the second passages have a diameter larger than the diameter of the outlet passages.
  • the diameter of the first passages is in the range from about 5 to about 15 mm
  • the diameter of the second passages is in the range from about 3 to about 12 mm
  • the outlet diameter is in the range from about 0.25 to about 2.5 mm.
  • the outlet passages are substantially cylindrical and adapted to receive inserts to alter the size of and/or direction of gases exiting the outlets into a process region.
  • the outlet passages are provided with threads for receiving the inserts.
  • the present gas distribution system comprises a unitary cylindrical member and two independent gas distribution networks formed within the unitary member.
  • Each of the gas distribution networks is formed of an inlet passage extending upwardly through the upper surface of the unitary member for connecting to a gas source, a plurality of co-planar first passages converged at a junction and interconnected with the inlet passage at the junction, a plurality of second passages connected with the plurality of first passages, and a plurality of outlet passages connected with the plurality of second passages and extending downwardly through the lower surface of the unitary member for delivering the gas into the processing region.
  • the first passages extend radially and outwardly from the junction to the periphery surface of the unitary member.
  • the second passages are co-planar with and non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface.
  • the first and second passages of each of the gas distribution networks are formed at different elevations within the unitary member and the inlet passages of each of the gas distribution networks offset each other.
  • the two independent gas distribution networks are not in fluid communication within the unitary member.
  • the first passages of each of the gas distribution networks are comprised of four orthogonal coordinate passages.
  • the outlet passages of each of the two gas distribution networks extend through the lower surface in an alternate and even configuration.
  • the two gas distribution networks have substantially the same dimensions and configurations.
  • the present gas distribution system comprises a unitary cylindrical member having an upper surface, a lower surface and a periphery surface, and three independent gas distribution networks formed within the unitary member.
  • Each of the gas distribution networks is formed of an inlet passage, a plurality of first passages, a plurality of second passages connected with the first passages, and a plurality of outlet passages connected with the second passages.
  • the inlet passage extends upwardly through the upper surface for connecting to a gas source.
  • the first passages converge at a junction and is interconnected with the inlet passage at the junction.
  • the first passages extend radially and outwardly from the junction to the periphery surface.
  • the second passages are co-planar with and non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface.
  • the outlet passages are connected with the second passages and extend downwardly through the lower surface for delivering the gas into the processing region.
  • the first and second passages of each of the three gas distribution networks are formed at different elevations within the unitary member and the inlet passages of each of the three gas distribution networks offset each other.
  • the three independent gas distribution networks are not in fluid communication within the unitary member.
  • the first passages of each of the three gas distribution networks are comprised of six passages, and adjacent two passages form an angle of about 60 degrees.
  • the three gas distribution networks may have substantially the same dimensions and configurations.
  • FIG. 1 is a schematic view of a semiconductor reactor system including a gas distribution apparatus in accordance with one embodiment of the present invention.
  • FIG. 2 is an external view of a gas distribution apparatus machined from a unitary member in accordance with one embodiment of the present invention.
  • FIG. 3 shows an internal gas distribution network formed within a unitary member in accordance with one embodiment of the present invention.
  • FIG. 4 is an external view of a gas distribution apparatus showing outlet passages through the bottom surface of a unitary member in accordance with one embodiment of the invention.
  • FIG. 5 is a bubble plot showing outlet velocities in the geometry of a prior art showerhead.
  • FIG. 6 is a bubble plot showing outlet velocities in the geometry of a gas distribution apparatus in accordance with one embodiment of the present invention.
  • FIG. 7 is a plot showing internal and external path length ratios in a prior art showerhead.
  • FIG. 8 is a plot showing internal and external path length ratios in a gas distribution apparatus in accordance with one embodiment of the present invention.
  • FIG. 9 shows two internal gas distribution networks formed within a unitary member in accordance with one embodiment of the present invention.
  • the gas distribution apparatus of the present invention comprises a unitary member and one or more gas distribution networks formed within the unitary member for uniformly delivering gases into a process region.
  • FIG. 1 schematically shows a semiconductor wafer processing reaction chamber 10 , for example, an atomic layer deposition (ALD) reactor or a CVD reactor that includes a showerhead 12 of the present invention.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • FIG. 1 is for illustrative purpose only and not intended to limit the scope of the invention in any way.
  • the showerhead described below can be used in any other system where uniform gas distribution into a process region is desired.
  • the reactor 10 includes an enclosure 14 defining a processing region 16 .
  • a substrate 18 such as a semiconductor wafer, is maintained proximate the process region 16 on a pedestal 20 .
  • the pedestal 20 moves vertically within the enclosure 14 to a position that allows the substrate 18 to be removed.
  • a new substrate 18 is placed on the pedestal 20 .
  • the pedestal 20 is then raised into a process position, which places the substrate proximate the process region 16 .
  • Process gases are supplied through the showerhead 12 .
  • the showerhead 12 forms a lid of the reactor 10 .
  • two gases Gas 1 and 2
  • the two gases are distributed to the process region 16 via two separate gas distribution networks 21 formed in the showerhead 12 . These gases react and form a deposit on the substrate 18 .
  • a first reactant gas (Gas 1 ) is introduced into the process region 16 via a gas distribution network formed within the showerhead 12 .
  • the excess first reactant gas is evacuated from the reaction chamber 10 with the aid of an inert purge gas.
  • a second reactant (Gas 2 ) is then introduced to the process region 16 via a separate gas distribution network formed within the showerhead.
  • the second reactant gas reacts with the first reactant forming a monolayer of the desired film via a self-limiting surface reaction.
  • the excess second reactant is then evacuated with the aid of an inert purge gas.
  • a desired film thickness is obtained by repeating the deposition cycle as necessary.
  • the showerhead 12 is preferably a unitary member 13 , as shown in FIG. 2 , and has one or more gas distribution networks 21 , as shown in FIG. 3 , formed within the unitary member 13 .
  • Member 13 can also be two or more blocks combined together.
  • a single gas port 22 and a single gas distribution network 21 are shown in FIGS. 2 and 3 .
  • two or three gas distribution networks 21 can be independently formed at different elevations within the unitary member 13 , and two or three gas ports 22 can be provided to independently and separately supply gases into the two or three gas distribution networks 21 .
  • the two or three gas distribution networks 21 are not interconnected within the unitary member 13 so that two or three gases are independently and separately introduced into a process region 16 without premixing.
  • two or three gases can be simultaneously supplied into the showerhead 12 from separate gas sources (not shown). Since the two or three gas distribution networks 21 are not in fluid communication with each other within the unitary member 13 , the two or three gases are not mixed until they exit the showerhead 12 into the process region 16 . Alternatively, two or three gases are supplied into the process region 16 sequentially via the two or three gas distribution networks 21 within the unitary member 13 to meet specific process requirements, for example, in an atomic layer deposition process.
  • the Member 13 is preferably machined from a block of aluminum, stainless steel, nickel-based alloys, or any material that does not react with the particular gases being supplied into the showerhead 12 .
  • the unitary member 13 can be in a cylindrical shape or any shape suitable as a lid for the reactor 10 .
  • the unitary member 13 comprises an upper surface 23 , a lower surface 24 , and a peripheral surface 25 .
  • a plurality of channels, passages or holes are formed within the unitary member 13 to form a gas distribution network 21 .
  • Various manufacturing techniques known in the art can be used to form the channels, passages or holes, such as electric discharge drilling, mechanical drilling, pressurized reactant drilling, water jet cutting, and the like. In one embodiment, these channels or passages are formed by mechanical drilling and/or an electrode discharge machine (EDM).
  • EDM electrode discharge machine
  • FIG. 3 shows a gas distribution network 21 formed within the unitary member 13 .
  • FIG. 3 shows a gas distribution network 21 formed within the unitary member 13 .
  • channels, passages, or holes forming the gas distribution network 21 are shown in FIG. 3 .
  • the remaining solid materials that define these channels, passages or holes of the gas distribution network 21 are not shown in FIG. 3 .
  • the gas distribution network 21 comprises an inlet passage 26 .
  • the inlet passage 26 is coupled to a gas source (not shown) via a conduit (not shown) for supplying a gas into the gas distribution network 21 .
  • the inlet 26 extends upwardly and through the upper surface 23 .
  • a plurality of horizontal passages or plenums 28 a - d are formed within the unitary member 13 .
  • the horizontal plenums 28 a - d are converged at a junction 30 and extend radially and outwardly to the peripheral surface 25 of the unitary member 13 .
  • the horizontal plenums 28 a - d are closed at the peripheral surface 25 .
  • the horizontal plenums 28 a - d can be formed by drilling from the peripheral surface 25 .
  • the openings on the peripheral surface 25 are closed by for example sealing plugs (not shown) after the plenums 28 a - d are formed.
  • the inlet passage 26 is connected with the plenums 28 a - d via the junction 30 . In FIG.
  • FIG. 3 four orthogonal coordinate plenums 28 a - d are shown for illustrative purpose. It should be noted that other number of plenums can be formed. For example, six plenums can be formed converging at a junction, and adjacent two plenums constitute an angle of 60 degrees. A gas is introduced via the inlet passage 26 and distributed into the horizontal plenums 28 a - d.
  • a plurality of branch passages or tributaries 32 are formed along the path of each of the horizontal plenums 28 a - d .
  • the tributaries 32 extend from the plenum 28 to the peripheral surface 25 of the unitary member 13 .
  • the tributaries 32 are closed at the peripheral surface 25 .
  • These branch passages or tributaries 32 are formed at a same elevation with the plenums 28 .
  • the gas distribution network 21 is divided into four regions or quadrants 34 a - d by four orthogonal coordinate plenums 28 a - d .
  • An array of parallel tributaries 32 are formed in each of the quadrants 34 a - d .
  • each of individual tributary 32 is determined to define a desired gas distribution configuration.
  • each individual tributary 32 extends outwardly and closed at a location that is substantially equally distant from the peripheral surface 25 of the cylindrical member 13 .
  • Other distribution configurations such as a square pattern can be defined by varying the length of the plenums 28 a - d , tributaries 32 , and outlets 36 described below.
  • each tributary 32 forms an acute angle with the plenum 28 of about 45 degrees. This angle can be determined by the geometrical requirements imposed by the number of gas distribution networks and the desired outlet hole patterns.
  • an array of passages or outlets 36 are formed for distributing gases into a process region 16 .
  • the outlets 36 extends downwardly and through the lower surface 24 of the unitary member 13 as shown in FIG. 4 .
  • the passages of the outlets 36 can be straight and cylindrical.
  • the passages of the outlets 36 comprise a first portion proximate the tributary 32 and a second portion distant the tributary 32 .
  • the first portion of the outlet passage may have a larger or smaller diameter than that of the second portion to control the back pressure of the outlets 36 according to process requirements.
  • the outlet passages may also be provided with threads for receiving inserts that are designed to alter the size of the outlet or direction of gases exiting the outlet into the process region.
  • the diameters of the plenums 28 a - d , tributaries 32 , and outlets 36 are selected to provide a desired outlet velocity.
  • the diameters of the plenums 28 a - d are larger than those of the tributaries 32
  • the diameters of the tributaries 32 are larger than those of the outlets 36 .
  • Small outlet diameters create resistance to gas flow so as to sustain smaller variation in back pressure among all of the outlets. Large plenum and tributary diameters assist in this effect which is desirable.
  • the outlet velocities are also uniform. However, it is desirable not to make the outlets too small as this may lead to “jetting” of gases, which is undesirable in semiconductor processes.
  • the diameters of the outlets 36 can be uniform throughout the entire distribution region. Alternatively, the diameters of the outlets 32 differ to provide an inner region with a larger diameters and an outer region with smaller diameters.
  • the diameter of the plenums 28 a - d is selected in the range from about 5 mm to about 15 mm, the diameter of the tributaries 32 in the range from about 3 mm to about 12 mm, and the diameter of the outlets 36 in the range from about 0.25 mm to about 2.5 mm. In another embodiment, the diameter of the plenums 28 a - d is selected in the range from about 9 mm to about 12 mm, the diameter of the tributaries 32 in the range from about 6 mm to about 9 mm, and the diameter of the outlets 36 in the range from about 1 mm to about 1.5 mm.
  • tributaries, outlets and plenums are shown in FIG. 3 for illustrative purpose. It should be noted that numerous tributaries and outlets can be formed to provide desired outlet density for specific processes. For example, the number of plenums, tributaries and outlets are selected to provide an outlet density of about 1 hole per 2 square centimeters.
  • Table 1 summarizes the modeling results for the present gas distribution system as analyzed in computational fluid dynamics (CFD) simulations.
  • CFD computational fluid dynamics
  • Max/Min refers to the ratio of maximum to minimum outlet velocity.
  • Range/Average refers to the ratio of Max/Min value to the average gas flow velocity. The values of Max/Min and Range/Average are used to rank the performance of the gas distribution systems. Small values of Max/Min and Range/Average are desired for uniform distribution of gases into a process region.
  • Table 1 demonstrates a much better performance of the present gas distribution apparatus over prior art showerheads.
  • the Max/Min ratio was tested and found to be 3.584, which means that the variation of the outlet velocity is as high as more than 350%, and the Range/Average was 1.50, or 150%.
  • the Max/Min ratios for the gas distribution system of the present invention range only from 1.220 to 1.364, and the Range/Average ratios range only from 0.131 to 0.337.
  • FIG. 5 is a bubble plot that shows the outlet velocities in the geometry of a prior art showerhead.
  • FIG. 6 is a bubble plot that shows the outlet velocities in the geometry of the present gas distribution system as defined by design 5 in Table 1. As shown in FIG. 5 , the bubbles in the outer perimeter regions are obviously smaller than those in the inner region. In other words, the flow velocities in the inner region are greater than those in the outer perimeter region.
  • FIG. 6 shows an improvement of the flow velocity provided by the gas distribution system of the present invention.
  • FIG. 6 demonstrates a substantially uniform outlet velocities regardless of the distance from the center region.
  • FIGS. 7 and 8 compare the internal and external path length in the present gas distribution system and prior art showerhead.
  • x-axis represents outlet perimeter positions
  • y-axis represents the length ratio of an internal path for a gas traveling to an outlet at the outer parameter and an external path that the gas would travel from the center junction radially and outwardly towards that same outlet.
  • the internal/external path ratio at perimeter positions G and H is close to 1 due to the radial design, as shown in FIG. 8 .
  • FIGS. 7 and 8 demonstrate that the present gas distribution system greatly reduces the azimuthal variation in time lag between gases that exit near the center of the showerhead and those exiting at the outer perimeter. This in turn enhances gas distribution uniformity into a process region, which is desirable in semiconductor manufacturing.
  • two internal gas distribution networks are formed at different elevations within a unitary member to independently and separately supply two gases into a process region.
  • Each of the two internal gas distribution networks is described above with reference to FIGS. 2-8 .
  • the two internal gas distribution networks are not in fluid communication within the unitary member.
  • the configuration of the two internal gas distribution networks can be substantially the same.
  • each of the two internal gas distribution networks is divided into four regions or quadrants by four orthogonal coordinate main passages or plenums 54 a - d and 64 a - d .
  • the four plenums converge at a junction and extend radially and outwardly to the peripheral surface of the unitary member.
  • a plurality of parallel branch passages or tributaries 58 and 68 are formed in each of the quadrants.
  • the tributaries 58 and 68 are coupled to the plenums 54 a - d and 64 a - d respectively and extend outwardly to the peripheral surface of the unitary member.
  • the tributaries and the plenum connected therewith constitute an angle about 45 degrees.
  • An inlet passage is formed and coupled to the junction of the plenums.
  • the inlet passage extends upwardly and through the upper surface of the unitary member to couple to a gas source via a conduit.
  • a plurality of vertical outlet passages 59 and 69 are formed along the path of each of the tributaries 58 and 68 respectively.
  • the outlet passages 59 and 69 extend downwardly and through the lower surface of the unitary member for directing gases into a process region.
  • the two internal gas distribution networks are arranged at different elevations within the unitary member in such a manner so that the plenums, tributaries, inlet and outlet passages of one internal gas distribution network offset corresponding plenums, tributaries, inlet and outlet passages of another internal gas distribution network.
  • the corresponding plenums, tributaries, and inlet and outlet passages are not overlapped when viewed from the top or bottom of the unitary member.
  • the outlet passages of each of the internal gas distribution networks extend through the bottom surface in an alternative and even configuration.
  • three internal gas distribution networks are formed at different elevations within a unitary member to independently and separately supply three gases into a process region.
  • Each of the three internal gas distribution networks is described above with reference to FIGS. 2-8 .
  • the three internal gas distribution networks are not in fluid communication within the unitary member.
  • the configuration of the three internal gas distribution networks can be substantially the same.
  • each of the three internal gas distribution networks is divided into six regions by six main passages or plenums. The six plenums converge at a junction and extend radially and outwardly to the peripheral surface of the unitary member. A plurality of parallel branch passages or tributaries are formed in each of the six regions.
  • the tributaries are coupled to the plenums and extend outwardly to the peripheral surface of the unitary member.
  • the tributaries and the plenum connected therewith constitute an angle about 30 degrees.
  • An inlet passage is formed and coupled to the junction of the plenums.
  • the inlet passage extends upwardly and through the upper surface of the unitary member to couple to a gas source via a conduit.
  • a plurality of vertical outlet passages are formed along the path of each of the tributaries. The outlet passages extend downwardly and through the lower surface of the unitary member for directing gases into a process region.
  • the three internal gas distribution networks are arranged at different elevations within the unitary member in such a manner so that the inlet and outlet passages of one internal gas distribution network offset the inlet and outlet passages of the other two internal gas distribution network. In other words, the corresponding inlet and out passages are not overlapped when viewed from the top or bottom of the unitary member.

Abstract

The present invention provides a gas distribution apparatus useful in semiconductor manufacturing. The gas distribution apparatus comprises a unitary member and a gas distribution network formed within the unitary member for uniformly delivering a gas into a process region. The gas distribution network is formed of an inlet passage extending upwardly through the upper surface of the unitary member for connecting to a gas source, a plurality of first passages converged at a junction and connected with the inlet passage at the junction, a plurality of second passages connected with the plurality of first passages, and a plurality of outlet passages connected with the plurality of second passages for delivering the gas into a processing region. The first passages extend radially and outwardly from the junction to the periphery surface of the unitary member, and the second passages are non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface. The outlet passages extend downwardly through the lower surface of the unitary member for delivering the gas into the processing region.

Description

    CROSS REFERENCES TO RELATED APPLICATIONS
  • This application claims the benefit of and priority to U.S. Provisional Application No. 60/475,079 filed May 30, 2003, the disclosure of which is hereby incorporated by reference in its entirety. This application is a continuation application of U.S. patent application Ser. No. 10/854,869 filed on May 26, 2004.
  • FIELD OF THE INVENTION
  • The present invention relates generally to the field of semiconductor equipment and processing. More specifically, the present invention relates to a gas distribution apparatus useful in semiconductor fabrication.
  • BACKGROUND OF THE INVENTION
  • Wafer processing reactor systems and methods are widely used in the manufacture of semiconductors and integrated circuits. One particular type of wafer processing system utilizes chemical vapor deposition (CVD) to deposit films or layers on the surface of a substrate as a step in the manufacture of semiconductors and integrated circuits. In CVD processes that require multiple gases, the gases are generally combined within a mixing chamber. The gaseous mixture is then coupled through a conduit to a distribution plate or showerhead, which contains a plurality of holes such that the gaseous mixture is evenly distributed into a process region. As the gaseous mixture enters the process region and is infused with energy such as being heated, a chemical reaction occurs between the gases to form a film on a substrate proximate the processing region.
  • Although it is generally advantageous to mix gases prior to delivery into a process region to ensure that the gases are uniformly distributed into the process region, gases tend to begin reacting within the mixing chamber. Consequently, deposition or etching of the mixing chamber, conduits and other chamber components may occur prior to the gaseous mixture reaching the process region. Additionally, reaction by-products and deposits may accumulate in the chamber gas delivery components.
  • Some semiconductor processes require delivery of gases into a process region in a sequential manner without premixing. For example, in an atomic layer deposition (ALD) process, which increasingly becomes an alternative to CVD processes, each reactant gas is independently introduced into a reaction chamber through, for example, a showerhead, so that no gas phase intermixing occurs. A monolayer of a first reactant is physi- or chemi-sorbed onto a substrate surface. After the excess first reactant is evacuated from the reaction chamber, a second reactant is then introduced through the showerhead to the reaction chamber and reacts with the first reactant to form a monolayer of the desired film via a self-limiting surface reaction. A desired film thickness is obtained by repeating the deposition cycle as necessary. It is advantageous to introduce the first and second reactants independently and separately through the showerhead to avoid any reaction between the reactants in the showerhead.
  • Therefore, in either a CVD or an ALD process, it is desired to maintain gases in separate passageways within a showerhead until they exit the showerhead into a process region.
  • To distribute process gases from a single inlet port to a multitude of outlet holes, gas distribution networks created in a showerhead body may be used. For example, a plurality of parallel channels can be formed in a unitary showerhead body from which a multitude of perpendicular outlet channels deliver process chemicals into a process region. The parallel channels are intersected perpendicularly by a single transverse plenum connected to a central gas source inlet line. Process gas passes from the inlet to the outlets of the showerhead by following a “Cartesian” path by flowing laterally along the transverse plenum, transverse through the parallel channels, and the outlet channels into the process region.
  • A disadvantage of this design is that there is a large variation in total flow path to reach points of constant radius within the showerhead. As a result, there is typical a large variation in backpressure within the interior flow channels that result in an unacceptable azimuthal and radial variation in outlet gas flow velocity from the multitude of outlet holes. Furthermore, in showerhead designs with a single central gas inlet, there exists an unavoidable time lag between the gases that exist near the center of the showerhead and those existing at the outer perimeter. The large variation in total flow path at points of constant radius inherent with Cartesian-style flow networks creates a “phase error” that may lead to non-uniform chemical concentrations around the perimeter of the showerhead which may affect deposition in transient-flow processes.
  • To minimize the azimuthal variation in time-lag, radially oriented channels that converge at the center gas inlet may be employed instead of a multitude of parallel channels. However, this type of design leads to a decreasing outlet hole density (hole per square centimeter) due to the divergence of the radial passages. This may be compensated somewhat by additional radial passages at larger radii, however, these require cross-connection to the same source of gas which becomes difficult to do in a truly unit body block of material. Furthermore, it is not apparent that this will yield acceptable flow uniformity either.
  • Therefore, there is a need of a gas distribution system that provides improved uniform outlet velocity distribution and reduced variation in azimuthal time lag between the gases that exit near the center of the showerhead and those existing at the outer perimeter. Further developments in gas distribution apparatus useful in CVD and ALD processes are needed.
  • SUMMARY OF THE INVENTION
  • A gas distribution apparatus useful in semiconductor fabrication is provided. The gas distribution apparatus promotes uniformly delivery of gases into a process region and reduces azimuthal variation in time lag between gas that exits near the center and gas exiting at the outer perimeter of the apparatus.
  • In one embodiment, the present gas distribution apparatus comprises a member and a gas distribution network formed within the unitary member for uniformly delivering a gas into a process region. The member can be a unitary member. The gas distribution network is formed of an inlet passage extending upwardly through the upper surface of the unitary member for connecting to a gas source. A plurality of first passages converge at a junction and interconnect with the inlet passage at the junction. A plurality of second passages are connected with the plurality of first passages, and a plurality of outlet passages are connected with the plurality of second passages for delivering the gas into a processing region. The first passages extend radially and outwardly from the junction to the periphery surface of the unitary member. The second passages are non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface. The outlet passages extend downwardly through the lower surface of the unitary member for delivering the gas into the processing region.
  • In one embodiment, the first passages are comprised of four orthogonal coordinate passages dividing the gas distribution network into four regions or quadrants. The second passages in each of the quadrants are parallel with each other. In opposite two quadrants, the first and second passages are symmetrically arranged. In adjacent two quadrants, the second passages on both sides of a common first passage are staggeredly arranged. The first and second passages constitute an angle from about 30 to about 45. In one embodiment, the angle is about 45 degrees.
  • In another embodiment, the first passages are comprised of six passages, and adjacent two passages form an angle of about 60 degrees.
  • Generally, the first passages have a diameter larger than the diameter of the second passages. The second passages have a diameter larger than the diameter of the outlet passages. In one embodiment, the diameter of the first passages is in the range from about 5 to about 15 mm, the diameter of the second passages is in the range from about 3 to about 12 mm, and the outlet diameter is in the range from about 0.25 to about 2.5 mm.
  • In another embodiment, the outlet passages are substantially cylindrical and adapted to receive inserts to alter the size of and/or direction of gases exiting the outlets into a process region. In a further embodiment, the outlet passages are provided with threads for receiving the inserts.
  • In one embodiment, the present gas distribution system comprises a unitary cylindrical member and two independent gas distribution networks formed within the unitary member. Each of the gas distribution networks is formed of an inlet passage extending upwardly through the upper surface of the unitary member for connecting to a gas source, a plurality of co-planar first passages converged at a junction and interconnected with the inlet passage at the junction, a plurality of second passages connected with the plurality of first passages, and a plurality of outlet passages connected with the plurality of second passages and extending downwardly through the lower surface of the unitary member for delivering the gas into the processing region. The first passages extend radially and outwardly from the junction to the periphery surface of the unitary member. The second passages are co-planar with and non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface. The first and second passages of each of the gas distribution networks are formed at different elevations within the unitary member and the inlet passages of each of the gas distribution networks offset each other. The two independent gas distribution networks are not in fluid communication within the unitary member. In one embodiment, the first passages of each of the gas distribution networks are comprised of four orthogonal coordinate passages. In another embodiment, the outlet passages of each of the two gas distribution networks extend through the lower surface in an alternate and even configuration. In a further embodiment, the two gas distribution networks have substantially the same dimensions and configurations.
  • In one embedment, the present gas distribution system comprises a unitary cylindrical member having an upper surface, a lower surface and a periphery surface, and three independent gas distribution networks formed within the unitary member. Each of the gas distribution networks is formed of an inlet passage, a plurality of first passages, a plurality of second passages connected with the first passages, and a plurality of outlet passages connected with the second passages. The inlet passage extends upwardly through the upper surface for connecting to a gas source. The first passages converge at a junction and is interconnected with the inlet passage at the junction. The first passages extend radially and outwardly from the junction to the periphery surface. The second passages are co-planar with and non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface. The outlet passages are connected with the second passages and extend downwardly through the lower surface for delivering the gas into the processing region. The first and second passages of each of the three gas distribution networks are formed at different elevations within the unitary member and the inlet passages of each of the three gas distribution networks offset each other. The three independent gas distribution networks are not in fluid communication within the unitary member. In one embodiment, the first passages of each of the three gas distribution networks are comprised of six passages, and adjacent two passages form an angle of about 60 degrees. The three gas distribution networks may have substantially the same dimensions and configurations.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Other objects and advantages of the present invention become apparent upon reading of the detailed description of the invention provided below and upon reference to the drawings in which:
  • FIG. 1 is a schematic view of a semiconductor reactor system including a gas distribution apparatus in accordance with one embodiment of the present invention.
  • FIG. 2 is an external view of a gas distribution apparatus machined from a unitary member in accordance with one embodiment of the present invention.
  • FIG. 3 shows an internal gas distribution network formed within a unitary member in accordance with one embodiment of the present invention.
  • FIG. 4 is an external view of a gas distribution apparatus showing outlet passages through the bottom surface of a unitary member in accordance with one embodiment of the invention.
  • FIG. 5 is a bubble plot showing outlet velocities in the geometry of a prior art showerhead.
  • FIG. 6 is a bubble plot showing outlet velocities in the geometry of a gas distribution apparatus in accordance with one embodiment of the present invention.
  • FIG. 7 is a plot showing internal and external path length ratios in a prior art showerhead.
  • FIG. 8 is a plot showing internal and external path length ratios in a gas distribution apparatus in accordance with one embodiment of the present invention.
  • FIG. 9 shows two internal gas distribution networks formed within a unitary member in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A gas distribution apparatus useful in semiconductor fabrication is provided. In general, the gas distribution apparatus of the present invention comprises a unitary member and one or more gas distribution networks formed within the unitary member for uniformly delivering gases into a process region.
  • Referring to the drawings where like components are designated by like reference numerals, the present gas distribution apparatus is described in more detail.
  • FIG. 1 schematically shows a semiconductor wafer processing reaction chamber 10, for example, an atomic layer deposition (ALD) reactor or a CVD reactor that includes a showerhead 12 of the present invention. It should be noted that the reactor 10 shown in FIG. 1 is for illustrative purpose only and not intended to limit the scope of the invention in any way. The showerhead described below can be used in any other system where uniform gas distribution into a process region is desired. The reactor 10 includes an enclosure 14 defining a processing region 16. A substrate 18, such as a semiconductor wafer, is maintained proximate the process region 16 on a pedestal 20. The pedestal 20 moves vertically within the enclosure 14 to a position that allows the substrate 18 to be removed. While in the lowered position, a new substrate 18 is placed on the pedestal 20. The pedestal 20 is then raised into a process position, which places the substrate proximate the process region 16. Process gases are supplied through the showerhead 12. The showerhead 12 forms a lid of the reactor 10. In one embodiment of the present invention, for example, in a CVD process, two gases (Gas 1 and 2) are independently and separately supplied to the showerhead 12. The two gases are distributed to the process region 16 via two separate gas distribution networks 21 formed in the showerhead 12. These gases react and form a deposit on the substrate 18. In another embodiment of the present invention, for example, in an ALD process, a first reactant gas (Gas 1) is introduced into the process region 16 via a gas distribution network formed within the showerhead 12. After a monolayer of a first reactant gas is physi- or chemi-sorbed onto the substrate surface, the excess first reactant gas is evacuated from the reaction chamber 10 with the aid of an inert purge gas. A second reactant (Gas 2) is then introduced to the process region 16 via a separate gas distribution network formed within the showerhead. The second reactant gas reacts with the first reactant forming a monolayer of the desired film via a self-limiting surface reaction. The excess second reactant is then evacuated with the aid of an inert purge gas. A desired film thickness is obtained by repeating the deposition cycle as necessary.
  • The showerhead 12 is preferably a unitary member 13, as shown in FIG. 2, and has one or more gas distribution networks 21, as shown in FIG. 3, formed within the unitary member 13. Member 13 can also be two or more blocks combined together. To simplify description of the invention, a single gas port 22 and a single gas distribution network 21 are shown in FIGS. 2 and 3. It should be noted that two or three gas distribution networks 21 can be independently formed at different elevations within the unitary member 13, and two or three gas ports 22 can be provided to independently and separately supply gases into the two or three gas distribution networks 21. The two or three gas distribution networks 21 are not interconnected within the unitary member 13 so that two or three gases are independently and separately introduced into a process region 16 without premixing. In one embodiment where two or three gas distribution networks 21 are formed within the unitary member 13, two or three gases can be simultaneously supplied into the showerhead 12 from separate gas sources (not shown). Since the two or three gas distribution networks 21 are not in fluid communication with each other within the unitary member 13, the two or three gases are not mixed until they exit the showerhead 12 into the process region 16. Alternatively, two or three gases are supplied into the process region 16 sequentially via the two or three gas distribution networks 21 within the unitary member 13 to meet specific process requirements, for example, in an atomic layer deposition process.
  • Member 13 is preferably machined from a block of aluminum, stainless steel, nickel-based alloys, or any material that does not react with the particular gases being supplied into the showerhead 12. The unitary member 13 can be in a cylindrical shape or any shape suitable as a lid for the reactor 10. The unitary member 13 comprises an upper surface 23, a lower surface 24, and a peripheral surface 25. A plurality of channels, passages or holes are formed within the unitary member 13 to form a gas distribution network 21. Various manufacturing techniques known in the art can be used to form the channels, passages or holes, such as electric discharge drilling, mechanical drilling, pressurized reactant drilling, water jet cutting, and the like. In one embodiment, these channels or passages are formed by mechanical drilling and/or an electrode discharge machine (EDM).
  • FIG. 3 shows a gas distribution network 21 formed within the unitary member 13. For clarity, only channels, passages, or holes forming the gas distribution network 21 are shown in FIG. 3. The remaining solid materials that define these channels, passages or holes of the gas distribution network 21 are not shown in FIG. 3.
  • As illustrated in FIG. 3, the gas distribution network 21 comprises an inlet passage 26. The inlet passage 26 is coupled to a gas source (not shown) via a conduit (not shown) for supplying a gas into the gas distribution network 21. The inlet 26 extends upwardly and through the upper surface 23.
  • A plurality of horizontal passages or plenums 28 a-d are formed within the unitary member 13. The horizontal plenums 28 a-d are converged at a junction 30 and extend radially and outwardly to the peripheral surface 25 of the unitary member 13. The horizontal plenums 28 a-d are closed at the peripheral surface 25. The horizontal plenums 28 a-d can be formed by drilling from the peripheral surface 25. The openings on the peripheral surface 25 are closed by for example sealing plugs (not shown) after the plenums 28 a-d are formed. The inlet passage 26 is connected with the plenums 28 a-d via the junction 30. In FIG. 3, four orthogonal coordinate plenums 28 a-d are shown for illustrative purpose. It should be noted that other number of plenums can be formed. For example, six plenums can be formed converging at a junction, and adjacent two plenums constitute an angle of 60 degrees. A gas is introduced via the inlet passage 26 and distributed into the horizontal plenums 28 a-d.
  • A plurality of branch passages or tributaries 32 are formed along the path of each of the horizontal plenums 28 a-d. The tributaries 32 extend from the plenum 28 to the peripheral surface 25 of the unitary member 13. The tributaries 32 are closed at the peripheral surface 25. These branch passages or tributaries 32 are formed at a same elevation with the plenums 28. In one embodiment, such as shown in FIG. 3, the gas distribution network 21 is divided into four regions or quadrants 34 a-d by four orthogonal coordinate plenums 28 a-d. An array of parallel tributaries 32 are formed in each of the quadrants 34 a-d. The length of each of individual tributary 32 is determined to define a desired gas distribution configuration. In one embodiment where a substantially circular distribution configuration is desired, each individual tributary 32 extends outwardly and closed at a location that is substantially equally distant from the peripheral surface 25 of the cylindrical member 13. Other distribution configurations such as a square pattern can be defined by varying the length of the plenums 28 a-d, tributaries 32, and outlets 36 described below.
  • In opposite two quadrants such as 34 a and 34 c, or 34 b and 34 d, the configuration of tributaries 32 are symmetrical. In adjacent two quadrants such as 34 a and 34 b, or 34 a and 34 c, the tributaries 32 formed along a common plenum such as 28 a or 28 b are staggered and angled from the plenum. In one embodiment, each tributary 32 forms an acute angle with the plenum 28 of about 45 degrees. This angle can be determined by the geometrical requirements imposed by the number of gas distribution networks and the desired outlet hole patterns.
  • Along the path of each of the tributaries 32, an array of passages or outlets 36 are formed for distributing gases into a process region 16. The outlets 36 extends downwardly and through the lower surface 24 of the unitary member 13 as shown in FIG. 4. The passages of the outlets 36 can be straight and cylindrical. Alternatively, the passages of the outlets 36 comprise a first portion proximate the tributary 32 and a second portion distant the tributary 32. The first portion of the outlet passage may have a larger or smaller diameter than that of the second portion to control the back pressure of the outlets 36 according to process requirements. The outlet passages may also be provided with threads for receiving inserts that are designed to alter the size of the outlet or direction of gases exiting the outlet into the process region. U.S. application Ser. No. ______ (Attorney Docket No. A-72314) entitled “Adjustable Gas Distribution System” filed concurrently with this application discloses embodiments of inserts that can be used in the present gas distribution system, the disclosure of which is hereby incorporated by reference in its entirety.
  • The diameters of the plenums 28 a-d, tributaries 32, and outlets 36 are selected to provide a desired outlet velocity. In one embodiment, the diameters of the plenums 28 a-d are larger than those of the tributaries 32, and the diameters of the tributaries 32 are larger than those of the outlets 36. Small outlet diameters create resistance to gas flow so as to sustain smaller variation in back pressure among all of the outlets. Large plenum and tributary diameters assist in this effect which is desirable. Typically, if the backing pressure is uniform among all the outlets, the outlet velocities are also uniform. However, it is desirable not to make the outlets too small as this may lead to “jetting” of gases, which is undesirable in semiconductor processes. The diameters of the outlets 36 can be uniform throughout the entire distribution region. Alternatively, the diameters of the outlets 32 differ to provide an inner region with a larger diameters and an outer region with smaller diameters.
  • In one embodiment, the diameter of the plenums 28 a-d is selected in the range from about 5 mm to about 15 mm, the diameter of the tributaries 32 in the range from about 3 mm to about 12 mm, and the diameter of the outlets 36 in the range from about 0.25 mm to about 2.5 mm. In another embodiment, the diameter of the plenums 28 a-d is selected in the range from about 9 mm to about 12 mm, the diameter of the tributaries 32 in the range from about 6 mm to about 9 mm, and the diameter of the outlets 36 in the range from about 1 mm to about 1.5 mm.
  • For clarity and simplicity, only some tributaries, outlets and plenums are shown in FIG. 3 for illustrative purpose. It should be noted that numerous tributaries and outlets can be formed to provide desired outlet density for specific processes. For example, the number of plenums, tributaries and outlets are selected to provide an outlet density of about 1 hole per 2 square centimeters.
  • Table 1 summarizes the modeling results for the present gas distribution system as analyzed in computational fluid dynamics (CFD) simulations.
    TABLE 1
    Plenum Tributary Outlet
    Diameter Diameter Diameter Range/ Max/
    Design No. (mm) (mm) (mm) Average Min
    1 10.0 8.0 1.5 0.131 1.138
    2 10.0 8.0 1.5 0.133 1.140
    3 8.0 8.0 1.5 0.203 1.220
    4 9.0 6.0 1.5 0.268 1.287
    5 8.0 8.0 1.5 0.290 1.328
    6 10.0 6.0 1.5 0.337 1.364
  • In Table 1, Max/Min refers to the ratio of maximum to minimum outlet velocity. Range/Average refers to the ratio of Max/Min value to the average gas flow velocity. The values of Max/Min and Range/Average are used to rank the performance of the gas distribution systems. Small values of Max/Min and Range/Average are desired for uniform distribution of gases into a process region.
  • Table 1 demonstrates a much better performance of the present gas distribution apparatus over prior art showerheads. In a prior art showerhead of the Cartesian style network type, the Max/Min ratio was tested and found to be 3.584, which means that the variation of the outlet velocity is as high as more than 350%, and the Range/Average was 1.50, or 150%. In comparison, the Max/Min ratios for the gas distribution system of the present invention range only from 1.220 to 1.364, and the Range/Average ratios range only from 0.131 to 0.337.
  • FIG. 5 is a bubble plot that shows the outlet velocities in the geometry of a prior art showerhead. FIG. 6 is a bubble plot that shows the outlet velocities in the geometry of the present gas distribution system as defined by design 5 in Table 1. As shown in FIG. 5, the bubbles in the outer perimeter regions are obviously smaller than those in the inner region. In other words, the flow velocities in the inner region are greater than those in the outer perimeter region. FIG. 6 shows an improvement of the flow velocity provided by the gas distribution system of the present invention. FIG. 6 demonstrates a substantially uniform outlet velocities regardless of the distance from the center region.
  • One advantage of the present gas distribution system is a smaller transit time variation in the polar directions over that of the prior art showerhead design. FIGS. 7 and 8 compare the internal and external path length in the present gas distribution system and prior art showerhead. In FIGS. 7 and 8, x-axis represents outlet perimeter positions, and y-axis represents the length ratio of an internal path for a gas traveling to an outlet at the outer parameter and an external path that the gas would travel from the center junction radially and outwardly towards that same outlet. In the present gas distribution system illustrated in FIG. 3, the internal/external path ratio at perimeter positions G and H is close to 1 due to the radial design, as shown in FIG. 8. In a prior art showerhead, the internal/external path ratio at a equivalent perimeter positions can be as high as 1.4, as shown in FIG. 7. FIGS. 7 and 8 demonstrate that the present gas distribution system greatly reduces the azimuthal variation in time lag between gases that exit near the center of the showerhead and those exiting at the outer perimeter. This in turn enhances gas distribution uniformity into a process region, which is desirable in semiconductor manufacturing.
  • In one embodiment, two internal gas distribution networks are formed at different elevations within a unitary member to independently and separately supply two gases into a process region. Each of the two internal gas distribution networks is described above with reference to FIGS. 2-8. The two internal gas distribution networks are not in fluid communication within the unitary member. The configuration of the two internal gas distribution networks can be substantially the same. In one embodiment as shown in FIG. 9, each of the two internal gas distribution networks is divided into four regions or quadrants by four orthogonal coordinate main passages or plenums 54 a-d and 64 a-d. The four plenums converge at a junction and extend radially and outwardly to the peripheral surface of the unitary member. A plurality of parallel branch passages or tributaries 58 and 68 are formed in each of the quadrants. The tributaries 58 and 68 are coupled to the plenums 54 a-d and 64 a-d respectively and extend outwardly to the peripheral surface of the unitary member. The tributaries and the plenum connected therewith constitute an angle about 45 degrees. An inlet passage is formed and coupled to the junction of the plenums. The inlet passage extends upwardly and through the upper surface of the unitary member to couple to a gas source via a conduit. A plurality of vertical outlet passages 59 and 69 are formed along the path of each of the tributaries 58 and 68 respectively. The outlet passages 59 and 69 extend downwardly and through the lower surface of the unitary member for directing gases into a process region.
  • The two internal gas distribution networks are arranged at different elevations within the unitary member in such a manner so that the plenums, tributaries, inlet and outlet passages of one internal gas distribution network offset corresponding plenums, tributaries, inlet and outlet passages of another internal gas distribution network. In other words, the corresponding plenums, tributaries, and inlet and outlet passages are not overlapped when viewed from the top or bottom of the unitary member. When viewed from the bottom of the unitary member, the outlet passages of each of the internal gas distribution networks extend through the bottom surface in an alternative and even configuration.
  • In a further embodiment, three internal gas distribution networks are formed at different elevations within a unitary member to independently and separately supply three gases into a process region. Each of the three internal gas distribution networks is described above with reference to FIGS. 2-8. The three internal gas distribution networks are not in fluid communication within the unitary member. The configuration of the three internal gas distribution networks can be substantially the same. In one embodiment, each of the three internal gas distribution networks is divided into six regions by six main passages or plenums. The six plenums converge at a junction and extend radially and outwardly to the peripheral surface of the unitary member. A plurality of parallel branch passages or tributaries are formed in each of the six regions. The tributaries are coupled to the plenums and extend outwardly to the peripheral surface of the unitary member. The tributaries and the plenum connected therewith constitute an angle about 30 degrees. An inlet passage is formed and coupled to the junction of the plenums. The inlet passage extends upwardly and through the upper surface of the unitary member to couple to a gas source via a conduit. A plurality of vertical outlet passages are formed along the path of each of the tributaries. The outlet passages extend downwardly and through the lower surface of the unitary member for directing gases into a process region.
  • The three internal gas distribution networks are arranged at different elevations within the unitary member in such a manner so that the inlet and outlet passages of one internal gas distribution network offset the inlet and outlet passages of the other two internal gas distribution network. In other words, the corresponding inlet and out passages are not overlapped when viewed from the top or bottom of the unitary member.
  • As described above, a gas distribution apparatus has been provided by the present invention. The foregoing description of specific embodiments of the invention have been presented for the purpose of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications, embodiments, and variations are possible in light of the above teaching. It is intended that the scope of the invention be defined by the claims appended hereto and their equivalents.

Claims (16)

1. A gas distribution apparatus, comprising:
a member having an upper surface, a lower surface and a periphery surface; and
a gas distribution network formed within said member for uniformly delivering a gas into a process region, said gas distribution network being formed of:
an inlet passage extending upwardly through said upper surface for connecting to a gas source;
a plurality of first passages converged at a junction and connected with said inlet passage at the junction, said first passages extend radially and outwardly from the junction to the periphery surface;
a plurality of second passages connected with said plurality of first passages, said second passages are non-perpendicular to said first passages and extend outwardly from said first passages to the periphery surface; and
a plurality of outlet passages connected with said plurality of second passages and extending downwardly through said lower surface for delivering the gas into the processing region.
2. The apparatus of claim 1 wherein said member is cylindrical unitary member.
3. The apparatus of claim 1 wherein said plurality of first and second passages are co-planar.
4. The apparatus of claim 1 wherein said plurality of second passages between adjacent two passages are in parallel.
5. The apparatus of claim 1 wherein said second passages are angled from the first passage connected therewith from about 30 to about 45 degree.
6. The apparatus of claim 5 wherein said second passages are angled from the first passage in about 45 degree.
7. The apparatus of claim 1 wherein said second passages connected on both sides of a common first passage are staggeredly arranged.
8. The apparatus of claim 1 wherein said first passages are comprised of four orthogonal coordinate passages
9. The apparatus of claim 1 wherein said first passages are comprised of six passages, and adjacent two passages form an angle of about 60 degree.
10. The apparatus of claim 1 wherein the first passages have a first diameter, the second passages have a second diameter, and the outlet passages have an outlet diameter, where the first diameter is larger than the second diameter, and the second diameter is larger than the outlet diameter.
11. The apparatus of claim 10 wherein the first diameter is selected in the range from about 5 to about 15 mm, the second diameter in the range from about 3 to about 12 mm, and the outlet diameter in the range from about 0.25 to about 2.5 mm.
12. The apparatus of claim 1 wherein the outlets have a substantially constant density on the lower surface of the unitary member.
13. The apparatus of claim 1 wherein the outlet passages are substantially cylindrical.
14. The apparatus of claim 1 wherein the outlet passages are formed of a first portion having a smaller diameter and a second portion having a greater diameter.
15. The apparatus of claim 1 wherein the outlet passages is provided with threading for receiving inserts to alter the size of and/or the direction of the gas exiting the outlet passages.
16. A gas distribution apparatus comprising:
a plurality of first passages; and
a plurality of second passages coupled to said plurality of first passages;
wherein the first passages are comprised of four quadrants, and where in two opposite quadrants the first and second passages are symmetrically arranged and in two adjacent quadrants the second passages on both sides of a common first passage are staggeridly arranged.
US11/142,087 2003-05-30 2005-05-31 Gas distribution system Abandoned US20050217580A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/142,087 US20050217580A1 (en) 2003-05-30 2005-05-31 Gas distribution system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US47507903P 2003-05-30 2003-05-30
US10/854,869 US6921437B1 (en) 2003-05-30 2004-05-26 Gas distribution system
US11/142,087 US20050217580A1 (en) 2003-05-30 2005-05-31 Gas distribution system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/854,869 Continuation US6921437B1 (en) 2003-05-30 2004-05-26 Gas distribution system

Publications (1)

Publication Number Publication Date
US20050217580A1 true US20050217580A1 (en) 2005-10-06

Family

ID=33511648

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/854,869 Expired - Fee Related US6921437B1 (en) 2003-05-30 2004-05-26 Gas distribution system
US10/856,584 Abandoned US20050109460A1 (en) 2003-05-30 2004-05-27 Adjustable gas distribution system
US11/142,087 Abandoned US20050217580A1 (en) 2003-05-30 2005-05-31 Gas distribution system

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/854,869 Expired - Fee Related US6921437B1 (en) 2003-05-30 2004-05-26 Gas distribution system
US10/856,584 Abandoned US20050109460A1 (en) 2003-05-30 2004-05-27 Adjustable gas distribution system

Country Status (7)

Country Link
US (3) US6921437B1 (en)
EP (2) EP1629522A4 (en)
JP (2) JP2007525822A (en)
KR (2) KR20060011887A (en)
CN (2) CN101068950A (en)
TW (2) TW200507023A (en)
WO (2) WO2004109761A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US20100272895A1 (en) * 2007-09-28 2010-10-28 Tokyo Electron Limited Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
US20100288439A1 (en) * 2007-09-06 2010-11-18 Tokyo Electron Limited Top plate and plasma process apparatus employing the same
US20110186228A1 (en) * 2010-02-02 2011-08-04 Hermes-Epitek Corporation Showerhead
US20120263877A1 (en) * 2009-08-24 2012-10-18 Gerhard Karl Strauch CVD Reactor Having Gas Inlet Zones that Run in a Strip-Like Manner and a Method for Deposition of a Layer on a Substrate in a CVD Reactor of this Kind
US20140231550A1 (en) * 2013-02-15 2014-08-21 Aixtron Se Gas distributor for a CVD reactor
US9206513B2 (en) 2009-11-20 2015-12-08 Kyocera Corporation Apparatus for forming deposited film
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US11268192B2 (en) * 2018-06-22 2022-03-08 Samsung Display Co, Ltd. Thin film processing apparatus and thin film processing method
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
CN101068950A (en) * 2003-05-30 2007-11-07 阿维扎技术公司 Gas distribution system
KR101070353B1 (en) * 2003-06-25 2011-10-05 주성엔지니어링(주) Gas injector for use in semiconductor fabrication apparatus
TW200526800A (en) * 2003-12-15 2005-08-16 Applied Materials Inc Edge flow faceplate for improvement of CVD film properties
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR100782369B1 (en) * 2004-11-11 2007-12-07 삼성전자주식회사 Device for making semiconductor
DE102005004312A1 (en) * 2005-01-31 2006-08-03 Aixtron Ag Gas distributor for a chemical vapor deposition or organic vapor phase deposition reactor is characterized in that process gases are distributed radially in a first plane and then circumferentially in a second plane
KR100600051B1 (en) * 2005-02-22 2006-07-13 주식회사 하이닉스반도체 Apparatus of atomic layer deposition and method for fabrication of tertiary thin film using the same
KR100854995B1 (en) * 2005-03-02 2008-08-28 삼성전자주식회사 High density plasma chemical vapor deposition apparatus
KR101153161B1 (en) * 2005-04-01 2012-06-18 주성엔지니어링(주) Gas injector and Apparatus including the same for fabricating Liquid Crystal Display Device
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20080318431A1 (en) * 2005-11-08 2008-12-25 Tohoku University Shower Plate and Plasma Treatment Apparatus Using Shower Plate
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
JP2008047869A (en) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk Shower plate and its fabrication process, plasma processing equipment employing it, plasma processing method and process for fabricating electronic device
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
JP5463536B2 (en) * 2006-07-20 2014-04-09 北陸成型工業株式会社 Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
JP5010234B2 (en) * 2006-10-23 2012-08-29 北陸成型工業株式会社 Shower plate in which gas discharge hole member is integrally sintered and manufacturing method thereof
CN101611472B (en) * 2007-01-12 2015-03-25 威科仪器有限公司 Gas treatment systems
US8100082B2 (en) 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
KR100857807B1 (en) * 2007-06-21 2008-09-09 주식회사 에스에프에이 Chemical vapor deposition apparatus for flat display
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
JP5008478B2 (en) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 Substrate processing apparatus and shower head
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7744720B2 (en) * 2007-12-06 2010-06-29 Tokyo Electron Limited Suppressor of hollow cathode discharge in a shower head fluid distribution system
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US8409459B2 (en) * 2008-02-28 2013-04-02 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
CN101971292B (en) * 2008-04-08 2012-07-18 株式会社岛津制作所 Cathode electrode for plasma cvd and plasma cvd apparatus
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
JP4864057B2 (en) * 2008-09-04 2012-01-25 シャープ株式会社 Vapor growth apparatus and vapor growth method
KR20110074926A (en) * 2008-10-24 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Multiple gas feed apparatus and method
TWI437622B (en) 2008-11-26 2014-05-11 Ind Tech Res Inst Gas shower module
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
CN102414797A (en) * 2009-04-29 2012-04-11 应用材料公司 Method of forming in-situ pre-GaN deposition layer in HVPE
TWI385272B (en) * 2009-09-25 2013-02-11 Ind Tech Res Inst Gas distribution plate and apparatus using the same
US8828182B2 (en) * 2010-02-12 2014-09-09 Applied Materials, Inc. Process chamber gas flow improvements
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
KR101058747B1 (en) 2011-01-07 2011-08-24 주성엔지니어링(주) Gas injection apparatus
TWI534291B (en) 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
JP6038618B2 (en) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
KR20130115849A (en) * 2012-04-13 2013-10-22 삼성전자주식회사 Apparatus of fabricating semiconductor devices
JP6123208B2 (en) * 2012-09-28 2017-05-10 東京エレクトロン株式会社 Deposition equipment
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
CN105441904B (en) * 2014-06-18 2018-06-26 中微半导体设备(上海)有限公司 Gas shower device, chemical vapor deposition unit and method
CN105331953B (en) * 2014-07-23 2019-04-23 北京北方华创微电子装备有限公司 Inlet duct and semiconductor processing equipment
CN105331952B (en) * 2014-07-23 2019-04-23 北京北方华创微电子装备有限公司 Inlet duct and semiconductor processing equipment
FR3029939A1 (en) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog CHEMICAL VAPOR DEPOSITION REACTOR
JP2016169402A (en) * 2015-03-11 2016-09-23 株式会社日立国際電気 Substrate treatment device and method for manufacturing semiconductor device
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
KR102537309B1 (en) 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 Showerhead with reduced backside plasma ignition
CN105349967B (en) * 2015-12-09 2018-02-27 北京北方华创微电子装备有限公司 A kind of gas distributor applied to film deposition techniques
KR102553629B1 (en) 2016-06-17 2023-07-11 삼성전자주식회사 Plasma processing apparatus
CN106191816B (en) * 2016-07-06 2019-04-05 廊坊西波尔钻石技术有限公司 A kind of hot-wire chemical gas-phase deposition furnace disengaging gas gas path device and method
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
CN113366145A (en) * 2019-01-31 2021-09-07 朗姆研究公司 Spray head with adjustable gas outlet
JP2022525108A (en) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド Lid assembly equipment and methods for substrate processing chambers
SE544378C2 (en) * 2020-07-13 2022-04-26 Epiluvac Ab Device and method for achieving homogeneous growth and doping of semiconductor wafers with a diameter greater than 100 mm
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
CN117248193A (en) * 2023-11-16 2023-12-19 江苏微导纳米科技股份有限公司 Coating chamber and coating equipment

Citations (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010842A (en) * 1988-10-25 1991-04-30 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
US5568406A (en) * 1995-12-01 1996-10-22 Gerber; Eliot S. Stolen car detection system and method
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5622606A (en) * 1993-04-22 1997-04-22 Balzers Aktiengesellschaft Gas inlet arrangement
US5754136A (en) * 1995-09-26 1998-05-19 Shimadzu Corporation Rescue aiding apparatus and search system
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus
US5792261A (en) * 1993-12-17 1998-08-11 Tokyo Electron Limited Plasma process apparatus
US5851299A (en) * 1990-12-05 1998-12-22 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5938333A (en) * 1996-10-04 1999-08-17 Amalgamated Research, Inc. Fractal cascade as an alternative to inter-fluid turbulence
US5955952A (en) * 1997-10-24 1999-09-21 Sunset Advertising Enterprises, Inc. Method and system for locating a lost person or lost personal property
US5983238A (en) * 1997-12-26 1999-11-09 Diamond Id Gemstons identification tracking and recovery system
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6034605A (en) * 1998-12-08 2000-03-07 March; Anthony W. System/method for secure storage of personal information and for broadcast of the personal information at a time of emergency
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6089472A (en) * 1997-06-16 2000-07-18 Trikon Equipments Limited Shower head
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6241668B1 (en) * 1998-01-23 2001-06-05 Siemens Aktiengesellschaft Medical system architecture
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6333019B1 (en) * 1999-04-29 2001-12-25 Marc-Olivier Coppens Method for operating a chemical and/or physical process by means of a hierarchical fluid injection system
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US20020039067A1 (en) * 2000-10-03 2002-04-04 Timothy Eubanks Personnel location system
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20020107947A1 (en) * 1999-12-09 2002-08-08 Zephyr Media, Inc. System and method for integration of a universally publicly accessible global network
US20020123236A1 (en) * 2001-01-30 2002-09-05 Tokyo Electron Limited Heat treatment apparatus and method
US6449611B1 (en) * 1999-09-30 2002-09-10 Fred Frankel Business model for recovery of missing goods, persons, or fugitive or disbursements of unclaimed goods using the internet
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6583064B2 (en) * 1998-03-31 2003-06-24 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030194493A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Multi-station deposition apparatus and method
US6703918B1 (en) * 1999-12-09 2004-03-09 Casio Computer Co., Ltd. Portable information equipment, authentication device, authentication system and authentication method
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20040099377A1 (en) * 2002-11-27 2004-05-27 International Business Machines Corporation Non-plasma reaction apparatus and method
US20040104808A1 (en) * 2000-08-14 2004-06-03 Housh Khoshbin Method and system for displaying priority messages on a wireless device
US20040221809A1 (en) * 1999-05-26 2004-11-11 Tadahiro Ohmi Plasma processing apparatus
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US6938333B2 (en) * 2000-09-04 2005-09-06 Dowa Mining Co., Ltd. Method of manufacturing a metal-ceramic circuit board
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627046A (en) * 1969-11-10 1971-12-14 Lynes Inc Method and apparatus for positioning and gravel packing a production screen in a well bore
US3884301A (en) * 1973-11-23 1975-05-20 Texaco Trinidad Method of gravel-packing a high-pressure well
US4522264A (en) * 1983-09-02 1985-06-11 Otis Engineering Corporation Apparatus and method for treating wells
GB8710685D0 (en) * 1987-05-06 1987-06-10 Turbotak Inc Cluster nozzles
US4904621A (en) * 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4846402A (en) * 1988-02-03 1989-07-11 Wheelabrator Air Pollution Control, Inc. Spray nozzle and method of preventing solids build-up thereon
US5042708A (en) * 1990-09-24 1991-08-27 International Business Machines Corporation Solder placement nozzle assembly
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JP3288490B2 (en) * 1993-07-09 2002-06-04 富士通株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
KR950020993A (en) * 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
DE59506358D1 (en) * 1994-03-29 1999-08-12 Schott Glas PCVD METHOD AND DEVICE FOR COATING DOMESTIC SUBSTRATES
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JPH0945624A (en) * 1995-07-27 1997-02-14 Tokyo Electron Ltd Leaf-type heat treating system
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
JP3360265B2 (en) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JP3702068B2 (en) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 Substrate processing equipment
USRE39969E1 (en) * 1997-04-11 2008-01-01 Tokyo Electron Limited Processing system
US5983333A (en) * 1997-08-27 1999-11-09 Lucent Technologies Inc. High speed module address generator
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
JP3480271B2 (en) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 Shower head structure of heat treatment equipment
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6033921A (en) * 1998-04-06 2000-03-07 Advanced Micro Devices, Inc. Method for depositing a material of controlled, variable thickness across a surface for planarization of that surface
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
KR100328820B1 (en) * 1999-02-25 2002-03-14 박종섭 Gas injection apparatus of chemical vapor deposition device
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
KR100378871B1 (en) * 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer

Patent Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010842A (en) * 1988-10-25 1991-04-30 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
US5851299A (en) * 1990-12-05 1998-12-22 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5622606A (en) * 1993-04-22 1997-04-22 Balzers Aktiengesellschaft Gas inlet arrangement
US5792261A (en) * 1993-12-17 1998-08-11 Tokyo Electron Limited Plasma process apparatus
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5754136A (en) * 1995-09-26 1998-05-19 Shimadzu Corporation Rescue aiding apparatus and search system
US5568406A (en) * 1995-12-01 1996-10-22 Gerber; Eliot S. Stolen car detection system and method
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5766364A (en) * 1996-07-17 1998-06-16 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5938333A (en) * 1996-10-04 1999-08-17 Amalgamated Research, Inc. Fractal cascade as an alternative to inter-fluid turbulence
US6089472A (en) * 1997-06-16 2000-07-18 Trikon Equipments Limited Shower head
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US5955952A (en) * 1997-10-24 1999-09-21 Sunset Advertising Enterprises, Inc. Method and system for locating a lost person or lost personal property
US5983238A (en) * 1997-12-26 1999-11-09 Diamond Id Gemstons identification tracking and recovery system
US6241668B1 (en) * 1998-01-23 2001-06-05 Siemens Aktiengesellschaft Medical system architecture
US6583064B2 (en) * 1998-03-31 2003-06-24 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6034605A (en) * 1998-12-08 2000-03-07 March; Anthony W. System/method for secure storage of personal information and for broadcast of the personal information at a time of emergency
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6333019B1 (en) * 1999-04-29 2001-12-25 Marc-Olivier Coppens Method for operating a chemical and/or physical process by means of a hierarchical fluid injection system
US20040221809A1 (en) * 1999-05-26 2004-11-11 Tadahiro Ohmi Plasma processing apparatus
US6449611B1 (en) * 1999-09-30 2002-09-10 Fred Frankel Business model for recovery of missing goods, persons, or fugitive or disbursements of unclaimed goods using the internet
US6703918B1 (en) * 1999-12-09 2004-03-09 Casio Computer Co., Ltd. Portable information equipment, authentication device, authentication system and authentication method
US20020107947A1 (en) * 1999-12-09 2002-08-08 Zephyr Media, Inc. System and method for integration of a universally publicly accessible global network
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US20040104808A1 (en) * 2000-08-14 2004-06-03 Housh Khoshbin Method and system for displaying priority messages on a wireless device
US6938333B2 (en) * 2000-09-04 2005-09-06 Dowa Mining Co., Ltd. Method of manufacturing a metal-ceramic circuit board
US20020039067A1 (en) * 2000-10-03 2002-04-04 Timothy Eubanks Personnel location system
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US6590186B2 (en) * 2001-01-30 2003-07-08 Tokyo Electron Limited Heat treatment apparatus and method
US20020123236A1 (en) * 2001-01-30 2002-09-05 Tokyo Electron Limited Heat treatment apparatus and method
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030194493A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040099377A1 (en) * 2002-11-27 2004-05-27 International Business Machines Corporation Non-plasma reaction apparatus and method
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US20060151114A1 (en) * 2005-01-11 2006-07-13 Fink Steven T Plasma processing system and baffle assembly for use in plasma processing system

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100288439A1 (en) * 2007-09-06 2010-11-18 Tokyo Electron Limited Top plate and plasma process apparatus employing the same
US8361544B2 (en) 2007-09-26 2013-01-29 Eastman Kodak Company Thin film electronic device fabrication process
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US20100272895A1 (en) * 2007-09-28 2010-10-28 Tokyo Electron Limited Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
US9644267B2 (en) 2007-10-16 2017-05-09 Applied Materials, Inc. Multi-gas straight channel showerhead
WO2009052212A1 (en) * 2007-10-16 2009-04-23 Applied Materials, Inc. Multi-gas straight channel showerhead
US8481118B2 (en) 2007-10-16 2013-07-09 Applied Materials, Inc. Multi-gas straight channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090098276A1 (en) * 2007-10-16 2009-04-16 Applied Materials, Inc. Multi-gas straight channel showerhead
US20120263877A1 (en) * 2009-08-24 2012-10-18 Gerhard Karl Strauch CVD Reactor Having Gas Inlet Zones that Run in a Strip-Like Manner and a Method for Deposition of a Layer on a Substrate in a CVD Reactor of this Kind
US9206513B2 (en) 2009-11-20 2015-12-08 Kyocera Corporation Apparatus for forming deposited film
US8484847B2 (en) * 2010-02-02 2013-07-16 Hermes-Epitek Corporation Method for making a showerhead
US9126214B2 (en) 2010-02-02 2015-09-08 Hermes-Epitek Corporation Showerhead
US20110186228A1 (en) * 2010-02-02 2011-08-04 Hermes-Epitek Corporation Showerhead
US20140231550A1 (en) * 2013-02-15 2014-08-21 Aixtron Se Gas distributor for a CVD reactor
US10221482B2 (en) * 2013-02-15 2019-03-05 Aixtron Se Gas distributor for a CVD reactor
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US11268192B2 (en) * 2018-06-22 2022-03-08 Samsung Display Co, Ltd. Thin film processing apparatus and thin film processing method

Also Published As

Publication number Publication date
CN1830069A (en) 2006-09-06
CN101068950A (en) 2007-11-07
EP1629527A2 (en) 2006-03-01
JP2007525822A (en) 2007-09-06
US20050109460A1 (en) 2005-05-26
EP1629522A4 (en) 2008-07-23
KR20060011887A (en) 2006-02-03
EP1629522A2 (en) 2006-03-01
WO2004112092A3 (en) 2005-09-15
WO2004109761A3 (en) 2006-12-14
TW200510564A (en) 2005-03-16
WO2004112092A2 (en) 2004-12-23
WO2004109761A2 (en) 2004-12-16
TW200507023A (en) 2005-02-16
JP2006526900A (en) 2006-11-24
KR20060003909A (en) 2006-01-11
US6921437B1 (en) 2005-07-26

Similar Documents

Publication Publication Date Title
US6921437B1 (en) Gas distribution system
CN109594061B (en) Gas distribution showerhead for semiconductor processing
US20060021703A1 (en) Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR101373828B1 (en) Method and apparatus for providing uniform gas delivery to a reactor
US7018940B2 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
TW201346065A (en) Gas showerhead, method for making the same and thin film growth reactor
TWI417415B (en) Chemical vapor deposition flow inlet elements and methods
US6206972B1 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
EP0697376B1 (en) Single body injector and method for delivering gases to a surface
US9855575B2 (en) Gas injector and cover plate assembly for semiconductor equipment
CN110093592B (en) Gas nozzle applied to chemical vapor deposition system
CN116716595A (en) Gas spray head and chemical vapor deposition equipment
CN106011789B (en) MOCVD systems and its reaction gas conveying device
CN213624369U (en) Gas spraying member and thin film deposition apparatus
KR102170451B1 (en) Radical unit device for distributing precursor and reactant gas and atomic layer deposition apparatus including radical unit device therefor
KR200398880Y1 (en) Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR101747648B1 (en) Gas supply unit and thin film deposition apparatus having the same
CN116695097A (en) Gas homogenizing device and semiconductor process equipment
JP2010100925A (en) Vapor deposition apparatus and vapor deposition method
KR20230061451A (en) Gas inlet element of a CVD reactor with two infeed points
WO2023177950A1 (en) Dual plenum showerhead with center to edge tunability
CN112981370A (en) Inner tube of deposition furnace tube, deposition furnace tube and deposition method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION