US20050217577A1 - Vertical type semiconductor device producing apparatus - Google Patents

Vertical type semiconductor device producing apparatus Download PDF

Info

Publication number
US20050217577A1
US20050217577A1 US11/121,919 US12191905A US2005217577A1 US 20050217577 A1 US20050217577 A1 US 20050217577A1 US 12191905 A US12191905 A US 12191905A US 2005217577 A1 US2005217577 A1 US 2005217577A1
Authority
US
United States
Prior art keywords
reaction chamber
process gas
exhaust
gas
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/121,919
Inventor
Kazuyuki Okuda
Yasushi Yagi
Toru Kagaya
Masanori Sakai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to US11/121,919 priority Critical patent/US20050217577A1/en
Publication of US20050217577A1 publication Critical patent/US20050217577A1/en
Priority to US12/155,625 priority patent/US7622396B2/en
Priority to US13/074,835 priority patent/US20110176967A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Definitions

  • the present invention relates to a vertical type semiconductor device producing apparatus, and more particularly, to a vertical type semiconductor device producing apparatus which alternately flows a plurality of kinds of gases contributing to film formation, and forms films on stacked substrates.
  • FIG. 7 shows an atomic layer deposition apparatus (ALD apparatus, hereinafter) which is a conventional semiconductor device producing apparatus which alternatively flows a plurality of kinds of gases contributing to film formation, and forms films.
  • ALD apparatus atomic layer deposition apparatus
  • two kinds of process gases a and b which contribute to film formation are alternately supplied into a reaction chamber 1 , the reaction chamber 1 is exhausted, the gases are adsorbed onto substrates in the reaction chamber 1 and reacted, thereby forming films on the substrates.
  • a gas supply amount of the process gases a and b is determined by controlling the flow rate by mass flow controllers (MFC) 2 and 3 provided in gas supply tubes 7 and 8 .
  • a pressure in the reaction chamber 1 is determined by controlling an exhaust amount by adjusting opening of an exhaust valve 6 provided in the exhaust tube 9 .
  • MFC mass flow controllers
  • Japanese Patent Application Laid-open No. 9-82696 describes a single substrate-feeding type film forming apparatus which keeps flowing oxygen (O) radical into a reaction chamber, TEOS gas is intermittently supplied for about two seconds each, and cohesive films are formed.
  • a gas supply system in which gas is supplied from a TEOS cylinder to a reaction chamber is provided with gas reservoirs 303 and 304 , TEOS gas reserved in the gas reservoirs is supplied to the reaction chamber. Since two gas reservoirs are provided, it is possible to reserve gas in one of the gas reservoirs while the other one is being used, and the throughput is enhanced.
  • the apparatus provided with the gas reservoirs is of single substrate-feeding type in which a capacity of the reaction chamber is small, and the apparatus is not a vertical type apparatus having a large capacity of the reaction chamber. Further, the apparatus is not the ALD apparatus which alternately supplies process gas a and b into the reaction chamber.
  • a vertical type semiconductor device producing apparatus comprising:
  • a vertical type reaction chamber which is to accommodate a plurality of stacked substrates
  • a first supply path which supplies a first kind of gas, which contributes to film formation, to the reaction chamber
  • a second supply path which supplies a second kind of gas, which contributes to the film formation, to the reaction chamber;
  • a controller which controls the exhaust valve and the first and second gas supply valves such that when the first kind of gas is supplied to the reaction chamber, the first kind of gas is supplied to the reaction chamber from the first supply path in a state in which exhaust of the reaction chamber is being stopped to expose the plurality of substrates in the reaction chamber to the first kind of gas, and when the second kind of gas is supplied to the reaction chamber, the second kind of gas is supplied to the reaction chamber through the second supply path in a state in which the reaction chamber is being exhausted by the vacuum exhaust device to expose the plurality of substrates in the reaction chamber to the second kind of gas.
  • FIG. 1 is a schematic block diagram of a vertical type semiconductor device producing apparatus according to an embodiment
  • FIG. 2 shows an example of gas supply of DCS and NH 3 , and is an explanatory view showing s step for supplying and exhausting the NH 3 into a furnace and reserving DCS into a gas reservoir;
  • FIG. 3 shows an example of gas supply of DCS and NH 3 , and is an explanatory view showing s step for exhausting the furnace and successively reserving DCS into the gas reservoir;
  • FIG. 4 shows an example of gas supply of DCS and NH 3 , and is an explanatory view showing s step for closing an exhaust valve and supplying DCS in the gas reservoir into the furnace;
  • FIG. 5 shows comparative characteristics between the present invention and prior art showing a relation between an adsorbing amount and a film-forming speed
  • FIGS. 6A and 6B are schematic diagrams of a vertical type ALD apparatus according to the embodiment.
  • FIG. 7 is a schematic block diagram of a conventional ALD apparatus.
  • a vertical type semiconductor device producing apparatus comprising:
  • a vertical type reaction chamber which is to accommodate a plurality of stacked substrates
  • a first supply path which supplies a first kind of gas, which contributes to film formation, to the reaction chamber
  • a second supply path which supplies a second kind of gas, which contributes to the film formation, to the reaction chamber;
  • a controller which controls the exhaust valve and the first and second gas supply valves such that when the first kind of gas is supplied to the reaction chamber, the first kind of gas is supplied to the reaction chamber from the first supply path in a state in which exhaust of the reaction chamber is being stopped to expose the plurality of substrates in the reaction chamber to the first kind of gas, and when the second kind of gas is supplied to the reaction chamber, the second kind of gas is supplied to the reaction chamber through the second supply path in a state in which the reaction chamber is being exhausted by the vacuum exhaust device to expose the plurality of substrates in the reaction chamber to the second kind of gas.
  • the first kind of gas is supplied to the reaction chamber in a state in which the exhaust is stopped, thereby bringing the reaction chamber into a pressure-rising state. Therefore, as compared with a technique for rising a pressure in the reaction chamber while controlling the exhaust amount, even in a vertical type ALD having a reaction chamber of large capacity, it is possible to rise the pressure in the reaction chamber for a short time, and to easily obtain the pressure-rise state. As the pressure rising time is shorter and a degree of pressure rise is higher, it is possible to enhance the adsorption to the substrate and the film-forming speed, and the throughput is enhanced.
  • the first supply path has a gas reservoir in which the first kind of gas is reserved, and
  • the controller when the first kind of gas is supplied to the reaction chamber, the controller allows the first kind of gas to flow into the first supply path to reserve the first kind of gas in the gas reservoir, and the controller allows the first kind of gas reserved in the gas reservoir to be supplied to the reaction chamber from the gas reservoir in a state in which the exhaust of the reaction chamber is stopped to expose the plurality of substrates in the reaction chamber to the first kind of gas.
  • the first kind of gas is reserved in the gas reservoir
  • the first kind of gas reserved in the gas reservoir is supplied to the reaction chamber in a state in which the exhaust is stopped, thereby bringing the reaction chamber into the pressure-rise state. Therefore, as compared with a technique in which a pressure in the reaction chamber is increased while controlling the exhaust amount, even in the vertical type ALD having large capacity of the reaction chamber, it is possible to instantaneously rise the pressure in the reaction chamber, and to easily obtain the high pressure-rise state. It is possible to enhance the adsorption to the substrate and the film-forming speed, and the throughput is largely enhanced.
  • FIGS. 6A and 6B show a basic structure of a vertical type ALD apparatus according to the embodiment, wherein FIG. 6A is a schematic vertical sectional view and FIG. 6B is a schematic lateral sectional view.
  • a reaction tube 32 constituting a reaction chamber which processes substrates is provided inside a heater 31 .
  • a lower opening of the reaction tube 32 is air-tightly closed with a seal cap 35 .
  • a boat 39 stands on the seal cap 35 , and the boat 39 is inserted into the reaction tube 32 .
  • a plurality of substrates W are stacked in a multistage manner in an axial direction of the reaction tube. Each of the substrates W is placed horizontally.
  • the heater 31 heats the substrates W in the reaction tube 32 to a predetermined temperature.
  • Two gas supply tubes as supply paths supply a plurality of (two, in this embodiment) gases into the reaction tube 32 .
  • the first gas supply tube 41 is connected one side of the reaction tube 32 without through a remote plasma unit
  • the second gas supply tube 38 is connected to the one side of the reaction tube 32 through a remote plasma unit 37 . Therefore, there exist two kinds of gases supplied to the plurality of substrates W in the reaction tube 32 , i.e., gas which is not excited by plasma and supplied, and gas which is excited by plasma and supplied as active species.
  • the reaction tube 32 is provided at its other side with an exhaust tube 40 as an exhaust path for exhausting the reaction chamber.
  • a vacuum pump (not shown) as vacuum exhaust means is connected to the exhaust tube 40 .
  • a remote plasma unit 37 is connected to a nozzle 30 which stands in the reaction tube 32 along the boat 39 .
  • the nozzle 30 is provided with a large number of access holes 34 along an axial direction of the nozzle such as to be respectively opposed to the large number substrates stacking in the multistage manner.
  • the access holes 34 are formed such that their diameters of the holes 34 are smaller as closer to an upstream side of gas flow and larger as closer to a downstream side of the gas flow so that excited gas or non-excited gas is uniformly supplied from a substrate W at upstream side of gas flow to a substrate W at downstream side of the gas flow.
  • control means for controlling a manner of flowing-the two kinds of gas and a film forming temperature of the substrates W is provided.
  • the control means includes gas-supply control means 43 for controlling the gas flow such as to alternately flow the two kinds of gas repeatedly one kind by one kind, and temperature control means 42 for controlling a film forming temperature by the heater.
  • a method for forming films using the vertical type ALD apparatus having the above-described basic structure will be explained.
  • the reaction gases are DCS (SiH 2 Cl 2 : dichlorsilane) and plasma-processed NH 3 .
  • substrates W on which films are to be formed are mounted to the boat 39 and transferred into the reaction tube 32 (furnace).
  • Si 3 N 4 films are formed.
  • a temperature in the furnace at that time is set to a temperature at which adhesion with a lower film is excellent and films having small interface defect are formed, e.g., 350 to 600° C.
  • an ALD method which alternately flows NH 3 and DCS to form films by one atomic layer by one atomic layer.
  • NH 3 is supplied from the second gas supply tube 38 . Since NH 3 is higher in reaction temperature than DCS, NH 3 is not reacted at the temperature in the furnace.
  • the NH 3 is excited by plasma using the remote plasma unit 37 and is brought into the active species and is allowed to flow so that NH 3 is reacted at the temperature in the furnace.
  • a pressure in the furnace is maintained at relatively low pressure of 30 to 60 Pa and in this state, NH 3 which was brought into the active species by plasma excitation is supplied for 5 to 120 seconds. Gas flowing into the furnace is only NH 3 which is brought into the active species by plasma excitation, and no DCS exists. Therefore, NH 3 which is brought into the active species by plasma excitation does not cause vapor-phase reaction and is reacted in surface with the lower film on the substrate W.
  • DCS is supplied from the first gas supply tube 41 .
  • the exhaust from inside of the furnace is stopped. Since DCS is reacted at the temperature in the furnace, it is unnecessary to excite DCS by plasma.
  • a pressure in the furnace at that time is increased to 266 to 931 Pa which is higher than that when NH 3 is supplied.
  • a step for alternately flowing the NH 3 and DCS is defined as one cycle. By repeating this cycle, a Si 3 N 4 film having a predetermined thickness is formed.
  • the gas is adsorbed in the lower film surface and reacted with the lower film. Therefore, a film having excellent adhesion with the lower film can be obtained, and defect of interface is reduced as compared with the CVD (Chemical Vapor Deposition) method in which two kinds of gas are allowed to flow simultaneously.
  • CVD Chemical Vapor Deposition
  • films can be formed at a reaction temperature by DCS gas which need not the plasma excitation and thus, the films can be formed at a low temperature as low as 350 to 600° C.
  • a conventional vertical type ALD apparatus supplies the gas while controlling the exhaust amount from the reaction chamber.
  • a first gas supply tube 41 is provided with a gas reservoir 21 , and DCS gas is supplied from the gas reservoir 21 .
  • the vertical type ALD adsorbing amount includes a vertical type reaction furnace 20 which processes the large number of stacked substrates W.
  • the reaction furnace 20 is provided with an exhaust tube 40 for exhausting the reaction furnace 20 , a first gas supply tube 41 for supplying DCS to the reaction furnace 20 , and a second gas supply tube 38 for exciting NH 3 by plasma and bringing the same into active species and supplying the same to the reaction furnace 20 .
  • the first gas supply tube 41 through which DCS flows is provided at its intermediate portion with the gas reservoir 21 for reserving DCS.
  • the gas reservoir 21 is a gas tank or a helical tube having greater gas capacity than a usual tube.
  • the upstream side first gas supply tube 41 of the gas reservoir 21 is provided with a first gas supply valve 22 for opening and closing the tube, and the downstream side first gas supply tube 41 is provided with a second gas supply valve 23 for opening and closing the tube.
  • a first gas supply valve 22 or the second gas supply valve 23 By opening and closing the first gas supply valve 22 or the second gas supply valve 23 , DCS gas as the first kind of gas is reserved in the gas reservoir 21 through the first gas supply tube 41 , and the reserved DCS gas is supplied to the reaction furnace 20 .
  • the second gas supply tube 38 is provided with a NH 3 gas supply valve 24 for opening the tube at the upstream side of the remote plasma unit 37 . By opening and closing the gas supply valve 24 , the NH 3 gas as the second kind of gas is supplied to the reaction furnace 20 or the supply of the gas is stopped.
  • the exhaust tube 40 is provided with an exhaust valve 25 for opening and closing the tube or adjusting the opening of the exhaust valve 25 .
  • an exhaust valve 25 for opening and closing the tube or adjusting the opening of the exhaust valve 25 .
  • the first gas supply tube 41 and the second gas supply tube 38 are respectively provided with MFCs (mass flow controllers) 27 and 28 so that flow rate of gas flowing into the first gas supply tube 41 and the second gas supply tube 38 is controlled.
  • the exhaust valve 25 may be a single valve having a function for opening and closing the tube and adjusting the opening of the valve, or may be a plurality of valves including a valve having the opening and closing function and a vale having the opening adjusting function.
  • control means 29 for controlling a pump 26 , the valves 22 to 25 , a heater (not shown) and the like.
  • the control means 29 controls the exhaust valve 25 and the gas supply valves 22 to 24 , flows the DCS gas to the first gas supply tube 41 and reserves the gas in the gas reservoir 21 , and supplies the DCS gas reserved in the gas reservoir 21 to the reaction furnace 20 in a state in which the exhaust of the reaction furnace 20 is stopped, there by bringing the reaction furnace 20 into the pressure-rise state and exposing the substrates W to the DCS gas.
  • the substrates W are exposed to the active species obtained by plasma exciting the NH 3 gas.
  • FIGS. 2 to 4 explain three steps. Solid filled valves are closed, and non-filled valves are opened. First, substrates W on which films are to be formed are mounted to the boat 39 , and the boat 39 is transferred into the furnace. After the transfer, the following three steps are carried out in succession.
  • step 1 shown in FIG. 2 NH 3 gas which needs plasma excitation and DCS gas which does not need plasma excitation are allowed to flow together.
  • NH 3 gas which needs plasma excitation and DCS gas which does not need plasma excitation are allowed to flow together.
  • the valve 24 provided in the gas supply tube 38 and the exhaust valve 25 provided in the exhaust tube 40 are opened, NH 3 is plasma excited by the remote plasma unit 37 and brought into active species and supplied from the gas supply tube 38 into the furnace 20 and in this state, the furnace 20 is exhausted from the exhaust tube 40 .
  • the exhaust valve 25 is appropriately adjusted to set a pressure in the furnace to 10 to 100 Pa, more preferable 30 to 50 Pa.
  • the flow rate of NH 3 to be supplied which is controlled by the MFC 27 is 1,000 to 10,000 sccm.
  • Time during which the substrates W are exposed to the active species obtained by plasma exciting the NH 3 is 2 to 120 seconds.
  • a temperature in the furnace at that time is set to 350 to 600° C. Since NH 3 has a high reaction temperature, NH 3 does not react at the temperature in the furnace, NH 3 is brought into active species by plasma exciting the same by the remote plasma unit 37 , and the active species are allowed to flow toward the downstream side of the valve 24 . Therefore, the process can be carried out while keeping the set low temperature in the furnace.
  • step 2 shown in FIG. 3 the valve 24 of the gas supply tube 38 is closed, the supply of NH 3 is stopped, but supply to the gas reservoir 21 is continued. If a predetermined amount of DCS having a predetermined pressure is reserved in the gas reservoir 21 , the upstream side valve 22 is also closed, and DCS is captured in the gas reservoir 21 .
  • the exhaust valve 25 of the exhaust tube 40 is left opening, the furnace is exhausted to 20 Pa, and remaining NH 3 is discharged from the furnace. At that time, if inert gas such as N 2 is supplied into the furnace, an effect of discharging remaining NH 3 from the furnace is enhanced. DCS is reserved in the gas reservoir 21 so that a pressure therein becomes 20,000 Pa or higher.
  • the apparatus is constituted such that the conductance between the gas reservoir 21 and the reaction furnace 20 becomes 1.5 (10 ⁇ 3 m 3 /s or higher. If a ratio of a capacity of the reaction chamber and a capacity of the gas reservoir required for the former capacity is taken into consideration, when the capacity of the reaction chamber is 100 liters, 100 to 300 cc is preferable. As a capacity ratio, the gas reservoir preferably has 1/1,000 to 3/1,000 times of the capacity of the reaction chamber.
  • step 3 shown in FIG. 4 if the exhaust in the furnace is completed, the valve 25 of the exhaust tube 40 is closed and the exhaust is stopped.
  • the downstream side valve 23 of the first gas supply tube 41 is opened.
  • DCS reserved in the gas reservoir 21 is supplied into the furnace 20 at a dash.
  • a pressure in the furnace abruptly rises to about 931 Pa (7 Torr).
  • Time for supplying DCS is set to 2 to 4 seconds and thereafter, time for exposing to the increased pressure atmosphere is set to 2 to 4 seconds, and total time is 6 seconds.
  • a temperature in the furnace at that time is the same as that when NH 3 is supplied, and is 350 to 600° C.
  • the steps 1 to 3 are defined as one cycle, and this cycle is repeated a plurality of times, thereby forming Si 3 N 4 films having predetermined thickness on the substrates.
  • gas is adsorbed in a surface of the lower film.
  • An adsorbing amount of gas is proportional to gas pressure and gas-exposing time. Therefore, in order to adsorb a desired constant amount of gas in a short time, it is necessary to increase the gas pressure for a short time.
  • the exhaust valve 25 is closed and in this state, DCS reserved in the gas reservoir 21 is instantaneously supplied. Therefore, it is possible to abruptly rise the pressure of DCS in the furnace, and a desired constant amount of gas can instantaneously be adsorbed.
  • DCS is reserved in the gas reservoir 21
  • NH 3 gas is plasma excited and brought into active species and supplied, and the furnace is exhausted as steps required by the ALD method. Therefore, no special step for reserving DCS is not required. Further, since the DCS is allowed to flow after the furnace is exhausted and NH 3 gas is removed, both the gases are not reacted before they reach the substrates. The supplied DCS can effectively be reacted only with NH 3 which is adsorbed in the substrate W.
  • FIG. 5 shows a relation between an absorption amount of gas and a film-forming speed, and shows a comparison between an apparatus structure of the present invention in which DCS is increased in pressure and supplied using a gas reservoir and a conventional apparatus structure in which DCS is supplied while controlling the exhaust state.
  • a lateral axis shows gas molecule adsorbing amount L (Langmuir: product of gas pressure and gas exposing time), and a vertical axis shows a film thickness per one cycle ( ⁇ /cycle). If film-forming speeds per one cycle are compared, even if the gas molecule adsorbing amount L (Langmuir) is the same, the film thickness per one cycle can be increased in the present invention as compared with the conventional apparatus.
  • one gas tank or helical tube is provided as the gas reservoir.
  • the present invention is not limited to this, and a plurality of gas reservoirs may be provided in parallel.
  • the gas reservoir of the invention is not limited to the gas tank or helical tube, and any means may be employed only if gas can be reserved and the gas can be discharged out at a dash.
  • a supply tube of DCS may be thicker than a normal tube, and a capacity of the MFC may be increased correspondingly.
  • a plurality of supply tubes of DCS may be provided. In this case, the number of cylinders which become DCS supply source may be increased in accordance with the number of supply tubes. Since DCS has low vapor pressure, the cylinder may be heated to increase a vaporization amount of DCS. The DCS may forcibly be sent into the furnace using a pump.
  • the present invention is applied to the vertical type semiconductor device producing apparatus, but the invention can also be applied to a producing method of a semiconductor device.
  • the producing method of a semiconductor device vacuum exhaust in the reaction chamber and supply of process gas into the reaction chamber are repeated, substrates stacked in the reaction chamber are processed, first kind of gas is reserved in an intermediate portion of a supply path through which the first kind of gas flows, the exhaust of the reaction chamber is stopped and in this state, the first kind of gas reserved in the intermediate portion of the supply path is supplied to the reaction chamber to bring the reaction chamber into the pressure-rise state, and films are formed on the substrates.
  • the first kind of gas reserved in the gas reservoir is supplied to the reaction chamber in the state in which the exhaust is stopped, the first kind of gas can be supplied instantaneously, and a pressure in the reaction chamber can rise. Therefore, even with a vertical type reaction chamber having great capacity, when the vacuum exhaust in the reaction chamber is switched to the supply of process gas into the reaction chamber, a pressure in the reaction chamber can rise without delay, it is possible to enhance the adsorption to the substrate and the film-forming speed, and the throughput can largely be enhanced.

Abstract

A vertical type semiconductor device producing apparatus comprises a vertical type reaction chamber which is to accommodate a plurality of stacked substrates; an exhaust path which exhausts the reaction chamber, a vacuum exhaust device which exhausts the reaction chamber through the exhaust path; an exhaust valve which opens and closes the exhaust path; a first supply path which supplies a first kind of gas, which contributes to film formation, to the reaction chamber; a second supply path which supplies a second kind of gas, which contributes to the film formation, to the reaction chamber; a first and a second gas supply valves which respectively open and close the first and second supply paths; and a controller which controls the exhaust valve and the first and second gas supply valves such that when the first kind of gas is supplied to the reaction chamber, the first kind of gas is supplied to the reaction chamber from the first supply path in a state in which exhaust of the reaction chamber is being stopped to expose the plurality of substrates in the reaction chamber to the first kind of gas, and when the second kind of gas is supplied to the reaction chamber, the second kind of gas is supplied to the reaction chamber through the second supply path in a state in which the reaction chamber is being exhausted by the vacuum exhaust device to expose the plurality of substrates in the reaction chamber to the second kind of gas.

Description

  • This application is a continuation of co-pending application Ser. No. 10/411,092, filed on Apr. 11, 2003, and for which priority is claimed under 35 U.S.C. §120; and this application claims priority of Application No. 2002-109130 filed in Japan on Apr. 11, 2002 under 35 U.S.C. §119; the entire contents of all are hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a vertical type semiconductor device producing apparatus, and more particularly, to a vertical type semiconductor device producing apparatus which alternately flows a plurality of kinds of gases contributing to film formation, and forms films on stacked substrates.
  • 2. Description of the Related Art
  • FIG. 7 shows an atomic layer deposition apparatus (ALD apparatus, hereinafter) which is a conventional semiconductor device producing apparatus which alternatively flows a plurality of kinds of gases contributing to film formation, and forms films. In this apparatus, two kinds of process gases a and b which contribute to film formation are alternately supplied into a reaction chamber 1, the reaction chamber 1 is exhausted, the gases are adsorbed onto substrates in the reaction chamber 1 and reacted, thereby forming films on the substrates. In this case, a gas supply amount of the process gases a and b is determined by controlling the flow rate by mass flow controllers (MFC) 2 and 3 provided in gas supply tubes 7 and 8. A pressure in the reaction chamber 1 is determined by controlling an exhaust amount by adjusting opening of an exhaust valve 6 provided in the exhaust tube 9.
  • According to the conventional ALD apparatus, however, when process gas is supplied to the reaction chamber, since the process gas is supplied while controlling the exhaust amount from the reaction chamber, a gas pressure can not rise in a short time, and there is a defect that the adsorbing speed and reacting speed are slow due to the delay of the gas pressure rise. In the case of single substrate-feeding type ALD apparatus which simultaneously processes about one or two substrates, since a capacity of the reaction chamber is small, this defect is not so serious. In a case of a batch type vertical type ALD apparatus which simultaneously processes a large number of stacked substrates, however, since a capacity of the reaction chamber is large, delay in adsorbing and reacting speed appears remarkably, and there is a problem that throughput is largely deteriorated.
  • Japanese Patent Application Laid-open No. 9-82696 describes a single substrate-feeding type film forming apparatus which keeps flowing oxygen (O) radical into a reaction chamber, TEOS gas is intermittently supplied for about two seconds each, and cohesive films are formed. In this apparatus, a gas supply system in which gas is supplied from a TEOS cylinder to a reaction chamber is provided with gas reservoirs 303 and 304, TEOS gas reserved in the gas reservoirs is supplied to the reaction chamber. Since two gas reservoirs are provided, it is possible to reserve gas in one of the gas reservoirs while the other one is being used, and the throughput is enhanced. However, the apparatus provided with the gas reservoirs is of single substrate-feeding type in which a capacity of the reaction chamber is small, and the apparatus is not a vertical type apparatus having a large capacity of the reaction chamber. Further, the apparatus is not the ALD apparatus which alternately supplies process gas a and b into the reaction chamber.
  • SUMMARY OF THE INVENTION
  • It is a main object of the present invention to provide a vertical type semiconductor device producing apparatus which alternately flows a plurality of kinds of gases which contribute to film formation, and which can enhance the throughput.
  • According to a first aspect of the present invention, there is provided a vertical type semiconductor device producing apparatus, comprising:
  • a vertical type reaction chamber which is to accommodate a plurality of stacked substrates;
  • an exhaust path which exhausts the reaction chamber,
  • a vacuum exhaust device which exhausts the reaction chamber through the exhaust path;
  • an exhaust valve which opens and closes the exhaust path;
  • a first supply path which supplies a first kind of gas, which contributes to film formation, to the reaction chamber;
  • a second supply path which supplies a second kind of gas, which contributes to the film formation, to the reaction chamber;
  • a first and a second gas supply valves which respectively open and close the first and second supply paths; and
  • a controller which controls the exhaust valve and the first and second gas supply valves such that when the first kind of gas is supplied to the reaction chamber, the first kind of gas is supplied to the reaction chamber from the first supply path in a state in which exhaust of the reaction chamber is being stopped to expose the plurality of substrates in the reaction chamber to the first kind of gas, and when the second kind of gas is supplied to the reaction chamber, the second kind of gas is supplied to the reaction chamber through the second supply path in a state in which the reaction chamber is being exhausted by the vacuum exhaust device to expose the plurality of substrates in the reaction chamber to the second kind of gas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and further objects, features and advantages of the present invention will become more apparent from the following detailed description taken in conjunction with the accompanying drawings, wherein:
  • FIG. 1 is a schematic block diagram of a vertical type semiconductor device producing apparatus according to an embodiment;
  • FIG. 2 shows an example of gas supply of DCS and NH3, and is an explanatory view showing s step for supplying and exhausting the NH3 into a furnace and reserving DCS into a gas reservoir;
  • FIG. 3 shows an example of gas supply of DCS and NH3, and is an explanatory view showing s step for exhausting the furnace and successively reserving DCS into the gas reservoir;
  • FIG. 4 shows an example of gas supply of DCS and NH3, and is an explanatory view showing s step for closing an exhaust valve and supplying DCS in the gas reservoir into the furnace;
  • FIG. 5 shows comparative characteristics between the present invention and prior art showing a relation between an adsorbing amount and a film-forming speed;
  • FIGS. 6A and 6B are schematic diagrams of a vertical type ALD apparatus according to the embodiment; and
  • FIG. 7 is a schematic block diagram of a conventional ALD apparatus.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • According to a preferred embodiment of the present invention, there is provided a vertical type semiconductor device producing apparatus, comprising:
  • a vertical type reaction chamber which is to accommodate a plurality of stacked substrates;
  • an exhaust path which exhausts the reaction chamber,
  • a vacuum exhaust device which exhausts the reaction chamber through the exhaust path;
  • an exhaust valve which opens and closes the exhaust path;
  • a first supply path which supplies a first kind of gas, which contributes to film formation, to the reaction chamber;
  • a second supply path which supplies a second kind of gas, which contributes to the film formation, to the reaction chamber;
  • a first and a second gas supply valves which respectively open and close the first and second supply paths; and
  • a controller which controls the exhaust valve and the first and second gas supply valves such that when the first kind of gas is supplied to the reaction chamber, the first kind of gas is supplied to the reaction chamber from the first supply path in a state in which exhaust of the reaction chamber is being stopped to expose the plurality of substrates in the reaction chamber to the first kind of gas, and when the second kind of gas is supplied to the reaction chamber, the second kind of gas is supplied to the reaction chamber through the second supply path in a state in which the reaction chamber is being exhausted by the vacuum exhaust device to expose the plurality of substrates in the reaction chamber to the second kind of gas.
  • According to this vertical type semiconductor device producing apparatus, the first kind of gas is supplied to the reaction chamber in a state in which the exhaust is stopped, thereby bringing the reaction chamber into a pressure-rising state. Therefore, as compared with a technique for rising a pressure in the reaction chamber while controlling the exhaust amount, even in a vertical type ALD having a reaction chamber of large capacity, it is possible to rise the pressure in the reaction chamber for a short time, and to easily obtain the pressure-rise state. As the pressure rising time is shorter and a degree of pressure rise is higher, it is possible to enhance the adsorption to the substrate and the film-forming speed, and the throughput is enhanced.
  • Preferably, the first supply path has a gas reservoir in which the first kind of gas is reserved, and
  • when the first kind of gas is supplied to the reaction chamber, the controller allows the first kind of gas to flow into the first supply path to reserve the first kind of gas in the gas reservoir, and the controller allows the first kind of gas reserved in the gas reservoir to be supplied to the reaction chamber from the gas reservoir in a state in which the exhaust of the reaction chamber is stopped to expose the plurality of substrates in the reaction chamber to the first kind of gas.
  • According to this structure, the first kind of gas is reserved in the gas reservoir, the first kind of gas reserved in the gas reservoir is supplied to the reaction chamber in a state in which the exhaust is stopped, thereby bringing the reaction chamber into the pressure-rise state. Therefore, as compared with a technique in which a pressure in the reaction chamber is increased while controlling the exhaust amount, even in the vertical type ALD having large capacity of the reaction chamber, it is possible to instantaneously rise the pressure in the reaction chamber, and to easily obtain the high pressure-rise state. It is possible to enhance the adsorption to the substrate and the film-forming speed, and the throughput is largely enhanced.
  • Next, an embodiment of the present invention will be explained in detail with reference to the drawings.
  • FIGS. 6A and 6B show a basic structure of a vertical type ALD apparatus according to the embodiment, wherein FIG. 6A is a schematic vertical sectional view and FIG. 6B is a schematic lateral sectional view. A reaction tube 32 constituting a reaction chamber which processes substrates is provided inside a heater 31. A lower opening of the reaction tube 32 is air-tightly closed with a seal cap 35. A boat 39 stands on the seal cap 35, and the boat 39 is inserted into the reaction tube 32. A plurality of substrates W are stacked in a multistage manner in an axial direction of the reaction tube. Each of the substrates W is placed horizontally. The heater 31 heats the substrates W in the reaction tube 32 to a predetermined temperature.
  • Two gas supply tubes as supply paths supply a plurality of (two, in this embodiment) gases into the reaction tube 32. Of the gas supply tubes, the first gas supply tube 41 is connected one side of the reaction tube 32 without through a remote plasma unit, and the second gas supply tube 38 is connected to the one side of the reaction tube 32 through a remote plasma unit 37. Therefore, there exist two kinds of gases supplied to the plurality of substrates W in the reaction tube 32, i.e., gas which is not excited by plasma and supplied, and gas which is excited by plasma and supplied as active species. The reaction tube 32 is provided at its other side with an exhaust tube 40 as an exhaust path for exhausting the reaction chamber. A vacuum pump (not shown) as vacuum exhaust means is connected to the exhaust tube 40.
  • A remote plasma unit 37 is connected to a nozzle 30 which stands in the reaction tube 32 along the boat 39. The nozzle 30 is provided with a large number of access holes 34 along an axial direction of the nozzle such as to be respectively opposed to the large number substrates stacking in the multistage manner.
  • The access holes 34 are formed such that their diameters of the holes 34 are smaller as closer to an upstream side of gas flow and larger as closer to a downstream side of the gas flow so that excited gas or non-excited gas is uniformly supplied from a substrate W at upstream side of gas flow to a substrate W at downstream side of the gas flow. With this structure, conductance is changed, and gas is injected to the upstream side and downstream side uniformly.
  • Further, control means for controlling a manner of flowing-the two kinds of gas and a film forming temperature of the substrates W is provided. The control means includes gas-supply control means 43 for controlling the gas flow such as to alternately flow the two kinds of gas repeatedly one kind by one kind, and temperature control means 42 for controlling a film forming temperature by the heater.
  • Next, a method for forming films using the vertical type ALD apparatus having the above-described basic structure will be explained. As a film, a Si3N4 film is formed. The reaction gases are DCS (SiH2Cl2: dichlorsilane) and plasma-processed NH3.
  • First, substrates W on which films are to be formed are mounted to the boat 39 and transferred into the reaction tube 32 (furnace). Next, Si3N4 films are formed. A temperature in the furnace at that time is set to a temperature at which adhesion with a lower film is excellent and films having small interface defect are formed, e.g., 350 to 600° C. For this film forming operation, there is used an ALD method which alternately flows NH3 and DCS to form films by one atomic layer by one atomic layer.
  • First, NH3 is supplied from the second gas supply tube 38. Since NH3 is higher in reaction temperature than DCS, NH3 is not reacted at the temperature in the furnace. The NH3 is excited by plasma using the remote plasma unit 37 and is brought into the active species and is allowed to flow so that NH3 is reacted at the temperature in the furnace. At that time, a pressure in the furnace is maintained at relatively low pressure of 30 to 60 Pa and in this state, NH3 which was brought into the active species by plasma excitation is supplied for 5 to 120 seconds. Gas flowing into the furnace is only NH3 which is brought into the active species by plasma excitation, and no DCS exists. Therefore, NH3 which is brought into the active species by plasma excitation does not cause vapor-phase reaction and is reacted in surface with the lower film on the substrate W.
  • Next, DCS is supplied from the first gas supply tube 41. At that time, the exhaust from inside of the furnace is stopped. Since DCS is reacted at the temperature in the furnace, it is unnecessary to excite DCS by plasma. A pressure in the furnace at that time is increased to 266 to 931 Pa which is higher than that when NH3 is supplied. By supplying DCS, NH3 on the lower film and DCS are reacted in surface, and Si3N4 films are formed.
  • A step for alternately flowing the NH3 and DCS is defined as one cycle. By repeating this cycle, a Si3N4 film having a predetermined thickness is formed. In the ALD method, since two kinds of gas which contribute to the film formation do not exist in a vapor phase, the gas is adsorbed in the lower film surface and reacted with the lower film. Therefore, a film having excellent adhesion with the lower film can be obtained, and defect of interface is reduced as compared with the CVD (Chemical Vapor Deposition) method in which two kinds of gas are allowed to flow simultaneously. Further, NH3 gas of the plurality of kinds of gas which need plasma excitation is plasma excited and brought into active species and allowed to flow, films can be formed at a reaction temperature by DCS gas which need not the plasma excitation and thus, the films can be formed at a low temperature as low as 350 to 600° C.
  • In a general vertical type CVD apparatus, when DCS gas which is film-forming gas is to be supplied, the DCS gas is supplied while controlling the exhaust amount from the reaction chamber. If the exhaust from the reaction chamber is stopped here, a film thickness of a substrate at the upstream side of the DCS gas supply becomes thick, and a substrate W closer to the downstream side of the DCS gas has thinner thickness of a film formed on the substrate, and there is an adverse possibility that the film thickness uniformity between the plurality of wafers is largely deteriorated. If the film-forming gas is supplied without exhaust, this can be a cause of particle generation, and since the film-forming gas is not supplied without exhaust, when the process gas is supplied into the reaction chamber, a conventional vertical type ALD apparatus supplies the gas while controlling the exhaust amount from the reaction chamber.
  • As a result of hard research and repetition of experiments, it was found that in the case of a batch type vertical ALD apparatus of the above-described present invention, the uniformity between a plurality of wafers was excellent even if the exhaust from the reaction chamber was stopped, and a problem related to the particle generation was not caused. Further, since the batch type vertical ALD apparatus of the invention processes a large number of substrates (100 to 150) at a time, the capacity of the reaction chamber is extremely large as compared with the single substrate-feeding type apparatus which processes one to three substrates. Therefore, when a pressure is increased from a decompression state in which the reaction chamber is exhausted, since the exhaust from the exhaust tube 40 is stopped, it is possible to rise the gas pressure in a short time, the adsorption speed and reaction speed are increased due to the gas pressure rise, and the processing efficiency of substrates is remarkably enhanced.
  • In the vertical type ALD apparatus of the embodiment, in addition to the basic structure shown in FIG. 6A and 6B, a first gas supply tube 41 is provided with a gas reservoir 21, and DCS gas is supplied from the gas reservoir 21.
  • A structure in FIG. 1 will be explained in detail. The vertical type ALD adsorbing amount includes a vertical type reaction furnace 20 which processes the large number of stacked substrates W. The reaction furnace 20 is provided with an exhaust tube 40 for exhausting the reaction furnace 20, a first gas supply tube 41 for supplying DCS to the reaction furnace 20, and a second gas supply tube 38 for exciting NH3 by plasma and bringing the same into active species and supplying the same to the reaction furnace 20.
  • The first gas supply tube 41 through which DCS flows is provided at its intermediate portion with the gas reservoir 21 for reserving DCS. The gas reservoir 21 is a gas tank or a helical tube having greater gas capacity than a usual tube.
  • The upstream side first gas supply tube 41 of the gas reservoir 21 is provided with a first gas supply valve 22 for opening and closing the tube, and the downstream side first gas supply tube 41 is provided with a second gas supply valve 23 for opening and closing the tube. By opening and closing the first gas supply valve 22 or the second gas supply valve 23, DCS gas as the first kind of gas is reserved in the gas reservoir 21 through the first gas supply tube 41, and the reserved DCS gas is supplied to the reaction furnace 20. The second gas supply tube 38 is provided with a NH3 gas supply valve 24 for opening the tube at the upstream side of the remote plasma unit 37. By opening and closing the gas supply valve 24, the NH3 gas as the second kind of gas is supplied to the reaction furnace 20 or the supply of the gas is stopped. The exhaust tube 40 is provided with an exhaust valve 25 for opening and closing the tube or adjusting the opening of the exhaust valve 25. By opening and closing the exhaust valve 25, the reaction furnace 20 is exhausted and the exhaust is stopped. By adjusting the opening of the exhaust valve 25, the reaction furnace 20 can be exhausted while maintaining a pressure at a predetermined value. The first gas supply tube 41 and the second gas supply tube 38 are respectively provided with MFCs (mass flow controllers) 27 and 28 so that flow rate of gas flowing into the first gas supply tube 41 and the second gas supply tube 38 is controlled. The exhaust valve 25 may be a single valve having a function for opening and closing the tube and adjusting the opening of the valve, or may be a plurality of valves including a valve having the opening and closing function and a vale having the opening adjusting function.
  • There is provided control means 29 for controlling a pump 26, the valves 22 to 25, a heater (not shown) and the like. The control means 29 controls the exhaust valve 25 and the gas supply valves 22 to 24, flows the DCS gas to the first gas supply tube 41 and reserves the gas in the gas reservoir 21, and supplies the DCS gas reserved in the gas reservoir 21 to the reaction furnace 20 in a state in which the exhaust of the reaction furnace 20 is stopped, there by bringing the reaction furnace 20 into the pressure-rise state and exposing the substrates W to the DCS gas. By supplying NH3 gas to the reaction furnace 20 from the second gas supply tube 38 through the remote plasma unit 37 while exhausting the reaction furnace 20 by a vacuum pump 26, the substrates W are exposed to the active species obtained by plasma exciting the NH3 gas.
  • Next, an example of supply of DCS gas and NH3 gas will be explained using FIGS. 2 to 4 which explain three steps. Solid filled valves are closed, and non-filled valves are opened. First, substrates W on which films are to be formed are mounted to the boat 39, and the boat 39 is transferred into the furnace. After the transfer, the following three steps are carried out in succession.
  • In step 1 shown in FIG. 2, NH3 gas which needs plasma excitation and DCS gas which does not need plasma excitation are allowed to flow together. First both the valve 24 provided in the gas supply tube 38 and the exhaust valve 25 provided in the exhaust tube 40 are opened, NH3 is plasma excited by the remote plasma unit 37 and brought into active species and supplied from the gas supply tube 38 into the furnace 20 and in this state, the furnace 20 is exhausted from the exhaust tube 40. When NH3 gas is plasma excited and brought into the active species and allowed to flow, the exhaust valve 25 is appropriately adjusted to set a pressure in the furnace to 10 to 100 Pa, more preferable 30 to 50 Pa. The flow rate of NH3 to be supplied which is controlled by the MFC 27 is 1,000 to 10,000 sccm. When NH3 gas is plasma excited and brought into the active species and allowed to flow, if the exhaust valve 25 provided in the exhaust tube 40 is closed and the vacuum exhaust is stopped, the active species which is activated by plasma exciting the NH3 is deactivated before the active species reach the substrates W and as a result, there is a problem that the active species do not react with surfaces of the substrates W. Therefore, when NH3 gas is plasma excited and brought into the active species and allowed to flow, it is necessary to open the exhaust valve 25 and exhaust the reaction furnace 20. A large amount of active species which is activated by plasma exciting the NH3 is allowed to flow, the vacuum exhaust is carried out, a pressure in the furnace is set to 10 to 100 Pa, more preferable 30 to 60 Pa. With this arrangement, films can be formed uniformly and efficiently. Time during which the substrates W are exposed to the active species obtained by plasma exciting the NH3 is 2 to 120 seconds. A temperature in the furnace at that time is set to 350 to 600° C. Since NH3 has a high reaction temperature, NH3 does not react at the temperature in the furnace, NH3 is brought into active species by plasma exciting the same by the remote plasma unit 37, and the active species are allowed to flow toward the downstream side of the valve 24. Therefore, the process can be carried out while keeping the set low temperature in the furnace.
  • When this NH3 is supplied as active species by plasma excitation, the upstream side valve 22 of the gas supply tube 41 is opened and the downstream side valve 23 is closed, and DCS is also allowed to flow. With this arrangement, DCS is reserved in the gas reservoir 21 provided between the valves 22 and 23. At that time, gas flowing into the furnace is active species obtained by plasma exciting the NH3, and there exists no DCS. Therefore, NH3 does not cause the vapor-phase reaction, NH3 which is plasma excited and become active species reacts in surface with the lower film on the substrate W.
  • In step 2 shown in FIG. 3, the valve 24 of the gas supply tube 38 is closed, the supply of NH3 is stopped, but supply to the gas reservoir 21 is continued. If a predetermined amount of DCS having a predetermined pressure is reserved in the gas reservoir 21, the upstream side valve 22 is also closed, and DCS is captured in the gas reservoir 21. The exhaust valve 25 of the exhaust tube 40 is left opening, the furnace is exhausted to 20 Pa, and remaining NH3 is discharged from the furnace. At that time, if inert gas such as N2 is supplied into the furnace, an effect of discharging remaining NH3 from the furnace is enhanced. DCS is reserved in the gas reservoir 21 so that a pressure therein becomes 20,000 Pa or higher. Further, the apparatus is constituted such that the conductance between the gas reservoir 21 and the reaction furnace 20 becomes 1.5 (10−3m3/s or higher. If a ratio of a capacity of the reaction chamber and a capacity of the gas reservoir required for the former capacity is taken into consideration, when the capacity of the reaction chamber is 100 liters, 100 to 300 cc is preferable. As a capacity ratio, the gas reservoir preferably has 1/1,000 to 3/1,000 times of the capacity of the reaction chamber.
  • In step 3 shown in FIG. 4, if the exhaust in the furnace is completed, the valve 25 of the exhaust tube 40 is closed and the exhaust is stopped. The downstream side valve 23 of the first gas supply tube 41 is opened. With this, DCS reserved in the gas reservoir 21 is supplied into the furnace 20 at a dash. At that time, since the valve 25 of the exhaust tube 40 is closed, a pressure in the furnace abruptly rises to about 931 Pa (7 Torr). Time for supplying DCS is set to 2 to 4 seconds and thereafter, time for exposing to the increased pressure atmosphere is set to 2 to 4 seconds, and total time is 6 seconds. A temperature in the furnace at that time is the same as that when NH3 is supplied, and is 350 to 600° C. By the supply of DCS, NH3 and DCS on the lower film are reacted in surface, and Si3N4 films are formed on the substrates. After the films are formed, the valve 23 is closed, the valve 25 is opened, the reaction furnace 20 is vacuum exhausted, remaining DCS gas which contributed to the film formation is discharged from the furnace. At that time, is inert gas such as N2 is supplied into the furnace, an effect for discharging, from the furnace, further remaining DCS gas which contributed to the film formation is enhanced. The valve 22 is opened, and supply of DCS to the gas reservoir 21 is started.
  • The steps 1 to 3 are defined as one cycle, and this cycle is repeated a plurality of times, thereby forming Si3N4 films having predetermined thickness on the substrates.
  • In the ALD apparatus, gas is adsorbed in a surface of the lower film. An adsorbing amount of gas is proportional to gas pressure and gas-exposing time. Therefore, in order to adsorb a desired constant amount of gas in a short time, it is necessary to increase the gas pressure for a short time. In this point, in the embodiment, the exhaust valve 25 is closed and in this state, DCS reserved in the gas reservoir 21 is instantaneously supplied. Therefore, it is possible to abruptly rise the pressure of DCS in the furnace, and a desired constant amount of gas can instantaneously be adsorbed.
  • In this embodiment, while DCS is reserved in the gas reservoir 21, NH3 gas is plasma excited and brought into active species and supplied, and the furnace is exhausted as steps required by the ALD method. Therefore, no special step for reserving DCS is not required. Further, since the DCS is allowed to flow after the furnace is exhausted and NH3 gas is removed, both the gases are not reacted before they reach the substrates. The supplied DCS can effectively be reacted only with NH3 which is adsorbed in the substrate W.
  • FIG. 5 shows a relation between an absorption amount of gas and a film-forming speed, and shows a comparison between an apparatus structure of the present invention in which DCS is increased in pressure and supplied using a gas reservoir and a conventional apparatus structure in which DCS is supplied while controlling the exhaust state. A lateral axis shows gas molecule adsorbing amount L (Langmuir: product of gas pressure and gas exposing time), and a vertical axis shows a film thickness per one cycle (Å/cycle). If film-forming speeds per one cycle are compared, even if the gas molecule adsorbing amount L (Langmuir) is the same, the film thickness per one cycle can be increased in the present invention as compared with the conventional apparatus. If the gas exposing times with respect to the same film thickness are compared, in data A obtained by the apparatus structure of the present invention, L is 0.38 and a thickness is 1.009 Å/cycle. In the case of data B obtained by the conventional apparatus structure corresponding to the data A, L is 1.86 and the thickness is 1.003 Å/cycle. Since the thicknesses in data A and data B are substantially the same and the pressures are the same, it is found that the gas exposing time of data A is about ⅕ times of data B, and the throughput of the present invention is largely enhanced.
  • Therefore, if a pressure rises, the film-forming speed rises. In a process in which a reaction chamber is once exhausted and then the process gas is supplied and these operations are repeated like the ALD, if a pressure is increased using the gas reservoir as in the present embodiment, it is possible to largely enhance the throughput as compared with a case in which a pressure is not increased using the gas reservoir. Especially in a vertical type ALD apparatus which has a great furnace capacity and which repeats an operation in which the reaction chamber is once exhausted and then the process gas is supplied thereby forming films, in order to enhance the throughput, it is absolutely necessary to provide a gas reservoir and instantaneously rise the pressure.
  • In the above embodiment, one gas tank or helical tube is provided as the gas reservoir. The present invention is not limited to this, and a plurality of gas reservoirs may be provided in parallel. The gas reservoir of the invention is not limited to the gas tank or helical tube, and any means may be employed only if gas can be reserved and the gas can be discharged out at a dash. For example, a supply tube of DCS may be thicker than a normal tube, and a capacity of the MFC may be increased correspondingly. A plurality of supply tubes of DCS may be provided. In this case, the number of cylinders which become DCS supply source may be increased in accordance with the number of supply tubes. Since DCS has low vapor pressure, the cylinder may be heated to increase a vaporization amount of DCS. The DCS may forcibly be sent into the furnace using a pump.
  • In the above-described embodiment, the present invention is applied to the vertical type semiconductor device producing apparatus, but the invention can also be applied to a producing method of a semiconductor device. According to the producing method of a semiconductor device, vacuum exhaust in the reaction chamber and supply of process gas into the reaction chamber are repeated, substrates stacked in the reaction chamber are processed, first kind of gas is reserved in an intermediate portion of a supply path through which the first kind of gas flows, the exhaust of the reaction chamber is stopped and in this state, the first kind of gas reserved in the intermediate portion of the supply path is supplied to the reaction chamber to bring the reaction chamber into the pressure-rise state, and films are formed on the substrates. According to this method, since the first kind of gas reserved in the gas reservoir is supplied to the reaction chamber in the state in which the exhaust is stopped, the first kind of gas can be supplied instantaneously, and a pressure in the reaction chamber can rise. Therefore, even with a vertical type reaction chamber having great capacity, when the vacuum exhaust in the reaction chamber is switched to the supply of process gas into the reaction chamber, a pressure in the reaction chamber can rise without delay, it is possible to enhance the adsorption to the substrate and the film-forming speed, and the throughput can largely be enhanced.
  • The entire disclosure of Japanese Patent Application No. 2002-109130 filed on Apr. 11, 2002 including specification, claims, drawings and abstract are incorporated herein by reference in its entirety.
  • Although various exemplary embodiments have been shown and described, the invention is not limited to the embodiments shown. Therefore, the scope of the invention is intended to be limited solely by the scope of the claims that follow.

Claims (24)

1. A semiconductor device producing apparatus, comprising:
a reaction chamber accommodating at least one semicon
a vacuum exhaust device which exhausts said reaction ductor substrate; an exhaust tube which exhausts said reaction chamber; chamber through said exhaust tube;
an exhaust valve which opens and closes said exhaust tube;
a first supply tube which supplies a first process gas to said reaction chamber;
a second supply tube which supplies a second process to said reaction chamber;
a first supply valve which opens and closes said first supply tube;
a second supply valve which opens and closes said second supply tube; and
a controller which controls said exhaust valve, said first supply valve and said second supply valve, wherein
said first and second gases are supplied alternately into said reaction chamber to form a desired film on said at least one substrate;
when said at least one substrate is exposed to said first process gas not activated by plasma excitation, said first process gas is supplied to said reaction chamber through said first supply tube with said exhaust tube being closed, and
when said at least one substrate is exposed to said second process gas activated by plasma excitation, said second process gas is supplied to said reaction chamber through said second supply tube with said reaction chamber being exhausted by said vacuum exhaust device.
2. A semiconductor device producing apparatus as recited in claim 1, wherein said first process gas is dichlorsilane.
3. A semiconductor device producing apparatus as recited in claim 1, wherein said second process gas is ammonia.
4. A semiconductor device producing apparatus as recited in claim 1, wherein
said first supply tube includes a gas reservoir in which said first process gas is reserved, and
before said first process gas is supplied to said reaction chamber, said controller allows said first process gas to flow into said first supply tube to reserve the first process gas in said gas reservoir, and said controller allows said first process gas reserved in said gas reservoir to be supplied to said reaction chamber in a state in which the exhaust of said reaction chamber is stopped.
5. A semiconductor device producing apparatus as recited in claim 1, wherein
a pressure in said reaction chamber is set substantially from 266 to 931 Pa when said at least one substrate is exposed to said first process gas, and
a pressure in said reaction chamber is set substantially from 10 to 100 Pa when said at least one substrate is exposed to said second process gas.
6. A semiconductor device producing apparatus as recited in claim 1, wherein
said controller controls said exhaust valve, said first supply valve and said second supply valve such that
after supplying of said first process gas is supplied to said reaction chamber is stopped and after supplying of said second process to said reaction chamber is stopped, said reaction chamber is exhausted through said exhaust tube by said vacuum exhaust device to remove remaining said first process gas or said second process gas.
7. A semiconductor device producing apparatus as recited in claim 4, wherein conductance between said gas reservoir and said reaction chamber is substantially equal to 1.5×10−3m3/S or higher.
8. A semiconductor device producing apparatus comprising:
a reaction chamber accommodating at least one semiconductor substrate; an exhaust tube which exhausts said reaction chamber;
a vacuum exhaust device which exhausts said reaction chamber through said exhaust tube;
an exhaust valve which opens and closes said exhaust tube and adjusts an opening thereof;
a first supply tube which supplies a first process gas to said reaction chamber;
a second supply tube which supplies a second process gas to said reaction chamber;
a first supply valve which opens and closes said first supply tube;
a second supply valve which opens and closes said second supply tube; and
a controller which controls said exhaust valve, said first supply valve and said second supply valve, wherein
said first and second gases are supplied alternately into said reaction chamber to form a desired film on said at least one substrate;
when said at least one substrate is exposed to said first process gas activated by plasma excitation, a pressure in said reaction chamber is set to be a reduced pressure, and
when said at least one substrate is exposed to said second process gas not activated by plasma excitation, a pressure in said reaction chamber is set to be higher than the reduced pressure by 166 Pa or more.
9. A semiconductor device producing apparatus comprising:
a reaction chamber accommodating at least one semiconductor substrate;
an exhaust tube which exhausts said reaction chamber;
a vacuum exhaust device which exhausts said reaction chamber through said exhaust tube;
an exhaust valve which opens and closes said exhaust tube and adjusts an opening thereof;
a first supply tube which supplies a first process gas to said reaction chamber;
a second supply tube which supplies a second process gas to said reaction chamber;
a first supply valve which opens and closes said first supply tube;
a second supply valve which opens and closes said second supply tube; and
a controller which controls said exhaust valve, said first supply valve and said second supply valve, wherein
said first and second gases are supplied alternately into said reaction chamber putting exhaustion of preceding remaining gases therebetween, to form a desired film on said at least one substrate;
when said at least one substrate is exposed to said first process gas activated by plasma excitation, a pressure in said reaction chamber is set to be a reduced pressure;
when remaining of said first process gas is exhausted from said reaction chamber, a pressure in said reaction chamber is set to 20 Pa or less, and
when said at least one substrate is exposed to said second process gas not activated by plasma excitation, a pressure in said reaction chamber is set to be higher by 246 Pa or more than the pressure where remaining of said first process gas is exhausted.
10. A semiconductor device producing apparatus comprising:
a reaction chamber accommodating at least one semiconductor substrate;
an exhaust tube which exhausts said reaction chamber;
a vacuum exhaust device which exhausts said reaction chamber through said exhaust tube;
an exhaust valve which opens and closes said exhaust tube and adjusts an opening thereof;
a first supply tube which supplies a first process gas to said reaction chamber;
a second supply tube which supplies a second process gas to said reaction chamber;
a first supply valve which opens and closes said first supply tube;
a second supply valve which opens and closes said second supply tube; and
a controller which controls said exhaust valve, said first supply valve and said second supply valve, wherein
said first and second gases are supplied alternately into said reaction chamber to form a desired film on said at least one substrate;
when said at least one substrate are exposed to said first process gas activated by plasma excitation, a pressure in said reaction chamber is set to be substantially in a range of 10-100 Pa, and
when said at least one substrate are exposed to said second process gas not activated by plasma excitation, a pressure in said reaction chamber is set to be substantially in a range of 266-931 Pa.
11. A semiconductor device producing apparatus as recited in claim 8, wherein
said first supply tube includes a gas reservoir in which said first process gas is reserved, and
before said first process gas is supplied to said reaction chamber, said controller allows said first process gas to flow into said first supply tube to reserve the first process gas in said gas reservoir, and
said controller allows said first process gas reserved in said gas reservoir to be supplied to said reaction chamber in a state in which the exhaust of said reaction chamber is stopped.
12. A semiconductor device producing apparatus as recited in claim 8, wherein
said alternate supplying of said first process gas and second process gas into said reaction chamber is repeated a plurality of times.
13. A semiconductor device producing apparatus as recited in claim 8, wherein
said first process gas is ammonia and said second process gas is dichlorsilane.
14. A semiconductor device producing apparatus as recited in claim 8, wherein said controller controls said exhaust valve, said first supply valve and said second supply valve such that
when said at least one substrate is exposed to said first process gas, said first process gas is supplied to said reaction chamber through said first supply tube in a state in which said reaction chamber is exhausted by said vacuum exhaust device: and
when said at least one substrate is exposed to said first process gas, said second process gas is supplied to said reaction chamber through said second supply tube in a state in which exhaust from said reaction chamber is stopped.
15. A semiconductor device producing apparatus as recited in claim 9, wherein
said first supply tube has a gas reservoir in which said first process gas is reserved, and
before said first process gas is supplied to said reaction chamber, said controller allows said first process gas to flow into said first supply tube to reserve the first process gas in said gas reservoir, and said controller allows said first process gas reserved in said gas reservoir to be supplied to said reaction chamber in a state in which the exhaust of said reaction chamber is stopped.
16. A semiconductor device producing apparatus as recited in claim 9, wherein
said alternate supplying of said first process gas and second process gas to said reaction chamber is repeated a plurality of times.
17. A semiconductor device producing apparatus as recited in claim 9, wherein
said first process gas is ammonia and said second process gas is dichlorsilane.
18. A semiconductor device producing apparatus as recited in claim 9, wherein
said controller controls said exhaust valve, said first supply valve and said second supply valve such that
when said at least one substrate is exposed to said first process gas, said first process gas is supplied to said reaction chamber through said first supply tube in a state in which said reaction chamber is exhausted by said vacuum exhaust device; and
when said at least one substrate is exposed to said first process gas, said second process gas is supplied to said reaction chamber through said second supply tube in a state in which exhaust from said reaction chamber is stopped.
19. A semiconductor device producing apparatus as recited in claim 10, wherein
said first supply tube has a gas reservoir in which said first process gas is reserved, and
before said first process gas is supplied to said reaction chamber, said controller allows said first process gas to flow into said first supply tube to reserve the first process gas in said gas reservoir, and said controller allows said first process gas reserved in said gas reservoir to be supplied to said reaction chamber in a state in which the exhaust of said reaction chamber is stopped.
20. A semiconductor device producing apparatus as recited in claim 10, wherein
said alternate supplying of said first process gas and second process gas to said reaction chamber is repeated a plurality of times.
21. A semiconductor device producing apparatus as recited in claim 10, wherein
said first process gas is ammonia and said second process gas is dichlorsilane.
22. A semiconductor device producing apparatus as recited in claim 10, wherein
said controller controls said exhaust valve, said first supply valve and said second supply valve such that
when said at least one substrate is exposed to said first process gas, said first process gas is supplied to said reaction chamber through said first supply tube in a state in which said reaction chamber is exhausted by said vacuum exhaust device; and
when said at least one substrate is exposed to said first process gas, said second process gas is supplied to said reaction chamber through said second supply tube in a state in which exhaust from said reaction chamber is stopped.
23. A semiconductor device producing apparatus comprising:
a reaction chamber accommodating at least one semiconductor substrate; an exhaust tube which exhausts said reaction chamber;
a vacuum exhaust device which exhausts said reaction chamber through said exhaust tube;
an exhaust valve which opens and closes said exhaust tube;
a first supply tube which supplies a first process gas to said reaction chamber;
a second supply tube which supplies a second process gas to said reaction chamber;
a first supply valve which opens and closes said first supply tube;
a second supply valve which opens and closes said second supply tube; and
a controller which controls said exhaust valve, said first supply valve and said second supply valve, wherein
said first and second process gases are alternately supplied to said reaction chamber to form a desired film on said at least one substrate;
when said at least one substrate is exposed to said first process gas not activated by plasma excitation, said first process gas is supplied to said reaction chamber through said first supply tube for substantially in the range of 2-4 seconds to increase a pressure in said reaction chamber and thereafter to expose said at least one substrate to the increased pressure atmosphere for substantially in the range of 2-4 seconds, and
when said at least one substrate is exposed to said second process gas, said second process gas is supplied to said reaction chamber through said second supply tube with said reaction chamber being exhausted by said vacuum exhaust device.
24. A semiconductor device producing apparatus, comprising:
a reaction chamber which accommodates at least one substrate;
an exhaust path which exhausts said reaction chamber;
a vacuum exhaust device which exhausts said reaction chamber through said exhaust path;
an exhaust valve which opens and closes said exhaust path;
a first supply path which supplies a first process gas which contributes to a film forming to said reaction chamber;
a second supply path which supplies a second process gas which contributes to the film forming to said reaction chamber;
a first supply valve which opens and closes said first supply path;
a second supply valve which opens and closes said second supply path; and
a controller which controls said exhaust valve, said first supply valve and said second supply valve such that
said first process and said second process gas are alternately supplied to said reaction chamber, said alternate supply being repeated a plurality of times, when said first process gas is supplied to said reaction chamber, said first process gas is supplied to said reaction chamber through said first supply path with exhaust of said reaction chamber being stopped to expose said at least one substrate to said first process gas, and when said second process gas is supplied to said reaction chamber, said second process gas is supplied to said reaction chamber through said second supply path with a pressure of said reaction chamber being maintained a predetermined pressure and said reaction chamber being exhausted by said vacuum exhaust device to expose said at least one substrate to said process gas.
US11/121,919 2002-04-11 2005-05-05 Vertical type semiconductor device producing apparatus Abandoned US20050217577A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US11/121,919 US20050217577A1 (en) 2002-04-11 2005-05-05 Vertical type semiconductor device producing apparatus
US12/155,625 US7622396B2 (en) 2002-04-11 2008-06-06 Method of producing a semiconductor device
US13/074,835 US20110176967A1 (en) 2002-04-11 2011-03-29 Vertical type semiconductor device producing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002109130 2002-04-11
JP2002-109130 2002-04-11
US10/411,092 US6905549B2 (en) 2002-04-11 2003-04-11 Vertical type semiconductor device producing apparatus
US11/121,919 US20050217577A1 (en) 2002-04-11 2005-05-05 Vertical type semiconductor device producing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/411,092 Continuation US6905549B2 (en) 2002-04-11 2003-04-11 Vertical type semiconductor device producing apparatus

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/155,625 Continuation US7622396B2 (en) 2002-04-11 2008-06-06 Method of producing a semiconductor device
US13/074,835 Division US20110176967A1 (en) 2002-04-11 2011-03-29 Vertical type semiconductor device producing apparatus

Publications (1)

Publication Number Publication Date
US20050217577A1 true US20050217577A1 (en) 2005-10-06

Family

ID=29416588

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/411,092 Expired - Lifetime US6905549B2 (en) 2002-04-11 2003-04-11 Vertical type semiconductor device producing apparatus
US11/121,919 Abandoned US20050217577A1 (en) 2002-04-11 2005-05-05 Vertical type semiconductor device producing apparatus
US12/155,625 Expired - Lifetime US7622396B2 (en) 2002-04-11 2008-06-06 Method of producing a semiconductor device
US13/074,835 Abandoned US20110176967A1 (en) 2002-04-11 2011-03-29 Vertical type semiconductor device producing apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/411,092 Expired - Lifetime US6905549B2 (en) 2002-04-11 2003-04-11 Vertical type semiconductor device producing apparatus

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/155,625 Expired - Lifetime US7622396B2 (en) 2002-04-11 2008-06-06 Method of producing a semiconductor device
US13/074,835 Abandoned US20110176967A1 (en) 2002-04-11 2011-03-29 Vertical type semiconductor device producing apparatus

Country Status (3)

Country Link
US (4) US6905549B2 (en)
JP (2) JP5283673B2 (en)
KR (6) KR20030081144A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20090044753A1 (en) * 2006-06-23 2009-02-19 Deenesh Padhi Methods to improve the in-film defectivity of pecvd amorphous carbon films
US20090181547A1 (en) * 2006-03-28 2009-07-16 Hitachi Kokusai Electric Inc. Method of producing semiconductor device
US20090205568A1 (en) * 2005-02-17 2009-08-20 Norikazu Mizuno Substrate processing method and substrate processing apparatus
WO2023231805A1 (en) * 2022-05-30 2023-12-07 北京北方华创微电子装备有限公司 Semiconductor heat treatment device and control method therefor

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP3913723B2 (en) * 2003-08-15 2007-05-09 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
KR100589053B1 (en) * 2003-10-15 2006-06-12 삼성전자주식회사 Source supply apparatus, method of supplying source, and atomic layer deposition method using the same
DE10350752A1 (en) * 2003-10-30 2005-06-09 Infineon Technologies Ag A method of forming a dielectric on a copper-containing metallization and capacitor assembly
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
KR101025323B1 (en) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 Etching apparatus and etching method
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
US20050287806A1 (en) 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
CN102154628B (en) * 2004-08-02 2014-05-07 维高仪器股份有限公司 Multi-gas distribution injector for chemical vapor deposition reactors
KR100636036B1 (en) * 2004-11-19 2006-10-18 삼성전자주식회사 Method of forming a titanium nitride layer and apparatus for performing the same
KR100636037B1 (en) * 2004-11-19 2006-10-18 삼성전자주식회사 Method of forming a titanium nitride layer and apparatus for performing the same
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
FI121750B (en) * 2005-11-17 2011-03-31 Beneq Oy ALD reactor
JP4844261B2 (en) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
JP5616591B2 (en) * 2008-06-20 2014-10-29 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US8282334B2 (en) * 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
JP5539411B2 (en) 2009-03-04 2014-07-02 ザ トラスティーズ オブ ザ ユニバーシティ オブ ペンシルバニア Compositions containing angiogenic factors and methods of use thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
CN102345111B (en) * 2010-07-29 2015-03-04 东京毅力科创株式会社 Film forming method and apparatus
JP5886531B2 (en) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5960614B2 (en) * 2012-03-29 2016-08-02 Ckd株式会社 Fluid control system and fluid control method
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP5859586B2 (en) * 2013-12-27 2016-02-10 株式会社日立国際電気 Substrate processing system, semiconductor device manufacturing method, and recording medium
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015185837A (en) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 Deposition device
JP6413293B2 (en) * 2014-03-27 2018-10-31 東京エレクトロン株式会社 Film forming method and storage medium
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP2016134569A (en) * 2015-01-21 2016-07-25 株式会社東芝 Semiconductor manufacturing equipment
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6675962B2 (en) * 2016-02-25 2020-04-08 東京エレクトロン株式会社 Film forming method and film forming system
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (en) 2018-03-02 2020-10-15 램 리써치 코포레이션 Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5250323A (en) * 1989-10-30 1993-10-05 Kabushiki Kaisha Toshiba Chemical vapor growth apparatus having an exhaust device including trap
JP2819073B2 (en) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 Method of forming doped thin film
JP3159788B2 (en) * 1991-07-12 2001-04-23 富士通株式会社 Compound semiconductor crystal growth method
JP3186872B2 (en) 1992-11-19 2001-07-11 神港精機株式会社 Film forming method by pulse plasma CVD
JPH06222388A (en) 1993-01-28 1994-08-12 Fujitsu Ltd Production of thin film transistor matrix
JP4236707B2 (en) * 1995-09-14 2009-03-11 日産自動車株式会社 Chemical vapor deposition method and chemical vapor deposition apparatus
JPH0982696A (en) 1995-09-18 1997-03-28 Toshiba Corp Manufacture of semiconductor device and semiconductor manufacturing equipment
JP3482280B2 (en) * 1995-09-19 2003-12-22 株式会社リコー Digital copier system
US5888579A (en) * 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3529989B2 (en) 1997-09-12 2004-05-24 株式会社東芝 Film forming method and semiconductor device manufacturing method
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
JPH11219950A (en) * 1998-02-03 1999-08-10 Hitachi Ltd Manufacture of semiconductor integrated circuit and manufacturing device thereof
JPH11312640A (en) * 1998-02-25 1999-11-09 Canon Inc Processor and device manufacturing method using the processor
JP4174941B2 (en) * 2000-02-03 2008-11-05 株式会社デンソー Thin film manufacturing method and thin film manufacturing apparatus
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
KR100396694B1 (en) * 2000-07-27 2003-09-02 주식회사 하이닉스반도체 Method for forming thin film using atomic layer deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20090205568A1 (en) * 2005-02-17 2009-08-20 Norikazu Mizuno Substrate processing method and substrate processing apparatus
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
US20090078201A1 (en) * 2006-03-24 2009-03-26 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
US8394200B2 (en) 2006-03-24 2013-03-12 Tokyo Electron Limited Vertical plasma processing apparatus for semiconductor process
US20090181547A1 (en) * 2006-03-28 2009-07-16 Hitachi Kokusai Electric Inc. Method of producing semiconductor device
US20090044753A1 (en) * 2006-06-23 2009-02-19 Deenesh Padhi Methods to improve the in-film defectivity of pecvd amorphous carbon films
US8282734B2 (en) * 2006-06-23 2012-10-09 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
WO2023231805A1 (en) * 2022-05-30 2023-12-07 北京北方华创微电子装备有限公司 Semiconductor heat treatment device and control method therefor

Also Published As

Publication number Publication date
KR20080006530A (en) 2008-01-16
JP5527863B2 (en) 2014-06-25
US7622396B2 (en) 2009-11-24
US6905549B2 (en) 2005-06-14
US20080250619A1 (en) 2008-10-16
KR20120004952A (en) 2012-01-13
KR100867073B1 (en) 2008-11-04
US20030213435A1 (en) 2003-11-20
JP2013080979A (en) 2013-05-02
US20110176967A1 (en) 2011-07-21
JP2010283388A (en) 2010-12-16
KR20090029241A (en) 2009-03-20
KR101145559B1 (en) 2012-05-16
KR20030081144A (en) 2003-10-17
KR20080044823A (en) 2008-05-21
KR20100014210A (en) 2010-02-10
JP5283673B2 (en) 2013-09-04

Similar Documents

Publication Publication Date Title
US6905549B2 (en) Vertical type semiconductor device producing apparatus
US7713582B2 (en) Substrate processing method for film formation
JP3947126B2 (en) Semiconductor manufacturing equipment
US9385013B2 (en) Method and apparatus of manufacturing a semiconductor device by forming a film on a substrate
JP4800344B2 (en) Thin film formation method
US9169553B2 (en) Semiconductor device producing method
JP4305427B2 (en) Film forming method, film forming apparatus, and storage medium
US9502233B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing device and recording medium
JP4695343B2 (en) Vertical semiconductor manufacturing equipment

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION