US20050212041A1 - Novel process method of source drain spacer engineering to improve transistor capacitance - Google Patents

Novel process method of source drain spacer engineering to improve transistor capacitance Download PDF

Info

Publication number
US20050212041A1
US20050212041A1 US11/127,941 US12794105A US2005212041A1 US 20050212041 A1 US20050212041 A1 US 20050212041A1 US 12794105 A US12794105 A US 12794105A US 2005212041 A1 US2005212041 A1 US 2005212041A1
Authority
US
United States
Prior art keywords
regions
source
gate structure
compensation
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/127,941
Inventor
Zhiqiang Wu
Jihong Chen
Kaiping Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/127,941 priority Critical patent/US20050212041A1/en
Publication of US20050212041A1 publication Critical patent/US20050212041A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • H01L29/66598Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET forming drain [D] and lightly doped drain [LDD] simultaneously, e.g. using implantation through the wings a T-shaped layer, or through a specially shaped layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention relates generally to semiconductor processing, and more particularly to a methodology for source drain spacer engineering to improve transistor capacitance.
  • ICs integrated circuits
  • scaling down dimensions e.g., at submicron levels
  • semiconductor wafers which are generally produced from bulk silicon.
  • ICs integrated circuits
  • This may include the width and spacing of interconnecting lines, spacing and diameter of contact holes, as well as the surface geometry of various other features (e.g., corners and edges).
  • the scaling-down of integrated circuit dimensions can facilitate faster circuit performance and/or switching speeds, and can lead to higher effective yield in IC fabrication by providing more circuits on a die and/or more die per semiconductor wafer.
  • the process of manufacturing integrated circuits typically consists of more than a hundred steps, during which hundreds of copies of an integrated circuit can be formed on a single wafer. This process can create electrically active regions in and on the semiconductor wafer surface.
  • MOS transistors for example, a gate structure is created, which can be energized to establish an electric field within a semiconductor channel, by which current is enabled to flow between a source region and a drain region within the transistor.
  • the source and drain regions facilitate this conductance by virtue of containing a majority of positively doped (p) or negatively doped (n) materials.
  • hot carrier effects can be experienced in short channel devices. More particularly, during saturation operation of a MOS transistor, for example, electrons can gain kinetic energy and become “hot”. Some of these hot electrons traveling to the drain can be injected into a thin gate dielectric proximate the drain junction. The injected hot carriers, in turn, often lead to undesired degradation of the MOS device operating parameters, such as a shift in threshold voltage, changed transconductance, changed drive current/drain current exchange, device instability, etc.
  • punchthrough current may be seen as a parasitic current path existing between the drain and source, which the gate has difficulty in controlling since the current path is located deep in the bulk (substrate) far away from the gate.
  • the actual amount of punchthrough current depends mainly upon the potential distribution under the channel and on the source/drain junction depths. As the effective channel length gets shorter, the source/drain depletion regions get closer together. Punchthrough generally occurs when the effective channel length is decreased to roughly the sum of two junction depletion widths.
  • the present invention pertains to formation of a transistor in a manner that mitigates short channel effects and junction capacitances, thereby facilitating enhanced switching speeds. More particularly, compensation regions are formed with dopants implanted relatively deeply over source and drain regions within a substrate. The compensation regions are spaced apart slightly more than the source and drain regions to alter potential contours and reduce junction capacitances within the transistor. The different distances between the source and drain regions and the compensation regions are achieved by forming and selectively adjusting sidewall spacers adjacent to a gate structure of the transistor. These spacers effectively serve as guides for the dopants implanted into the substrate to form the source and drain regions and the compensation regions.
  • a method of forming a transistor includes forming a first oxide layer over a gate structure.
  • the first oxide layer is also formed over portions of a substrate not covered by the gate structure. These uncovered portions of the substrate also have source/drain extension regions and halo regions formed therein.
  • the method further includes forming a nitride layer over the first oxide layer, forming a second oxide layer over the nitride layer and processing the second oxide layer and nitride layer to form oxide sidewall spacers adjacent the gate structure. In this manner, the oxide sidewall spacers are situated over a residual portion of nitride material.
  • the method further includes processing the oxide sidewall spacers such that they are reduced in size relative to the underlying portions of nitride material. Regions of the substrate adjacent the gate structure are then doped with a first dopant to form source and drain regions within the substrate. The first dopant is, however, substantially blocked by the oxide sidewall spacers and the underlying portions of nitride material. Regions of the substrate adjacent the gate.structure are then doped again with a second dopant to form first and second compensation regions within the substrate. The second dopant is, however, substantially blocked by the oxide sidewall spacers.
  • a method of forming a transistor includes forming source and drain regions within a substrate adjacent to a gate structure formed upon the substrate. The method also includes forming first and second compensation regions within the substrate to a depth about twice that of the source and drain regions. The source and drain regions and the first and second compensation regions are separated by a channel region underlying the gate structure. The source and drain regions are also separated by a first distance and the first and second compensation regions are separated by a second distance, where the first distance is greater than the second distance.
  • a transistor includes a gate structure formed over a substrate.
  • the transistor also includes a source region formed within the substrate adjacent the gate structure and a drain region formed within the substrate adjacent the gate structure.
  • a first compensation region is formed within the substrate adjacent the gate structure and within the source region.
  • a second compensation region is formed within the substrate adjacent the gate structure and within the drain region.
  • the transistor also has a channel underlying the gate structure and separating the source and drain regions and the first and second compensation regions.
  • the source and drain regions are also separated by a first distance and the first and second compensation regions are separated by a second distance, where the first distance is greater than the second distance.
  • FIG. 1 is a simplified, schematic cross-sectional illustration of a conventional transistor.
  • FIG. 2-8 are cross-sectional illustrations depicting a conventional methodology for forming a PMOS transistor, such as that presented in FIG. 1 .
  • FIG. 9 is a flow diagram illustrating an example of a methodology of forming a transistor in accordance with one or more aspects of the present invention.
  • FIGS. 10-23 are cross-sectional illustrations of a transistor formed according to one or more aspects of the present invention.
  • the present invention pertains to formation of a transistor in a manner that mitigates short channel effects and junction capacitances, thereby facilitating enhanced switching speeds. More particularly, compensation regions are formed with dopants implanted relatively deeply over source and drain regions within a substrate. The compensation regions are spaced apart slightly more than the source and drain regions to alter potential contours and reduce capacitive contact areas, thereby reducing junction capacitances within the transistor. The different distances between the source and drain regions and the compensation regions are achieved by forming and selectively adjusting sidewall spacers adjacent to a gate structure of the transistor. These spacers serve as guides for the dopants implanted into the substrate to form the source and drain regions and the compensation regions.
  • FIG. 1 illustrates a conventional semiconductor transistor device 100 that can be fabricated with conventional complimentary MOS (CMOS) processing techniques in a semiconductor substrate 102 .
  • the device 100 includes a gate structure 104 comprising a gate electrode 106 and a gate dielectric 108 .
  • the gate electrode 106 generally comprises polysilicon or SiGe and overlies the gate dielectric 108 .
  • Sidewall spacers 110 , 112 are located upon either side of the gate structure 104 . As will be discussed further, the sidewall spacers 110 , 112 impede doping of certain areas or extension regions 114 , 116 underlying the spacers 110 , 112 .
  • Two laterally spaced doped source/drain regions 118 and 120 are formed within the substrate 102 and a channel region 122 is defined therebetween under the gate structure 104 .
  • the resistivity of the channel 122 may be controlled by a voltage applied to the gate electrode 106 , where changing the gate voltage changes the amount of current flowing through the channel 122 between the source and drain.
  • the gate contact or electrode 106 and the channel 122 are separated by the gate dielectric 108 , which is an insulator and which opposes current flow between the gate electrode 106 and the channel 122 , such that the device does not become activated until a sufficient voltage (at least larger than a threshold voltage V t ) is applied to the gate electrode 106 .
  • a layer of dielectric material 124 is initially formed over the substrate 102 ( FIG. 2 ).
  • a gate electrode layer 126 e.g., polysilicon or SiGe
  • the gate electrode layer 126 and the layer of dielectric material 124 are then patterned (e.g., via etching) to develop the gate structure 104 ( FIG. 4 ).
  • Dopant 128 is then applied to the gate electrode 106 and to exposed portions of the substrate 102 to form extension regions 130 , 132 therein ( FIG. 5 ).
  • the extension regions 130 , 132 are precursors to portions of the source and drain regions 118 , 120 formed within the substrate 102 .
  • a layer of an insulating material 134 (e.g., silicon nitride, silicon oxide) is then formed over the entire structure ( FIG. 6 ).
  • the layer of insulating material 134 is selectively removed (e.g., via anisotropic etching) to form the sidewall spacers 110 , 112 on either side of the gate structure 104 ( FIG. 7 ).
  • Additional dopant 128 is then applied to the gate electrode 106 and the substrate 102 , except for those portions 114 , 116 covered by the sidewall spacers 110 , 112 ( FIG. 8 ).
  • the additional dopant 128 establishes the source and drain regions 118 , 120 within the substrate 102 on either side of the gate structure 104 .
  • the additional dopant 128 is of substantially the same type as that previously applied in forming the extension regions 130 , 132 (e.g., FIG. 5 ).
  • the channel region 122 is thereby defined within the substrate 102 under the gate structure 104 as the source and drain regions 118 , 120 are formed ( FIG. 8 ).
  • FIG. 9 a methodology 200 is illustrated for forming a MOS transistor according to one or more aspects of the present invention.
  • the methodology 200 is illustrated and described hereinafter as a series of acts or events, it will be appreciated that the present invention is not limited by the illustrated ordering of such acts or events. For example, some acts may occur in different orders and/or concurrently with other acts or events apart from those illustrated and/or described herein.
  • not all illustrated steps may be required to implement a methodology in accordance with one or more aspects of the present invention. Further, one or more of the acts may be carried out in one or more separate acts or phases.
  • a methodology carried out according to one or more aspects of the present invention may be implemented in association with the formation and/or processing of structures illustrated and described herein as well as in association with other structures not illustrated or described herein.
  • the method or variants thereof may be used to fabricate a transistor as illustrated and described below with respect to FIGS. 10-23 , as well as to devices not shown or described with regard to FIGS. 10-23 .
  • the methodology 200 begins at 202 wherein a gate structure is formed over a semiconductor substrate.
  • a layer of gate dielectric material is formed over the semiconductor substrate, a gate electrode layer is formed over the layer of gate dielectric material, and the gate electrode layer and the layer of gate dielectric material are patterned to form the gate structure.
  • the gate structure thus comprises a gate electrode and a gate dielectric. It will be appreciated that the layers can be patterned in any suitable manner to form the gate structure, such as by etching, for example.
  • the layer of gate dielectric material and the gate electrode layer can be applied to the substrate in any number of ways, such as with spin-on techniques, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques such as chemical vapor deposition (CVD), for example.
  • the gate dielectric material can be formed to a thickness of about 1 nanometer or more, and can have an equivalent oxide thickness (EOT) of about 1 nanometer or less, for example, while the gate electrode layer can be formed to a thickness of about 50-200 nm, for example.
  • EOT equivalent oxide thickness
  • the substrate generally includes silicon
  • the gate electrode layer generally includes doped polysilicon, SiGe or metal
  • the layer of gate dielectric material can comprise a high-k dielectric material, for example.
  • the layer of gate dielectric material may include, for example, any one or more of the following, either alone or in combination: aluminum oxide (Al 2 O 3 ), zirconium silicate, hafnium silicate, hafnium silicon oxynitride, hafnium oxynitride, zirconium oxynitride, zirconium silicon oxynitride, hafnium silicon nitride, lanthanum oxide (La 2 O 3 ), hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), cerium oxide (CeO 2 ), bismuth silicon oxide (Bi 4 Si 2 O 12 ), titanium dioxide (TiO 2 ), tantalum oxide (Ta 2 O 5 ), tungsten oxide (WO 3 ), yttrium oxide
  • the gate structure may also include a thin oxide over the polysilicon (e.g., about 1.5 nm thick) and a thin offset spacer (e.g., deposited and dry etched to a thickness of about 2-20 nm) on the sidewall thereof.
  • a thin oxide over the polysilicon e.g., about 1.5 nm thick
  • a thin offset spacer e.g., deposited and dry etched to a thickness of about 2-20 nm
  • source/drain extension regions are formed.
  • Such extension regions may, for example, be formed according to HDD (highly doped drain) techniques.
  • the extension regions are generally formed within the substrate and facilitate absorption of some of the potential associated with the drain. In this manner, some of this potential is directed away from the drain/channel interface, thereby mitigating the occurrence of channel hot carriers and the adverse effects associated therewith.
  • a p-type dopant having a concentration of about 1E19 to 5E20 atoms/cm 3 for a PMOS transistor, or an n-type dopant having concentration of about 1E19 to 9.5E20 atoms/cm 3 for an NMOS transistor can be implanted to a depth of about 100-350 Angstroms, for example. It will be appreciated, however, that other implant concentrations and penetration depths are contemplated as falling within the scope of the present invention.
  • Halo implants can facilitate scaling the device channel length by creating localized dopant distributions near the source/drain (S/D) regions, where the distributions may extend, at least partially, under the channel. In this manner, halos can mitigate unwanted source/drain leakage conduction, or punchthrough current, and as such are sometimes referred to as “punchthrough stoppers”.
  • a quad high-angle implant may be utilized, for example, to place a halo dose around an edge of the gate structure in source/drain regions of the semiconductor substrate.
  • such a high-angle implant can place a p-type halo dopant having a concentration of about 1 to 3E19 atoms/cm 3 at the center of the halos for an NMOS transistor. It will be appreciated, however, that other implant concentrations are contemplated as falling within the scope of the present invention.
  • a first oxide layer is formed over the gate and exposed portions of the substrate.
  • the first oxide layer can be formed to a thickness of about 20 to 120 Angstroms, for example.
  • a nitride layer and a second oxide layer are then sequentially formed over the first oxide layer at 210 and 212 , respectively.
  • the nitride layer can be formed to a thickness of about 50 to 200 Angstroms and the second oxide layer can be formed to about 400 to 800 Angstroms, for example.
  • first oxide layer 208 , nitride layer 210 and second oxide layer 212 can be formed in any number of suitable ways, such as with spin-on techniques, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques such as chemical vapor deposition (CVD), for example.
  • spin-on techniques e.g., spin-on or sputtering
  • sputtering techniques e.g., magnetron or ion beam sputtering
  • growth and/or deposition techniques such as chemical vapor deposition (CVD), for example.
  • CVD chemical vapor deposition
  • the second oxide layer is processed (e.g., via dry etching, ion miling, or other suitable reduction techniques) to reveal oxide sidewall spacers adjacent to the gate.
  • Such sidewall spacers can have a width of about 300 to 800 Angstroms, for example.
  • some of the oxide material is processed to reduce the sidewall spacers and the nitride layer is processed to remove nitride material not covered/protected by the oxide sidewall spacers.
  • the processing of the nitride layer and the oxide sidewall spacers may be performed in separate acts, where nitride material is selectively removed and then oxide is subsequently selectively removed (e.g., via wet etching, CMP, other suitable reduction techniques), where the residual nitride material underlying the oxide sidewall spacers serves as a processing stopper.
  • the second oxide layer on the nitride layer is partially removed, and in another example, the oxide material is completely removed.
  • source and drain implants are performed at relatively low energies. These implants are substantially blocked by the sidewall spacers and the residual nitride material as well as any residual second oxide material that may be residing there-over. Accordingly, the sidewall spacers and residual nitride material together act as a boundary that guides the dopants into source and drain regions of the substrate.
  • a dopant of Arsenic or other suitable substance having a peak concentration of about 0.5 to 5E20 atoms/cm 3 may be implanted at an energy level of about 20 to 50 KeV to provide dopant to an implant range into silicon of about 300-350 Angstroms, for example.
  • a compensation implant is then performed at 220 to place dopant deeper into the source and drain regions of the substrate.
  • a dopant of Phosphorous or other suitable substance having a peak concentration of about 5E17 to 5E19 atoms/cm 3 may be implanted at an energy level of about 30 to 40 KeV to provide dopant to an implant range into silicon of about 600-700 Angstroms.
  • the compensation dopant penetrates deeper into the substrate as a result of the different (e.g., greater) mass of the compensation dopant relative to the dopant utilized for the source/drain implants at 218 and/or a different (e.g., greater) energy level utilized during the compensation implant.
  • the compensation dopant is generally implanted into the substrate to a depth about twice that of the source/drain implant at 218 .
  • the subsequent selective oxide etching performed at 216 may result in a reduction in the oxide sidewall spacers such that they are not flush with remaining/underlying nitride material. In this manner, some of the remaining nitride material may overlie underlying substrate areas whereas the oxide spacers no longer cover these areas.
  • the type of dopant and/or energy level utilized in the compensation implant facilitates passage of the compensation dopant through the nitride material, whereas the oxide portion of the spacers substantially block the compensation dopant (as well as the source/drain dopant implanted at 218 ). Accordingly, a portion of the dopant implanted into the source and drain regions during the compensation implant at 220 is implanted closer to the channel. Moving the deep source/drain junctions towards the channel in this fashion reduces gate sidewall junction capacitances by smoothing out the junctions, thus facilitating improved switching speeds, as will be further appreciated infra.
  • a layer of gate dielectric material 302 is formed upon a semiconductor substrate 304 ( FIG. 10 ).
  • semiconductor substrate can include a base semiconductor wafer (e.g., silicon, SiGe, or an SOI wafer) and any epitaxial layers or other type semiconductor layers formed thereover or associated therewith.
  • base semiconductor wafer e.g., silicon, SiGe, or an SOI wafer
  • any epitaxial layers or other type semiconductor layers formed thereover or associated therewith e.g., silicon, SiGe, or an SOI wafer
  • elements depicted herein are illustrated with particular dimensions relative to one another (e.g., layer to layer dimensions and/or orientations) for purposes of simplicity and ease of understanding, and that actual dimensions of the elements may differ substantially from that illustrated herein.
  • the layer of gate dielectric material 302 can include any of a number of suitable materials. Some examples include silicon dioxide, high-k materials, or a stack of such layers. It will be appreciated that the layer of gate dielectric material 302 can be formed across the substrate 304 in any of a number of suitable manners, including, for example, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques, such as chemical vapor deposition (CVD).
  • the layer of gate dielectric material can also be formed to a thickness of about 2.0 nanometers or more to mitigate “tunneling”, and can have an equivalent oxide thickness (EOT) of about 2.0 nanometers or less, for example, so as to maintain and exhibit desired electrical properties.
  • a dielectric material having a k of about 7.8 and a thickness of about 10 nm, for example, is substantially electrically equivalent to an oxide gate dielectric having a k of about 3.9 and a thickness of about 5 nm.
  • a gate electrode layer 306 is then formed over the layer of gate dielectric material 302 (e.g., via spin-on, sputtering, deposition, growth techniques, etc.) ( FIG. 11 ).
  • the gate electrode layer 306 generally includes doped polysilicon, SiGe or metal, and can be formed to a thickness of about 200 nanometers or less, for example.
  • the gate electrode layer 306 ultimately yields a contact area or surface that provides a means for applying a voltage to the transistor 300 or otherwise biasing the transistor 300 .
  • the gate electrode layer 306 and the layer of gate dielectric material 302 are then patterned to form a gate structure 308 ( FIG. 12 ).
  • the gate structure 308 thus comprises a gate electrode 310 and a gate dielectric 312 . It will be appreciated that the layers can be patterned in any suitable manner(s), either alone or in combination, to form the gate structure 308 , such as by etching.
  • a dopant 314 is applied to the substrate 304 to form source and drain extension regions 316 , 318 therein ( FIG. 13 ).
  • Such extension regions may, for example, be formed according to HDD (highly doped drain) techniques.
  • the extension regions abut a channel region 320 within the substrate 304 under the gate structure 308 and facilitate absorption of some of the potential associated with the drain. In this manner, some of this potential is directed away from the drain/channel interface, thereby mitigating the occurrence of channel hot carriers and the adverse effects associated therewith.
  • a p-type dopant having a concentration of about 1E19 to 5E20 atoms/cm 3 for a PMOS transistor, or an n-type dopant having concentration of about 1E19 to 9.5E20 atoms/cm 3 for an NMOS transistor can be implanted to a depth of about 300-350 Angstroms, for example, to establish the extension regions 316 , 318 . It will be appreciated, however, that other implant concentrations and penetration depths are contemplated as falling within the scope of the present invention.
  • halo implants can facilitate scaling channel length by creating localized dopant distributions near the source/drain (S/D) regions, where the distributions may extend, at least partially, under the channel. In this manner, halos can mitigate unwanted source/drain leakage conduction, or punchthrough current, and as such, are sometimes referred to as “punchthrough stoppers”.
  • a quad high-angle implant may be utilized, for example, to place a halo dose around an edge of the gate structure in source/drain regions of the semiconductor substrate.
  • such a high-angle implant can place a halo dopant having a concentration of about 1 to 3E19 atoms/cm 3 at the center of the halos for an NMOS transistor. It will be appreciated, however, that other implant concentrations are contemplated as falling within the scope of the present invention.
  • a first oxide layer 328 is then formed over the gate structure 308 and exposed portions of the substrate 304 ( FIG. 15 ).
  • the first oxide layer 328 can be formed to a thickness of about 20 to 120 Angstroms, for example.
  • a nitride layer 330 is then formed over the first oxide layer 328 ( FIG. 16 ), and a second oxide layer 332 is formed over the nitride layer 330 ( FIG. 17 ).
  • the nitride layer 330 can be formed to a thickness of about 50 to 200 Angstroms and the second oxide layer 332 can be formed to about 400 to 800 Angstroms, for example.
  • first oxide layer 328 , nitride layer 330 and second oxide layer 332 can be formed in any number of suitable ways, such as with spin-on techniques, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques such as chemical vapor deposition (CVD), for example.
  • sputtering techniques e.g., magnetron or ion beam sputtering
  • CVD chemical vapor deposition
  • the layers 328 , 330 and 332 are not drawn to scale in the figures, but instead are shown enlarged to help facilitate an understanding of one or more aspects of the present invention.
  • the second oxide layer 332 is then processed (e.g., via dry etching or other suitable reduction techniques) to reveal oxide sidewall spacers 334 , 336 adjacent to the gate structure 308 ( FIG. 18 ).
  • Such sidewall spacers can have a width 338 of about 300 to 800 Angstroms, for example. It will be appreciated that this processing is selective such that the underlying nitride layer 330 is substantially unaffected by the processing.
  • the nitride layer 330 is then processed (e.g., etched) to remove nitride material not covered/protected by the oxide sidewall spacers 334 , 336 ( FIG. 19 ).
  • the remaining or residual nitride material 330 has an “L” shape. It will be appreciated that this processing is also substantially selective such that the oxide sidewall spacers 334 , 336 are not affected thereby. It will be further appreciated that any differences in the height and/or other dimension(s) of the oxide spacers 334 , 336 depicted in the Figures is merely incidental and/or the result of intermediate acts that are generally understood, but that are not shown or described herein.
  • the “L” shaped region may comprise another material having a slower etch rate than oxide.
  • the layer may comprise polysilicon or other suitable material, and such alternatives are contemplated by the present invention.
  • the first oxide layer could be replaced with another suitable material in accordance with the present invention.
  • a portion of the remaining oxide material is subsequently processed (e.g., etched) to reduce the size of the sidewall spacers 334 , 336 and to remove some or all of the exposed portions of the first oxide layer 328 ( FIG. 20 ).
  • the width 338 ( FIGS. 18 and 19 ) of the sidewall spacers 334 , 336 may, for example, be reduced to 338 ′ ( FIG. 20 ), where 338 is greater than 338 ′.
  • this processing is substantially selective such that the remaining (L shaped) nitride material 330 is not affected thereby. In this manner, portions of the first oxide layer 328 underlying the residual nitride material 330 are not affected by the processing.
  • the underlying L shaped nitride layer 330 can serve as a process (e.g., etch) stopper as the spacers are being processed. It will be appreciated that any differences in the height and/or other dimension(s) of the residual L shaped nitride material 330 depicted in the Figures is merely incidental and/or the result of intermediate acts that are generally understood, but that are not shown or described herein.
  • the oxide sidewall spacers 334 , 336 and the nitride layer 330 are processed such that the sidewall spacers 334 , 336 are reduced more than the nitride layer 330 ( FIG. 20 ).
  • a portion 339 of the residual L shaped nitride material 330 having a width 339 ′ overlies areas of the substrate 304 that are not covered by the oxide sidewall spacers 334 , 336 .
  • This arrangement can be utilized to achieve certain doping profiles in the substrate 304 as will be described below.
  • dopant 340 is then implanted in forming the source and drain regions 342 , 344 ( FIG. 21 ). These implants are done at relatively low energies and are substantially blocked by the sidewall spacers 334 , 336 and the residual nitride material 330 . Accordingly, the sidewall spacers 334 , 336 and residual nitride material 330 together act as a boundary that guides the dopants 340 in forming the source and drain regions 342 , 344 in the substrate 304 .
  • a dopant of Arsenic or other suitable substance having a concentration of about 0.5 to 5E20 atoms/cm 3 may be implanted at an energy level of about 20 to 50 KeV to provide dopant to an implant range into silicon of about 300-350 Angstroms to form the source and drain regions 342 , 344 . It will be appreciated, however, that other implant concentrations, energy levels and/or penetration depths are contemplated as falling within the scope of the present invention.
  • More dopant 346 is then implanted into the source and drain regions in the substrate 304 to form compensation regions 348 , 350 ( FIG. 22 ).
  • the dopant 246 in the compensation regions 348 , 350 are implanted relatively deeply into the substrate 304 .
  • a dopant of Phosphorous or other suitable substance having a concentration of about 5E17 to 5E19 atoms/cm 3 may be implanted at an energy level of about 30 to 40 KeV to provide dopant to an implant range into silicon of about 600-700 Angstroms, for example.
  • the compensation dopant 346 penetrates deeper into the substrate 304 as a result of the different (e.g., greater) mass and/or energy level of the compensation dopant 346 relative to the other dopants 314 , 322 , 340 utilized in doping the substrate 304 .
  • the compensation dopant 346 is implanted into the substrate 304 to a depth about twice that of the dopant 340 utilized in establishing the source and drain regions 342 , 344 .
  • the selective oxide etching discussed above with respect to FIG. 20 leaves a portion 339 of the L shaped nitride material uncovered by the oxide sidewall spacers 334 , 336 .
  • the dopant 346 and/or energy level utilized in the compensation implant ( FIG. 22 ) facilitates passage of the compensation dopant through the nitride material 330 , whereas the oxide spacers 334 , 336 substantially block the compensation dopant 346 , as well as the source/drain dopant 340 ( FIG. 21 ). Accordingly, the compensation dopant 346 is implanted in the substrate closer to the channel 320 than the source/drain dopant 340 .
  • the separation between the deep compensation implants is thus smaller than it would be had the oxide sidewall spacers not been subsequently selectively processed (e.g., etched) to remove some of the oxide material and expose a portion 339 of the L shaped nitride layer. This is evident by a comparison of the distance 352 between the deep compensation implants 348 , 350 where the sidewall spacers 334 , 336 have been processed further ( FIG. 22 ) and the distance 352 ′ between the deep compensation implants 348 , 350 in a situation where the sidewall spacers 334 , 336 have not been subsequently processed ( FIG. 23 ).
  • 352 ′ is greater than 352 by an amount equal to two times the width 339 ′ of the portion 339 of the L shaped nitride layer 330 that is not covered by the oxide spacers 334 , 336 . Since the source/drain regions 342 , 344 and the compensation regions 348 , 350 are somewhat aligned FIG. 23 , the distance 352 ′ can also be said to correspond to the distance between the source 342 and drain 344 regions. Moving the deep junctions towards the channel 320 in the manner illustrated in FIG. 22 reduces junction areas where capacitance can arise. Reducing bottom wall areas in this fashion mitigates the opportunity for capacitive build up to occur, and thereby facilitates improved switching speeds.
  • one or more aspects of the present invention facilitate channel length scaling by altering short channel characteristics.
  • the distribution of the dopants and potential contours can be changed to facilitate attaining higher switching speeds in a MOS transistor, at a higher drive current (Ids), but at a lower junction capacitance under the gate (Cjswg).

Abstract

A method of forming an associated transistor is presented whereby short channel effects and junction capacitances are mitigated and enhanced switching speeds are thereby facilitated. Compensation regions are formed within a substrate by implanting dopants relatively deeply over source and drain regions formed within the substrate. The compensation regions are spaced apart slightly less than are the source and drain regions. This spacing affects potential contours and reduces junction capacitances within the transistor. The different distances between the source and drain regions and the compensation regions are achieved by forming and selectively adjusting sidewall spacers adjacent to a gate structure of the transistor. These spacers serve as guides for the dopants implanted into the substrate to form the source and drain regions and the compensation regions.

Description

    FIELD OF INVENTION
  • The present invention relates generally to semiconductor processing, and more particularly to a methodology for source drain spacer engineering to improve transistor capacitance.
  • BACKGROUND OF THE INVENTION
  • Several trends exist presently in the semiconductor and electronics industry. Devices are continually getting smaller, faster and requiring less power. A reason for these trends is that more personal devices are being fabricated which are relatively small and portable, thereby relying on a battery as its primary supply source. For example, cellular phones, personal computing devices, and personal sound systems are devices which are in great demand in the consumer market. In addition to being smaller and more portable, personal devices are requiring more computational power and speed. In light of all these trends, there is an ever increasing demand in the industry for smaller and faster transistors used to provide the core functionality of the integrated circuits used in these devices.
  • Accordingly, in the semiconductor industry there is a continuing trend toward manufacturing integrated circuits (ICs) with higher densities. To achieve high densities, there has been and continues to be efforts toward scaling down dimensions (e.g., at submicron levels) on semiconductor wafers, which are generally produced from bulk silicon. In order to accomplish such high densities, smaller feature sizes, smaller separations between features and more precise feature shapes are required in integrated circuits (ICs) fabricated on small rectangular portions of the wafer, commonly known as dies. This may include the width and spacing of interconnecting lines, spacing and diameter of contact holes, as well as the surface geometry of various other features (e.g., corners and edges). The scaling-down of integrated circuit dimensions can facilitate faster circuit performance and/or switching speeds, and can lead to higher effective yield in IC fabrication by providing more circuits on a die and/or more die per semiconductor wafer.
  • The process of manufacturing integrated circuits typically consists of more than a hundred steps, during which hundreds of copies of an integrated circuit can be formed on a single wafer. This process can create electrically active regions in and on the semiconductor wafer surface. In MOS transistors, for example, a gate structure is created, which can be energized to establish an electric field within a semiconductor channel, by which current is enabled to flow between a source region and a drain region within the transistor. The source and drain regions facilitate this conductance by virtue of containing a majority of positively doped (p) or negatively doped (n) materials.
  • As device sizes continue to shrink, however, the channel lengths continue to be scaled downward, and short channel effects can become significant. For example, hot carrier effects can be experienced in short channel devices. More particularly, during saturation operation of a MOS transistor, for example, electrons can gain kinetic energy and become “hot”. Some of these hot electrons traveling to the drain can be injected into a thin gate dielectric proximate the drain junction. The injected hot carriers, in turn, often lead to undesired degradation of the MOS device operating parameters, such as a shift in threshold voltage, changed transconductance, changed drive current/drain current exchange, device instability, etc.
  • Similarly, unwanted source/drain leakage conduction or punchthrough current can occur as channel lengths shorten. Punchthrough current may be seen as a parasitic current path existing between the drain and source, which the gate has difficulty in controlling since the current path is located deep in the bulk (substrate) far away from the gate. The actual amount of punchthrough current depends mainly upon the potential distribution under the channel and on the source/drain junction depths. As the effective channel length gets shorter, the source/drain depletion regions get closer together. Punchthrough generally occurs when the effective channel length is decreased to roughly the sum of two junction depletion widths.
  • In addition, as device densities and operational speeds continue to increase, reduction of the delay times in the MOS devices used in integrated circuits is desired. These delays are related to the on-state resistance as well as the junction capacitances of these MOS devices. Working with smaller and more densely packed devices, however, requires a greater precision in fabrication, which can provide opportunities for errors to occur. For example, dopant may be unintentionally placed in unwanted areas and may increase junction capacitance and cause body leakage, resulting in reduced switching speeds and higher source to drain resistance (Rsd). Imprecisely placed dopants may also migrate into a channel region, for example, and shorten the channel length adding to possible subsurface leakage current paths.
  • Accordingly, improved techniques for fabricating densely packed semiconductor devices would be desirable. More particularly, it would be desirable to fabricate semiconductor devices in a manner that mitigates short channel effects. Similarly, it would also be desirable to fashion semiconductor devices such that junction capacitances are reduced and switching speeds are thereby enhanced.
  • SUMMARY OF THE INVENTION
  • The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an extensive overview of the invention. It is intended neither to identify key or critical elements of the invention nor to delineate the scope of the invention. Rather, its primary purpose is merely to present one or more concepts of the invention in a simplified form as a prelude to the more detailed description that is presented later.
  • The present invention pertains to formation of a transistor in a manner that mitigates short channel effects and junction capacitances, thereby facilitating enhanced switching speeds. More particularly, compensation regions are formed with dopants implanted relatively deeply over source and drain regions within a substrate. The compensation regions are spaced apart slightly more than the source and drain regions to alter potential contours and reduce junction capacitances within the transistor. The different distances between the source and drain regions and the compensation regions are achieved by forming and selectively adjusting sidewall spacers adjacent to a gate structure of the transistor. These spacers effectively serve as guides for the dopants implanted into the substrate to form the source and drain regions and the compensation regions.
  • According to one aspect of the present invention, a method of forming a transistor is disclosed. The method includes forming a first oxide layer over a gate structure. The first oxide layer is also formed over portions of a substrate not covered by the gate structure. These uncovered portions of the substrate also have source/drain extension regions and halo regions formed therein. The method further includes forming a nitride layer over the first oxide layer, forming a second oxide layer over the nitride layer and processing the second oxide layer and nitride layer to form oxide sidewall spacers adjacent the gate structure. In this manner, the oxide sidewall spacers are situated over a residual portion of nitride material. The method further includes processing the oxide sidewall spacers such that they are reduced in size relative to the underlying portions of nitride material. Regions of the substrate adjacent the gate structure are then doped with a first dopant to form source and drain regions within the substrate. The first dopant is, however, substantially blocked by the oxide sidewall spacers and the underlying portions of nitride material. Regions of the substrate adjacent the gate.structure are then doped again with a second dopant to form first and second compensation regions within the substrate. The second dopant is, however, substantially blocked by the oxide sidewall spacers.
  • In accordance with one or more other aspects of the present invention, a method of forming a transistor includes forming source and drain regions within a substrate adjacent to a gate structure formed upon the substrate. The method also includes forming first and second compensation regions within the substrate to a depth about twice that of the source and drain regions. The source and drain regions and the first and second compensation regions are separated by a channel region underlying the gate structure. The source and drain regions are also separated by a first distance and the first and second compensation regions are separated by a second distance, where the first distance is greater than the second distance.
  • According to one or more other aspects of the present invention, a transistor includes a gate structure formed over a substrate. The transistor also includes a source region formed within the substrate adjacent the gate structure and a drain region formed within the substrate adjacent the gate structure. A first compensation region is formed within the substrate adjacent the gate structure and within the source region. Similarly, a second compensation region is formed within the substrate adjacent the gate structure and within the drain region. The transistor also has a channel underlying the gate structure and separating the source and drain regions and the first and second compensation regions. The source and drain regions are also separated by a first distance and the first and second compensation regions are separated by a second distance, where the first distance is greater than the second distance.
  • To the accomplishment of the foregoing and related ends, the following description and annexed drawings set forth in detail certain illustrative aspects and implementations of the invention. These are indicative of but a few of the various ways in which one or more aspects of the present invention may be employed. Other aspects, advantages and novel features of the invention will become apparent from the following detailed description of the invention when considered in conjunction with the annexed drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a simplified, schematic cross-sectional illustration of a conventional transistor.
  • FIG. 2-8 are cross-sectional illustrations depicting a conventional methodology for forming a PMOS transistor, such as that presented in FIG. 1.
  • FIG. 9 is a flow diagram illustrating an example of a methodology of forming a transistor in accordance with one or more aspects of the present invention.
  • FIGS. 10-23 are cross-sectional illustrations of a transistor formed according to one or more aspects of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • One or more aspects of the present invention are described with reference to the drawings, wherein like reference numerals are generally utilized to refer to like elements throughout, and wherein the various structures are not necessarily drawn to scale. In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of one or more aspects of the present invention. It may be evident, however, to one skilled in the art that one or more aspects of the present invention may be practiced with a lesser degree of these specific details. In other instances, well-known structures and devices are shown in block diagram form in order to facilitate describing one or more aspects of the present invention.
  • The present invention pertains to formation of a transistor in a manner that mitigates short channel effects and junction capacitances, thereby facilitating enhanced switching speeds. More particularly, compensation regions are formed with dopants implanted relatively deeply over source and drain regions within a substrate. The compensation regions are spaced apart slightly more than the source and drain regions to alter potential contours and reduce capacitive contact areas, thereby reducing junction capacitances within the transistor. The different distances between the source and drain regions and the compensation regions are achieved by forming and selectively adjusting sidewall spacers adjacent to a gate structure of the transistor. These spacers serve as guides for the dopants implanted into the substrate to form the source and drain regions and the compensation regions.
  • In order to appreciate various aspects of the present invention, a brief description of a conventional MOS device and fabrication process follows below. FIG. 1 illustrates a conventional semiconductor transistor device 100 that can be fabricated with conventional complimentary MOS (CMOS) processing techniques in a semiconductor substrate 102. The device 100 includes a gate structure 104 comprising a gate electrode 106 and a gate dielectric 108. The gate electrode 106 generally comprises polysilicon or SiGe and overlies the gate dielectric 108. Sidewall spacers 110, 112 are located upon either side of the gate structure 104. As will be discussed further, the sidewall spacers 110, 112 impede doping of certain areas or extension regions 114, 116 underlying the spacers 110, 112. Two laterally spaced doped source/ drain regions 118 and 120 are formed within the substrate 102 and a channel region 122 is defined therebetween under the gate structure 104.
  • In operation, the resistivity of the channel 122 may be controlled by a voltage applied to the gate electrode 106, where changing the gate voltage changes the amount of current flowing through the channel 122 between the source and drain. The gate contact or electrode 106 and the channel 122 are separated by the gate dielectric 108, which is an insulator and which opposes current flow between the gate electrode 106 and the channel 122, such that the device does not become activated until a sufficient voltage (at least larger than a threshold voltage Vt) is applied to the gate electrode 106.
  • To form the device 100, a layer of dielectric material 124 is initially formed over the substrate 102 (FIG. 2). A gate electrode layer 126 (e.g., polysilicon or SiGe) is then formed over the layer of dielectric material 124 (FIG. 3). The gate electrode layer 126 and the layer of dielectric material 124 are then patterned (e.g., via etching) to develop the gate structure 104 (FIG. 4). Dopant 128 is then applied to the gate electrode 106 and to exposed portions of the substrate 102 to form extension regions 130, 132 therein (FIG. 5). As will become apparent, the extension regions 130, 132 are precursors to portions of the source and drain regions 118, 120 formed within the substrate 102.
  • A layer of an insulating material 134 (e.g., silicon nitride, silicon oxide) is then formed over the entire structure (FIG. 6). The layer of insulating material 134 is selectively removed (e.g., via anisotropic etching) to form the sidewall spacers 110, 112 on either side of the gate structure 104 (FIG. 7). Additional dopant 128 is then applied to the gate electrode 106 and the substrate 102, except for those portions 114, 116 covered by the sidewall spacers 110,112 (FIG. 8). The additional dopant 128 establishes the source and drain regions 118, 120 within the substrate 102 on either side of the gate structure 104. It will be appreciated that the additional dopant 128 is of substantially the same type as that previously applied in forming the extension regions 130, 132 (e.g., FIG. 5). The channel region 122 is thereby defined within the substrate 102 under the gate structure 104 as the source and drain regions 118, 120 are formed (FIG. 8).
  • Turning now to FIG. 9, a methodology 200 is illustrated for forming a MOS transistor according to one or more aspects of the present invention. Although the methodology 200 is illustrated and described hereinafter as a series of acts or events, it will be appreciated that the present invention is not limited by the illustrated ordering of such acts or events. For example, some acts may occur in different orders and/or concurrently with other acts or events apart from those illustrated and/or described herein. In addition, not all illustrated steps may be required to implement a methodology in accordance with one or more aspects of the present invention. Further, one or more of the acts may be carried out in one or more separate acts or phases.
  • It will be appreciated that a methodology carried out according to one or more aspects of the present invention may be implemented in association with the formation and/or processing of structures illustrated and described herein as well as in association with other structures not illustrated or described herein. By way of example, the method or variants thereof may be used to fabricate a transistor as illustrated and described below with respect to FIGS. 10-23, as well as to devices not shown or described with regard to FIGS. 10-23.
  • The methodology 200 begins at 202 wherein a gate structure is formed over a semiconductor substrate. In particular, a layer of gate dielectric material is formed over the semiconductor substrate, a gate electrode layer is formed over the layer of gate dielectric material, and the gate electrode layer and the layer of gate dielectric material are patterned to form the gate structure. The gate structure thus comprises a gate electrode and a gate dielectric. It will be appreciated that the layers can be patterned in any suitable manner to form the gate structure, such as by etching, for example.
  • Further, the layer of gate dielectric material and the gate electrode layer can be applied to the substrate in any number of ways, such as with spin-on techniques, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques such as chemical vapor deposition (CVD), for example. The gate dielectric material can be formed to a thickness of about 1 nanometer or more, and can have an equivalent oxide thickness (EOT) of about 1 nanometer or less, for example, while the gate electrode layer can be formed to a thickness of about 50-200 nm, for example.
  • Additionally, the substrate generally includes silicon, the gate electrode layer generally includes doped polysilicon, SiGe or metal, and the layer of gate dielectric material can comprise a high-k dielectric material, for example. The layer of gate dielectric material may include, for example, any one or more of the following, either alone or in combination: aluminum oxide (Al2O3), zirconium silicate, hafnium silicate, hafnium silicon oxynitride, hafnium oxynitride, zirconium oxynitride, zirconium silicon oxynitride, hafnium silicon nitride, lanthanum oxide (La2O3), hafnium oxide (HfO2), zirconium oxide (ZrO2), cerium oxide (CeO2), bismuth silicon oxide (Bi4Si2O12), titanium dioxide (TiO2), tantalum oxide (Ta2O5), tungsten oxide (WO3), yttrium oxide (Y2O3), lanthanum aluminum oxide (LaAlO3), barium strontium titanate, barium strontium oxide, barium titanate, strontium titanate, PbZrO3, PST, PZN, PZT and PMN.
  • The gate structure may also include a thin oxide over the polysilicon (e.g., about 1.5 nm thick) and a thin offset spacer (e.g., deposited and dry etched to a thickness of about 2-20 nm) on the sidewall thereof. Such an initial gate structure and other variations are contemplated as falling within the scope of the present invention.
  • After the gate structure is formed, the methodology proceeds to 204 wherein source/drain extension regions are formed. Such extension regions may, for example, be formed according to HDD (highly doped drain) techniques. The extension regions are generally formed within the substrate and facilitate absorption of some of the potential associated with the drain. In this manner, some of this potential is directed away from the drain/channel interface, thereby mitigating the occurrence of channel hot carriers and the adverse effects associated therewith. By way of example, a p-type dopant having a concentration of about 1E19 to 5E20 atoms/cm3 for a PMOS transistor, or an n-type dopant having concentration of about 1E19 to 9.5E20 atoms/cm3 for an NMOS transistor can be implanted to a depth of about 100-350 Angstroms, for example. It will be appreciated, however, that other implant concentrations and penetration depths are contemplated as falling within the scope of the present invention.
  • The methodology then proceeds to 206 wherein halo regions are formed within the semiconductor substrate. Halo implants (or pocket implants) can facilitate scaling the device channel length by creating localized dopant distributions near the source/drain (S/D) regions, where the distributions may extend, at least partially, under the channel. In this manner, halos can mitigate unwanted source/drain leakage conduction, or punchthrough current, and as such are sometimes referred to as “punchthrough stoppers”. A quad high-angle implant may be utilized, for example, to place a halo dose around an edge of the gate structure in source/drain regions of the semiconductor substrate. In one example, such a high-angle implant can place a p-type halo dopant having a concentration of about 1 to 3E19 atoms/cm3 at the center of the halos for an NMOS transistor. It will be appreciated, however, that other implant concentrations are contemplated as falling within the scope of the present invention.
  • Then, at 208 a first oxide layer is formed over the gate and exposed portions of the substrate. The first oxide layer can be formed to a thickness of about 20 to 120 Angstroms, for example. A nitride layer and a second oxide layer are then sequentially formed over the first oxide layer at 210 and 212, respectively. The nitride layer can be formed to a thickness of about 50 to 200 Angstroms and the second oxide layer can be formed to about 400 to 800 Angstroms, for example. It will be appreciated that the first oxide layer 208, nitride layer 210 and second oxide layer 212 can be formed in any number of suitable ways, such as with spin-on techniques, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques such as chemical vapor deposition (CVD), for example.
  • At 214, the second oxide layer is processed (e.g., via dry etching, ion miling, or other suitable reduction techniques) to reveal oxide sidewall spacers adjacent to the gate. Such sidewall spacers can have a width of about 300 to 800 Angstroms, for example. At 216, some of the oxide material is processed to reduce the sidewall spacers and the nitride layer is processed to remove nitride material not covered/protected by the oxide sidewall spacers. It will be appreciated, however, that the processing of the nitride layer and the oxide sidewall spacers may be performed in separate acts, where nitride material is selectively removed and then oxide is subsequently selectively removed (e.g., via wet etching, CMP, other suitable reduction techniques), where the residual nitride material underlying the oxide sidewall spacers serves as a processing stopper. In one example, the second oxide layer on the nitride layer is partially removed, and in another example, the oxide material is completely removed.
  • At 218, source and drain implants are performed at relatively low energies. These implants are substantially blocked by the sidewall spacers and the residual nitride material as well as any residual second oxide material that may be residing there-over. Accordingly, the sidewall spacers and residual nitride material together act as a boundary that guides the dopants into source and drain regions of the substrate. By way of example, a dopant of Arsenic or other suitable substance having a peak concentration of about 0.5 to 5E20 atoms/cm3 may be implanted at an energy level of about 20 to 50 KeV to provide dopant to an implant range into silicon of about 300-350 Angstroms, for example.
  • A compensation implant is then performed at 220 to place dopant deeper into the source and drain regions of the substrate. By way of example, a dopant of Phosphorous or other suitable substance having a peak concentration of about 5E17 to 5E19 atoms/cm3 may be implanted at an energy level of about 30 to 40 KeV to provide dopant to an implant range into silicon of about 600-700 Angstroms. It will be appreciated that the compensation dopant penetrates deeper into the substrate as a result of the different (e.g., greater) mass of the compensation dopant relative to the dopant utilized for the source/drain implants at 218 and/or a different (e.g., greater) energy level utilized during the compensation implant. Regardless, the compensation dopant is generally implanted into the substrate to a depth about twice that of the source/drain implant at 218.
  • It will be appreciated that the subsequent selective oxide etching performed at 216 may result in a reduction in the oxide sidewall spacers such that they are not flush with remaining/underlying nitride material. In this manner, some of the remaining nitride material may overlie underlying substrate areas whereas the oxide spacers no longer cover these areas. The type of dopant and/or energy level utilized in the compensation implant facilitates passage of the compensation dopant through the nitride material, whereas the oxide portion of the spacers substantially block the compensation dopant (as well as the source/drain dopant implanted at 218). Accordingly, a portion of the dopant implanted into the source and drain regions during the compensation implant at 220 is implanted closer to the channel. Moving the deep source/drain junctions towards the channel in this fashion reduces gate sidewall junction capacitances by smoothing out the junctions, thus facilitating improved switching speeds, as will be further appreciated infra.
  • Turning now to FIGS. 10-23, an exemplary technique for forming a transistor according to one or more aspects of the present invention is disclosed. Initially, a layer of gate dielectric material 302 is formed upon a semiconductor substrate 304 (FIG. 10). It is to be appreciated that the term “semiconductor substrate” as used herein can include a base semiconductor wafer (e.g., silicon, SiGe, or an SOI wafer) and any epitaxial layers or other type semiconductor layers formed thereover or associated therewith. It is to be further appreciated that elements depicted herein are illustrated with particular dimensions relative to one another (e.g., layer to layer dimensions and/or orientations) for purposes of simplicity and ease of understanding, and that actual dimensions of the elements may differ substantially from that illustrated herein.
  • The layer of gate dielectric material 302 can include any of a number of suitable materials. Some examples include silicon dioxide, high-k materials, or a stack of such layers. It will be appreciated that the layer of gate dielectric material 302 can be formed across the substrate 304 in any of a number of suitable manners, including, for example, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques, such as chemical vapor deposition (CVD). The layer of gate dielectric material can also be formed to a thickness of about 2.0 nanometers or more to mitigate “tunneling”, and can have an equivalent oxide thickness (EOT) of about 2.0 nanometers or less, for example, so as to maintain and exhibit desired electrical properties. A dielectric material having a k of about 7.8 and a thickness of about 10 nm, for example, is substantially electrically equivalent to an oxide gate dielectric having a k of about 3.9 and a thickness of about 5 nm.
  • A gate electrode layer 306 is then formed over the layer of gate dielectric material 302 (e.g., via spin-on, sputtering, deposition, growth techniques, etc.) (FIG. 11). The gate electrode layer 306 generally includes doped polysilicon, SiGe or metal, and can be formed to a thickness of about 200 nanometers or less, for example. The gate electrode layer 306 ultimately yields a contact area or surface that provides a means for applying a voltage to the transistor 300 or otherwise biasing the transistor 300.
  • The gate electrode layer 306 and the layer of gate dielectric material 302 are then patterned to form a gate structure 308 (FIG. 12). The gate structure 308 thus comprises a gate electrode 310 and a gate dielectric 312. It will be appreciated that the layers can be patterned in any suitable manner(s), either alone or in combination, to form the gate structure 308, such as by etching.
  • After the gate structure 308 is formed, a dopant 314 is applied to the substrate 304 to form source and drain extension regions 316, 318 therein (FIG. 13). Such extension regions may, for example, be formed according to HDD (highly doped drain) techniques. The extension regions abut a channel region 320 within the substrate 304 under the gate structure 308 and facilitate absorption of some of the potential associated with the drain. In this manner, some of this potential is directed away from the drain/channel interface, thereby mitigating the occurrence of channel hot carriers and the adverse effects associated therewith. By way of example, a p-type dopant having a concentration of about 1E19 to 5E20 atoms/cm3 for a PMOS transistor, or an n-type dopant having concentration of about 1E19 to 9.5E20 atoms/cm3 for an NMOS transistor can be implanted to a depth of about 300-350 Angstroms, for example, to establish the extension regions 316, 318. It will be appreciated, however, that other implant concentrations and penetration depths are contemplated as falling within the scope of the present invention.
  • Another dopant 322 is then applied to the substrate 304 to form halo regions 324, 326 over the extension regions 316, 318 (FIG. 14). The halo implants (or pocket implants) can facilitate scaling channel length by creating localized dopant distributions near the source/drain (S/D) regions, where the distributions may extend, at least partially, under the channel. In this manner, halos can mitigate unwanted source/drain leakage conduction, or punchthrough current, and as such, are sometimes referred to as “punchthrough stoppers”. A quad high-angle implant may be utilized, for example, to place a halo dose around an edge of the gate structure in source/drain regions of the semiconductor substrate. In one example, such a high-angle implant can place a halo dopant having a concentration of about 1 to 3E19 atoms/cm3 at the center of the halos for an NMOS transistor. It will be appreciated, however, that other implant concentrations are contemplated as falling within the scope of the present invention.
  • A first oxide layer 328 is then formed over the gate structure 308 and exposed portions of the substrate 304 (FIG. 15). The first oxide layer 328 can be formed to a thickness of about 20 to 120 Angstroms, for example. A nitride layer 330 is then formed over the first oxide layer 328 (FIG. 16), and a second oxide layer 332 is formed over the nitride layer 330 (FIG. 17). The nitride layer 330 can be formed to a thickness of about 50 to 200 Angstroms and the second oxide layer 332 can be formed to about 400 to 800 Angstroms, for example. It will be appreciated that the first oxide layer 328, nitride layer 330 and second oxide layer 332 can be formed in any number of suitable ways, such as with spin-on techniques, sputtering techniques (e.g., magnetron or ion beam sputtering), growth and/or deposition techniques such as chemical vapor deposition (CVD), for example. The layers 328, 330 and 332 are not drawn to scale in the figures, but instead are shown enlarged to help facilitate an understanding of one or more aspects of the present invention.
  • The second oxide layer 332 is then processed (e.g., via dry etching or other suitable reduction techniques) to reveal oxide sidewall spacers 334, 336 adjacent to the gate structure 308 (FIG. 18). Such sidewall spacers can have a width 338 of about 300 to 800 Angstroms, for example. It will be appreciated that this processing is selective such that the underlying nitride layer 330 is substantially unaffected by the processing.
  • The nitride layer 330 is then processed (e.g., etched) to remove nitride material not covered/protected by the oxide sidewall spacers 334, 336 (FIG. 19). In the example shown the remaining or residual nitride material 330 has an “L” shape. It will be appreciated that this processing is also substantially selective such that the oxide sidewall spacers 334, 336 are not affected thereby. It will be further appreciated that any differences in the height and/or other dimension(s) of the oxide spacers 334, 336 depicted in the Figures is merely incidental and/or the result of intermediate acts that are generally understood, but that are not shown or described herein.
  • Alternatively, the “L” shaped region may comprise another material having a slower etch rate than oxide. For example, the layer may comprise polysilicon or other suitable material, and such alternatives are contemplated by the present invention. Similarly, the first oxide layer could be replaced with another suitable material in accordance with the present invention.
  • A portion of the remaining oxide material is subsequently processed (e.g., etched) to reduce the size of the sidewall spacers 334, 336 and to remove some or all of the exposed portions of the first oxide layer 328 (FIG. 20). The width 338 (FIGS. 18 and 19) of the sidewall spacers 334, 336 may, for example, be reduced to 338′ (FIG. 20), where 338 is greater than 338′. Again, this processing is substantially selective such that the remaining (L shaped) nitride material 330 is not affected thereby. In this manner, portions of the first oxide layer 328 underlying the residual nitride material 330 are not affected by the processing. Moreover, the underlying L shaped nitride layer 330 can serve as a process (e.g., etch) stopper as the spacers are being processed. It will be appreciated that any differences in the height and/or other dimension(s) of the residual L shaped nitride material 330 depicted in the Figures is merely incidental and/or the result of intermediate acts that are generally understood, but that are not shown or described herein.
  • It will be appreciated that the oxide sidewall spacers 334, 336 and the nitride layer 330 are processed such that the sidewall spacers 334, 336 are reduced more than the nitride layer 330 (FIG. 20). In this manner, a portion 339 of the residual L shaped nitride material 330 having a width 339′ overlies areas of the substrate 304 that are not covered by the oxide sidewall spacers 334, 336. This arrangement can be utilized to achieve certain doping profiles in the substrate 304 as will be described below.
  • Additional, dopant 340 is then implanted in forming the source and drain regions 342, 344 (FIG. 21). These implants are done at relatively low energies and are substantially blocked by the sidewall spacers 334, 336 and the residual nitride material 330. Accordingly, the sidewall spacers 334, 336 and residual nitride material 330 together act as a boundary that guides the dopants 340 in forming the source and drain regions 342, 344 in the substrate 304. By way of example, a dopant of Arsenic or other suitable substance having a concentration of about 0.5 to 5E20 atoms/cm3 may be implanted at an energy level of about 20 to 50 KeV to provide dopant to an implant range into silicon of about 300-350 Angstroms to form the source and drain regions 342, 344. It will be appreciated, however, that other implant concentrations, energy levels and/or penetration depths are contemplated as falling within the scope of the present invention.
  • More dopant 346 is then implanted into the source and drain regions in the substrate 304 to form compensation regions 348, 350 (FIG. 22). The dopant 246 in the compensation regions 348, 350 are implanted relatively deeply into the substrate 304. By way of example, a dopant of Phosphorous or other suitable substance having a concentration of about 5E17 to 5E19 atoms/cm3 may be implanted at an energy level of about 30 to 40 KeV to provide dopant to an implant range into silicon of about 600-700 Angstroms, for example. It will be appreciated that the compensation dopant 346 penetrates deeper into the substrate 304 as a result of the different (e.g., greater) mass and/or energy level of the compensation dopant 346 relative to the other dopants 314, 322, 340 utilized in doping the substrate 304. In general, the compensation dopant 346 is implanted into the substrate 304 to a depth about twice that of the dopant 340 utilized in establishing the source and drain regions 342, 344.
  • It will be appreciated that the selective oxide etching discussed above with respect to FIG. 20 leaves a portion 339 of the L shaped nitride material uncovered by the oxide sidewall spacers 334, 336. The dopant 346 and/or energy level utilized in the compensation implant (FIG. 22) facilitates passage of the compensation dopant through the nitride material 330, whereas the oxide spacers 334, 336 substantially block the compensation dopant 346, as well as the source/drain dopant 340 (FIG. 21). Accordingly, the compensation dopant 346 is implanted in the substrate closer to the channel 320 than the source/drain dopant 340. The separation between the deep compensation implants is thus smaller than it would be had the oxide sidewall spacers not been subsequently selectively processed (e.g., etched) to remove some of the oxide material and expose a portion 339 of the L shaped nitride layer. This is evident by a comparison of the distance 352 between the deep compensation implants 348, 350 where the sidewall spacers 334, 336 have been processed further (FIG. 22) and the distance 352′ between the deep compensation implants 348, 350 in a situation where the sidewall spacers 334, 336 have not been subsequently processed (FIG. 23).
  • It can be seen in FIGS. 22 and 23 that 352′ is greater than 352 by an amount equal to two times the width 339′ of the portion 339 of the L shaped nitride layer 330 that is not covered by the oxide spacers 334, 336. Since the source/ drain regions 342, 344 and the compensation regions 348, 350 are somewhat aligned FIG. 23, the distance 352′ can also be said to correspond to the distance between the source 342 and drain 344 regions. Moving the deep junctions towards the channel 320 in the manner illustrated in FIG. 22 reduces junction areas where capacitance can arise. Reducing bottom wall areas in this fashion mitigates the opportunity for capacitive build up to occur, and thereby facilitates improved switching speeds.
  • Accordingly, it will be appreciated that one or more aspects of the present invention facilitate channel length scaling by altering short channel characteristics. By changing the doping profile in and around the channel region, the distribution of the dopants and potential contours can be changed to facilitate attaining higher switching speeds in a MOS transistor, at a higher drive current (Ids), but at a lower junction capacitance under the gate (Cjswg).
  • Although the invention has been shown and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art based upon a reading and understanding of this specification and the annexed drawings. The invention includes all such modifications and alterations and is limited only by the scope of the following claims. In particular regard to the various functions performed by the above described components (assemblies, devices, circuits, etc.), the terms (including a reference to a “means”) used to describe such components are intended to correspond, unless otherwise indicated, to any component which performs the specified function of the described component (i.e., that is functionally equivalent), even though not structurally equivalent to the disclosed structure which performs the function in the herein illustrated exemplary implementations of the invention. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application. Furthermore, to the extent that the terms “includes”, “having”, “has”, “with”, or variants thereof are used in either the detailed description or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”

Claims (7)

1-27. (canceled)
28. A transistor comprising:
a gate structure formed over a substrate;
a source region formed within the substrate adjacent the gate structure;
a drain region formed within the substrate adjacent the gate structure;
a first compensation region formed within the substrate adjacent the gate structure and within the source region;
a second compensation region formed within the substrate adjacent the gate structure and within the drain region;
a channel underlying the gate structure and separating the source and drain regions and the first and second compensation regions, the source and drain regions being separated by a first distance and the first and second compensation regions being separated by a second distance, the first distance being greater than the second distance.
29-33. (canceled)
34. A transistor, comprising:
a gate structure overlying a semiconductor body;
source and drain regions having a first depth and a first conductivity type within the semiconductor body, and defining a channel region therebetween having a second conductivity type below the gate structure;
extension regions of the first conductivity type having a second depth within the semiconductor body, and disposed between the source and drain regions and the channel, respectively;
halo regions of the second conductivity type having a third depth within the semiconductor body, and extending below the extension regions, wherein the third depth is greater than the second depth;
compensation regions of the first conductivity type having a portion disposed between the source and drain regions and their corresponding extension regions with a fourth depth, wherein the fourth depth is greater than the second depth and less than the third depth, and wherein a dopant concentration of the compensation regions is less than a dopant concentration of the source and drain regions, thereby defining a generally laterally extending junction having a first portion nearest the channel corresponding to the extension regions and halo regions, and a second portion corresponding to the compensation regions and the halo regions, respectively.
35. The transistor of claim 34, further comprising a multi-component spacer associated with sidewalls of the gate structure, the multi-component spacer comprising:
an “L” shaped spacer generally adjacent the sidewalls of the gate structure; and
another spacer residing on a first portion of the “L” shaped spacer and exposing a second portion of the “L” shaped spacer,
wherein the exposed portion of the “L” shaped spacer has a width that corresponds to a width of the second portion of the generally laterally extending junction.
36. The transistor of claim 35, wherein the “L” shaped spacer comprises an “L” shaped oxide spacer and an “L” shaped nitride spacer lying thereover.
37. The transistor of claim 35, wherein the “L” shaped spacer comprises an “L” shaped oxide spacer and an “L” shaped polysilicon spacer lying thereover, and wherein the another spacer comprises an oxide overlying a portion of the polysilicon.
US11/127,941 2003-06-30 2005-05-11 Novel process method of source drain spacer engineering to improve transistor capacitance Abandoned US20050212041A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/127,941 US20050212041A1 (en) 2003-06-30 2005-05-11 Novel process method of source drain spacer engineering to improve transistor capacitance

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/609,823 US6913980B2 (en) 2003-06-30 2003-06-30 Process method of source drain spacer engineering to improve transistor capacitance
US11/127,941 US20050212041A1 (en) 2003-06-30 2005-05-11 Novel process method of source drain spacer engineering to improve transistor capacitance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/609,823 Division US6913980B2 (en) 2003-06-30 2003-06-30 Process method of source drain spacer engineering to improve transistor capacitance

Publications (1)

Publication Number Publication Date
US20050212041A1 true US20050212041A1 (en) 2005-09-29

Family

ID=33540932

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/609,823 Expired - Lifetime US6913980B2 (en) 2003-06-30 2003-06-30 Process method of source drain spacer engineering to improve transistor capacitance
US11/127,941 Abandoned US20050212041A1 (en) 2003-06-30 2005-05-11 Novel process method of source drain spacer engineering to improve transistor capacitance

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/609,823 Expired - Lifetime US6913980B2 (en) 2003-06-30 2003-06-30 Process method of source drain spacer engineering to improve transistor capacitance

Country Status (1)

Country Link
US (2) US6913980B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110298028A1 (en) * 2005-12-08 2011-12-08 Ahn Kie Y Hafnium tantalum titanium oxide films
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100913323B1 (en) * 2002-12-30 2009-08-20 동부일렉트로닉스 주식회사 Method for formung a transistor in a semiconductor device
WO2004114390A1 (en) * 2003-06-20 2004-12-29 Nec Corporation Semiconductor device and production method therefor
US6812105B1 (en) * 2003-07-16 2004-11-02 International Business Machines Corporation Ultra-thin channel device with raised source and drain and solid source extension doping
US6995065B2 (en) * 2003-12-10 2006-02-07 International Business Machines Corporation Selective post-doping of gate structures by means of selective oxide growth
JP4541125B2 (en) * 2004-12-15 2010-09-08 パナソニック株式会社 SEMICONDUCTOR DEVICE HAVING FIELD EFFECT TRANSISTOR HAVING HIGH DIELECTRIC GATE INSULATION FILM AND METHOD FOR MANUFACTURING SAME
JP2006278873A (en) * 2005-03-30 2006-10-12 Seiko Epson Corp Semiconductor device and its fabrication process
US7501336B2 (en) * 2005-06-21 2009-03-10 Intel Corporation Metal gate device with reduced oxidation of a high-k gate dielectric
US7759206B2 (en) * 2005-11-29 2010-07-20 International Business Machines Corporation Methods of forming semiconductor devices using embedded L-shape spacers
JP4410222B2 (en) * 2006-06-21 2010-02-03 株式会社東芝 Semiconductor device and manufacturing method thereof
US7649226B2 (en) * 2007-02-06 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain structures and manufacturing methods
US7648924B2 (en) * 2007-03-30 2010-01-19 Macronix International Co., Ltd. Method of manufacturing spacer
CN101290885B (en) * 2007-04-16 2010-05-26 旺宏电子股份有限公司 Manufacturing method of separating wall
CN105322013B (en) * 2014-07-17 2020-04-07 联华电子股份有限公司 Semiconductor device and method for forming the same
CN106531629B (en) * 2016-12-23 2019-03-12 武汉新芯集成电路制造有限公司 A kind of side wall time carving technology

Citations (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4376947A (en) * 1979-09-04 1983-03-15 Texas Instruments Incorporated Electrically programmable floating gate semiconductor memory device
US4599118A (en) * 1981-12-30 1986-07-08 Mostek Corporation Method of making MOSFET by multiple implantations followed by a diffusion step
US4636822A (en) * 1984-08-27 1987-01-13 International Business Machines Corporation GaAs short channel lightly doped drain MESFET structure and fabrication
US4649629A (en) * 1985-07-29 1987-03-17 Thomson Components - Mostek Corp. Method of late programming a read only memory
US4928156A (en) * 1987-07-13 1990-05-22 Motorola, Inc. N-channel MOS transistors having source/drain regions with germanium
US4935379A (en) * 1984-12-27 1990-06-19 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US4949136A (en) * 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
US5015598A (en) * 1989-11-03 1991-05-14 U.S. Philips Corporation Method of manufacturing a device comprising MIS transistors having a gate electrode in the form of an inverted "T"
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
US5173754A (en) * 1992-02-03 1992-12-22 Micron Technology, Inc. Integrated circuit device with gate in sidewall
US5218221A (en) * 1989-10-20 1993-06-08 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5320974A (en) * 1991-07-25 1994-06-14 Matsushita Electric Industrial Co., Ltd. Method for making semiconductor transistor device by implanting punch through stoppers
US5422506A (en) * 1991-04-01 1995-06-06 Sgs-Thomson Microelectronics, Inc. Field effect transistor structure heavily doped source/drain regions and lightly doped source/drain regions
US5426063A (en) * 1993-03-24 1995-06-20 Sharp Kabushiki Kaisha Method of making a field effect transistor with submicron channel length and threshold implant using oblique implantation
US5691560A (en) * 1994-07-19 1997-11-25 Mitsubishi Denki Kabushiki Kaisha Nonvolatile semiconductor memory device and method of manufacturing the same
US5719425A (en) * 1996-01-31 1998-02-17 Micron Technology, Inc. Multiple implant lightly doped drain (MILDD) field effect transistor
US5757045A (en) * 1996-07-17 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd. CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation
US5811340A (en) * 1996-10-25 1998-09-22 Lg Semicon Co., Ltd. Metal oxide semiconductor field effect transistor and method of manufacturing the same
US5895955A (en) * 1997-01-10 1999-04-20 Advanced Micro Devices, Inc. MOS transistor employing a removable, dual layer etch stop to protect implant regions from sidewall spacer overetch
US5920774A (en) * 1998-02-17 1999-07-06 Texas Instruments - Acer Incorporate Method to fabricate short-channel MOSFETS with an improvement in ESD resistance
US6040603A (en) * 1999-04-30 2000-03-21 Worldwide Semiconductor Manufacturing Corporation Electrostatic discharge protection circuit employing MOSFETs having double ESD implantations
US6081010A (en) * 1992-10-13 2000-06-27 Intel Corporation MOS semiconductor device with self-aligned punchthrough stops and method of fabrication
US6104063A (en) * 1996-12-06 2000-08-15 Advanced Micro Devices, Inc. Multiple spacer formation/removal technique for forming a graded junction
US6200840B1 (en) * 1999-06-25 2001-03-13 United Microelectronics Corp. Method for producing PMOS devices
US6274906B1 (en) * 1997-11-25 2001-08-14 Samsung Electronics Co., Ltd MOS transistor for high-speed and high-performance operation and manufacturing method thereof
US6274446B1 (en) * 1999-09-28 2001-08-14 International Business Machines Corporation Method for fabricating abrupt source/drain extensions with controllable gate electrode overlap
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6365472B1 (en) * 1996-12-17 2002-04-02 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20020072182A1 (en) * 2000-12-12 2002-06-13 Samsung Electronics Co., Ltd. Method of forming germanium doped polycrystalline silicon gate of MOS transistor and method of forming CMOS transistor device using the same
US20020127763A1 (en) * 2000-12-28 2002-09-12 Mohamed Arafa Sidewall spacers and methods of making same
US20020151145A1 (en) * 2000-12-14 2002-10-17 Reel/Frame Sacrificial polysilicon sidewall process and rapid thermal spike annealing for advance CMOS fabrication
US6479359B2 (en) * 2000-04-03 2002-11-12 Hynix Semiconductor, Inc. Low-resistance gate transistor and method for fabricating the same
US6492665B1 (en) * 1998-07-28 2002-12-10 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US6506653B1 (en) * 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US6506650B1 (en) * 2001-04-27 2003-01-14 Advanced Micro Devices, Inc. Method of fabrication based on solid-phase epitaxy for a MOSFET transistor with a controlled dopant profile
US20030032228A1 (en) * 2000-08-31 2003-02-13 Honeycutt Jeffrey W. Lightly doped drain MOS transistor
US6552394B2 (en) * 1996-07-09 2003-04-22 Micron Technology, Inc. Semiconductor transistor devices and structures with halo regions
US6566183B1 (en) * 2001-12-12 2003-05-20 Steven A. Chen Method of making a transistor, in particular spacers of the transistor
US20030127694A1 (en) * 2000-09-26 2003-07-10 Alec Morton Higher voltage transistors for sub micron CMOS processes
US6660605B1 (en) * 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
US6709939B2 (en) * 2001-04-24 2004-03-23 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US20040072395A1 (en) * 2002-10-11 2004-04-15 Kaiping Liu Method to produce localized halo for MOS transistor
US20040110351A1 (en) * 2002-12-05 2004-06-10 International Business Machines Corporation Method and structure for reduction of junction capacitance in a semiconductor device and formation of a uniformly lowered threshold voltage device
US20040124450A1 (en) * 2002-03-19 2004-07-01 Yeap Geoffrey C-F Integrated circuit device and method therefor
US6828203B2 (en) * 1998-01-23 2004-12-07 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6924180B2 (en) * 2003-02-10 2005-08-02 Chartered Semiconductor Manufacturing Ltd. Method of forming a pocket implant region after formation of composite insulator spacers

Patent Citations (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4376947A (en) * 1979-09-04 1983-03-15 Texas Instruments Incorporated Electrically programmable floating gate semiconductor memory device
US4599118A (en) * 1981-12-30 1986-07-08 Mostek Corporation Method of making MOSFET by multiple implantations followed by a diffusion step
US4636822A (en) * 1984-08-27 1987-01-13 International Business Machines Corporation GaAs short channel lightly doped drain MESFET structure and fabrication
US4935379A (en) * 1984-12-27 1990-06-19 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US4649629A (en) * 1985-07-29 1987-03-17 Thomson Components - Mostek Corp. Method of late programming a read only memory
US4928156A (en) * 1987-07-13 1990-05-22 Motorola, Inc. N-channel MOS transistors having source/drain regions with germanium
US4949136A (en) * 1988-06-09 1990-08-14 University Of Connecticut Submicron lightly doped field effect transistors
US5218221A (en) * 1989-10-20 1993-06-08 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US5015598A (en) * 1989-11-03 1991-05-14 U.S. Philips Corporation Method of manufacturing a device comprising MIS transistors having a gate electrode in the form of an inverted "T"
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
US5422506A (en) * 1991-04-01 1995-06-06 Sgs-Thomson Microelectronics, Inc. Field effect transistor structure heavily doped source/drain regions and lightly doped source/drain regions
US5320974A (en) * 1991-07-25 1994-06-14 Matsushita Electric Industrial Co., Ltd. Method for making semiconductor transistor device by implanting punch through stoppers
US5173754A (en) * 1992-02-03 1992-12-22 Micron Technology, Inc. Integrated circuit device with gate in sidewall
US6081010A (en) * 1992-10-13 2000-06-27 Intel Corporation MOS semiconductor device with self-aligned punchthrough stops and method of fabrication
US5426063A (en) * 1993-03-24 1995-06-20 Sharp Kabushiki Kaisha Method of making a field effect transistor with submicron channel length and threshold implant using oblique implantation
US5691560A (en) * 1994-07-19 1997-11-25 Mitsubishi Denki Kabushiki Kaisha Nonvolatile semiconductor memory device and method of manufacturing the same
US6048770A (en) * 1994-07-19 2000-04-11 Mitsubishi Denki Kabushiki Kaisha Nonvolatile semiconductor memory device and method of manufacturing the same
US5719425A (en) * 1996-01-31 1998-02-17 Micron Technology, Inc. Multiple implant lightly doped drain (MILDD) field effect transistor
US6552394B2 (en) * 1996-07-09 2003-04-22 Micron Technology, Inc. Semiconductor transistor devices and structures with halo regions
US5757045A (en) * 1996-07-17 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd. CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation
US5811340A (en) * 1996-10-25 1998-09-22 Lg Semicon Co., Ltd. Metal oxide semiconductor field effect transistor and method of manufacturing the same
US6078086A (en) * 1996-10-25 2000-06-20 Lg Semicon Co., Ltd. Metal oxide semiconductor field effect transistor and method of manufacturing the same
US6104063A (en) * 1996-12-06 2000-08-15 Advanced Micro Devices, Inc. Multiple spacer formation/removal technique for forming a graded junction
US6365472B1 (en) * 1996-12-17 2002-04-02 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US5895955A (en) * 1997-01-10 1999-04-20 Advanced Micro Devices, Inc. MOS transistor employing a removable, dual layer etch stop to protect implant regions from sidewall spacer overetch
US6274906B1 (en) * 1997-11-25 2001-08-14 Samsung Electronics Co., Ltd MOS transistor for high-speed and high-performance operation and manufacturing method thereof
US6828203B2 (en) * 1998-01-23 2004-12-07 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050009256A1 (en) * 1998-01-23 2005-01-13 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US5920774A (en) * 1998-02-17 1999-07-06 Texas Instruments - Acer Incorporate Method to fabricate short-channel MOSFETS with an improvement in ESD resistance
US6492665B1 (en) * 1998-07-28 2002-12-10 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US6040603A (en) * 1999-04-30 2000-03-21 Worldwide Semiconductor Manufacturing Corporation Electrostatic discharge protection circuit employing MOSFETs having double ESD implantations
US6200840B1 (en) * 1999-06-25 2001-03-13 United Microelectronics Corp. Method for producing PMOS devices
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6274446B1 (en) * 1999-09-28 2001-08-14 International Business Machines Corporation Method for fabricating abrupt source/drain extensions with controllable gate electrode overlap
US6407436B1 (en) * 1999-09-28 2002-06-18 International Business Machines Corporation Semiconductor device with abrupt source/drain extensions with controllable gate electrode overlap
US6506653B1 (en) * 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US20030038308A1 (en) * 2000-04-03 2003-02-27 Hynix Semiconductor, Inc. Low-resistance gate transistor and method for fabricating the same
US6479359B2 (en) * 2000-04-03 2002-11-12 Hynix Semiconductor, Inc. Low-resistance gate transistor and method for fabricating the same
US20030032228A1 (en) * 2000-08-31 2003-02-13 Honeycutt Jeffrey W. Lightly doped drain MOS transistor
US20030127694A1 (en) * 2000-09-26 2003-07-10 Alec Morton Higher voltage transistors for sub micron CMOS processes
US20020072182A1 (en) * 2000-12-12 2002-06-13 Samsung Electronics Co., Ltd. Method of forming germanium doped polycrystalline silicon gate of MOS transistor and method of forming CMOS transistor device using the same
US6518136B2 (en) * 2000-12-14 2003-02-11 International Business Machines Corporation Sacrificial polysilicon sidewall process and rapid thermal spike annealing for advance CMOS fabrication
US20020151145A1 (en) * 2000-12-14 2002-10-17 Reel/Frame Sacrificial polysilicon sidewall process and rapid thermal spike annealing for advance CMOS fabrication
US20020127763A1 (en) * 2000-12-28 2002-09-12 Mohamed Arafa Sidewall spacers and methods of making same
US6709939B2 (en) * 2001-04-24 2004-03-23 Hynix Semiconductor Inc. Method for fabricating semiconductor device
US6506650B1 (en) * 2001-04-27 2003-01-14 Advanced Micro Devices, Inc. Method of fabrication based on solid-phase epitaxy for a MOSFET transistor with a controlled dopant profile
US6566183B1 (en) * 2001-12-12 2003-05-20 Steven A. Chen Method of making a transistor, in particular spacers of the transistor
US20040124450A1 (en) * 2002-03-19 2004-07-01 Yeap Geoffrey C-F Integrated circuit device and method therefor
US6743684B2 (en) * 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US20040166611A1 (en) * 2002-10-11 2004-08-26 Kaiping Liu Method to produce localized halo for MOS transistor
US20040072395A1 (en) * 2002-10-11 2004-04-15 Kaiping Liu Method to produce localized halo for MOS transistor
US6660605B1 (en) * 2002-11-12 2003-12-09 Texas Instruments Incorporated Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
US20040110351A1 (en) * 2002-12-05 2004-06-10 International Business Machines Corporation Method and structure for reduction of junction capacitance in a semiconductor device and formation of a uniformly lowered threshold voltage device
US6924180B2 (en) * 2003-02-10 2005-08-02 Chartered Semiconductor Manufacturing Ltd. Method of forming a pocket implant region after formation of composite insulator spacers

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20110298028A1 (en) * 2005-12-08 2011-12-08 Ahn Kie Y Hafnium tantalum titanium oxide films
US8405167B2 (en) * 2005-12-08 2013-03-26 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8685815B2 (en) 2005-12-08 2014-04-01 Micron Technology, Inc. Hafnium tantalum titanium oxide films

Also Published As

Publication number Publication date
US20040266121A1 (en) 2004-12-30
US6913980B2 (en) 2005-07-05

Similar Documents

Publication Publication Date Title
US20050212041A1 (en) Novel process method of source drain spacer engineering to improve transistor capacitance
US6660605B1 (en) Method to fabricate optimal HDD with dual diffusion process to optimize transistor drive current junction capacitance, tunneling current and channel dopant loss
JP4391745B2 (en) Manufacturing method of FET with notch gate
US7645650B2 (en) Double gated transistor and method of fabrication
US8786057B2 (en) Integrated circuit on corrugated substrate
US7265008B2 (en) Method of IC production using corrugated substrate
US7247887B2 (en) Segmented channel MOS transistor
US7635632B2 (en) Gate electrode for a semiconductor fin device
JP4624782B2 (en) MOS transistor and method for forming the same
US6225669B1 (en) Non-uniform gate/dielectric field effect transistor
US20060091490A1 (en) Self-aligned gated p-i-n diode for ultra-fast switching
US6352885B1 (en) Transistor having a peripherally increased gate insulation thickness and a method of fabricating the same
KR20080058341A (en) Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US20070166906A1 (en) Method to Reduce Transistor Gate to Source/Drain Overlap Capacitance by Incorporation of Carbon
US7112497B2 (en) Multi-layer reducible sidewall process
US20050263834A1 (en) Encapsulated spacer with low dielectric constant material to reduce the parasitic capacitance between gate and drain in CMOS technology
US8536036B2 (en) Predoped semiconductor material for a high-K metal gate electrode structure of P- and N-channel transistors
KR100694331B1 (en) Semiconductor device and method of manufacturing same
US7067434B2 (en) Hydrogen free integration of high-k gate dielectrics
US7557022B2 (en) Implantation of carbon and/or fluorine in NMOS fabrication
US20060270139A1 (en) Methods for Transistor Formation Using Selective Gate Implantation
US20050136580A1 (en) Hydrogen free formation of gate electrodes
US20180323300A1 (en) Finfet device and fabrication method thereof
JP2003023147A (en) Semiconductor device and manufacturing method therefor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION