US20050205210A1 - Advanced multi-pressure workpiece processing - Google Patents

Advanced multi-pressure workpiece processing Download PDF

Info

Publication number
US20050205210A1
US20050205210A1 US11/030,362 US3036205A US2005205210A1 US 20050205210 A1 US20050205210 A1 US 20050205210A1 US 3036205 A US3036205 A US 3036205A US 2005205210 A1 US2005205210 A1 US 2005205210A1
Authority
US
United States
Prior art keywords
pressure
processing chamber
workpiece
chamber
preheating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/030,362
Inventor
Daniel Devine
Rene George
Ryan Pakulski
David Barker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Priority to US11/030,362 priority Critical patent/US20050205210A1/en
Assigned to MATTSON TECHNOLOGY, INC. reassignment MATTSON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DEVINE, DANIEL J., GEORGE, RENE, BARKER, DAVID ALAN, PAKULSKI, RYAN MICHAEL
Publication of US20050205210A1 publication Critical patent/US20050205210A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Definitions

  • the present invention is related generally to the field of processing one or more workpieces and, more particularly, to a system and method which carries out an overall procedure on workpieces by using more than one pressure.
  • Manufacturing workpieces such as, for example, semiconductor wafers is often best performed using different pressures at different points in an overall process.
  • a multi-pressure process is described in U.S. Pat. No. 6,409,932 (hereinafter the '932 patent).
  • AVA atmosphere-to-vacuum-to-atmosphere
  • a wafer is heated to a desired process temperature in the process chamber, the process chamber is then pumped down to a desired process pressure, the wafer is subjected to a plasma, the chamber is vented back to atmospheric pressure and the wafer is exchanged with another wafer.
  • AVA atmosphere-to-vacuum-to-atmosphere
  • the '932 patent takes advantage of the well-known principle that heat transfer efficiency is increased with increased gas pressure.
  • the '932 patent utilizes an intermediate pressure at which the wafer is heated in a processing chamber, after reducing the processing chamber pressure from a load/unload pressure.
  • the load/unload pressure is not required to be atmospheric, but is nonetheless higher than the intermediate pressure.
  • the '932 patent imposes constraints and problems which serve to limit further enhancement of system throughput.
  • the present invention is considered to remove the foregoing constraints and problems while providing still further advantages.
  • the system includes at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber.
  • the system further includes a process gas regulation arrangement for providing process gas to the processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing the process gas at a maximum flow rate.
  • the transfer chamber pressure and the processing chamber pressure are equalized to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process.
  • the workpiece is transferred from the transfer chamber to the processing chamber at the treatment pressure.
  • the workpiece is preheated to a treatment temperature, in cooperation with raising the processing chamber pressure to a preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure.
  • the processing chamber pressure is reduced to the treatment pressure.
  • the workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and at the treatment temperature.
  • the transfer chamber pressure and the processing chamber pressure are equalized to a preheating pressure at which the workpiece is to be heated to a treatment temperature.
  • the workpiece is transferred from the transfer chamber to the processing chamber.
  • the workpiece is preheated to a treatment temperature at the preheating pressure in the processing chamber.
  • the processing chamber pressure is reduced to the treatment pressure while the transfer chamber remains at least approximately at the preheating pressure.
  • the workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and at the treatment temperature.
  • the processing chamber pressure is then raised to the preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure, for transfer of the workpiece to the transfer chamber at the preheating pressure.
  • a backfill reservoir arrangement is configured for selective pressure communication with the processing chamber for use in selectively backfilling the processing chamber pressure from the treatment pressure to the preheating pressure.
  • the transfer chamber pressure in pressure isolation from the process chamber, is changed to a selected pressure value that is greater than a preheating pressure at which the workpiece is to be heated at least approximately to a treatment temperature.
  • pressure is equalized between the transfer chamber and the processing chamber such that the selected pressure backfills the process chamber at least approximately to the preheating pressure.
  • the workpiece is moved from the transfer chamber to the processing chamber.
  • the workpiece is preheated at least approximately to a treatment temperature at the preheating pressure in the processing chamber.
  • the processing chamber pressure is reduced to the treatment pressure in pressure isolation from the transfer chamber pressure.
  • the workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and at the treatment temperature.
  • At least the processing chamber pressure is manipulated and the workpiece is cooperatively moved between the transfer chamber and the processing chamber such that the workpiece is exposed to a preheating pressure in the processing chamber for use in enhancing heating of the workpiece to a treatment temperature and so that the workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure, that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure, using a value of the preheating pressure that is less than atmospheric pressure, but greater than the treatment pressure and using a rate of pressure increase resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure.
  • At least the processing chamber pressure is manipulated and a first one of the workpieces is moved between the transfer chamber and the processing chamber such that the first workpiece is exposed to a preheating pressure in the processing chamber for use in heating the first workpiece to a treatment temperature and so that the first workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure, that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure, using a value of the preheating pressure that is less than atmospheric pressure, but greater than the treatment pressure.
  • Workpieces are treated by continuing to manipulate the transfer chamber pressure, the processing chamber pressure and cooperatively moving each one of the subsequent ones of the workpieces between the transfer chamber and the processing chamber and using a rate of pressure increase resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure.
  • multiple workpieces can be transferred and processed simultaneously.
  • a bypass arrangement for selectively providing pressure communication between the transfer chamber and the processing chamber for use in producing pressure equalization therebetween, separate from the use of an isolation valve through which the workpiece is passed between the transfer chamber and the processing chamber.
  • a control arrangement causes the transfer chamber pressure to rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature. Thereafter, the processing chamber is backfilled by opening at least the bypass arrangement between the transfer chamber and the processing chamber in a way which causes the processing chamber pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in heating the workpiece.
  • the bypass arrangement serves to selectively provide pressure communication between the transfer chamber and the processing chamber for use in pressure equalization therebetween without a need to use the isolation valve.
  • a system for treating at least one workpiece in accordance with a multi-step overall process which preheats the workpiece in a processing chamber to a treatment temperature at a preheating pressure and, thereafter, exposes the workpiece to a plasma at a treatment pressure in the processing chamber and at least approximately at the treatment temperature, the treatment pressure being less than the preheating pressure such that the processing chamber pressure must be raised from the treatment pressure at least to the preheating pressure at one or more points during the multi-step overall process.
  • the system further includes a process gas regulation arrangement for providing process gas to the processing chamber at least during exposing the workpiece to the plasma at a given flow rate and which is capable of providing the process gas at a maximum flow rate, an arrangement is provided for use in raising the processing chamber pressure from the treatment pressure at least to the preheating pressure at the one or more points during the multi-step overall process by providing an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate.
  • a process gas regulation arrangement for providing process gas to the processing chamber at least during exposing the workpiece to the plasma at a given flow rate and which is capable of providing the process gas at a maximum flow rate
  • FIG. 1A is a diagrammatic cut-away view, in elevation, of a workpiece handling system, produced in accordance with the present invention and in which a backfill arrangement is provided to induce a rapid pressure rise in the process chamber.
  • FIG. 1B is a diagrammatic cut-away view, in elevation, of another embodiment of a workpiece handling system, produced in accordance with the present invention, in which bypass arrangement is provided for use in backfilling the process chamber from the transfer chamber.
  • FIG. 2 is a flow diagram illustrating one manner in which the system of FIG. 1A can be used in which the transfer chamber remains, at least approximately, at a treatment pressure to which the workpiece is to be exposed during plasma treatment in the process chamber.
  • FIG. 3 is a plot illustrating processing chamber pressure, backfill chamber pressure and workpiece temperature against time, based on the flow diagram of FIG. 2 .
  • FIG. 4 is a flow diagram illustrating one manner in which the system of FIG. 1A can be used in which the transfer chamber remains, at least approximately, at a preheating pressure to which the workpiece is exposed in the processing chamber to enhance workpiece heating.
  • FIG. 5 is a plot illustrating processing chamber pressure, backfill chamber pressure and workpiece temperature against time, based on the flow diagrams of FIG. 4 .
  • FIG. 6 is a flow diagram illustrating one manner in which the system of FIG. 1B can be used such that the transfer chamber backfills the process chamber from the treatment pressure to the preheating pressure.
  • FIG. 7 is diagrammatic cut-away view, in elevation, of still another embodiment of a workpiece handling system, produced in accordance with the present invention, in which dual processing stations are provided along with a bypass arrangement and backfill arrangement, either or both of which can be used to backfill the process chamber.
  • FIG. 1A is a diagrammatic view, in elevation, of a semiconductor workpiece processing system, generally indicated by the reference number 10 , according to one embodiment of the present invention. While system 10 represents one system which is useful in the practice of the present invention, it is to be understood that the present invention may be utilized in conjunction with a variety of system configurations and the presently illustrated implementation is not intended as being limiting. As will be seen, the present invention may be practiced using any system having at least having appropriate selective and separate pressure variation capabilities at least in its processing chamber. Of course, there must be a provision for transferring workpieces between the transfer and processing chambers.
  • the system may be configured to accommodate many alternative features which are compatible with the practice of the present invention and which are typically associated with high throughput platforms including, for example, the use of one or more workpiece cassettes, dual workpiece processing positions in its processing chamber, and one or more load locks.
  • U.S. Pat. No. 6,315,512 serves as one example in which such features are described and is incorporated herein by reference in its entirety. It is considered that one of ordinary skill in the art may readily adapt a system having such features for use in the practice of the present invention in view of the overall disclosure of the present application. It is noted that like reference numbers have been applied to like items, when possible, throughout this disclosure.
  • system 10 includes a transfer chamber 12 , which is only partially shown, having a robot 14 positioned therein.
  • Robot 14 includes an arm 18 and an end effector (paddle) 20 which is moved by arm 18 .
  • system 10 will be described in the context of removing a photoresist layer or pattern 22 (greatly exaggerated in thickness) from a semiconductor workpiece 30 , although one of ordinary skill in the art will appreciate that this system is readily useful in the application of other processes including, but not limited to various implementations of chemical vapor deposition, atomic layer deposition and plasma etch, wherein the workpiece is subjected to different pressures at different process points.
  • a plasma-processing chamber 32 having a plasma source 34 such as, for example, an inductively coupled plasma (ICP) source.
  • Plasma source 34 is used to generate a plasma 36 that is suitable for use in photoresist removal.
  • suitable plasma sources include, but are not limited to microwave sources, surface wave plasma sources, ECR plasma sources, capacitively coupled (parallel plate) plasma sources.
  • processing chamber or “process chamber” may refer to an arrangement for housing a workpiece in conjunction with a treatment source such as, for example, a plasma source.
  • Plasma chamber 34 may be used to implement plasmas in an exemplary process window such as, for example:
  • Process Gas(es) some combination or all of the following gases:
  • the removal rate of the photoresist increases with increases in the temperature of the workpiece during plasma exposure. For example, heating the workpiece to a temperature in a range extending from approximately 150 C° to 350 C° is contemplated in order to reach higher workpiece throughput.
  • susceptor 38 is useful in heating the workpiece to the desired temperature. Due to constraints in raising the temperature of the susceptor, it has been found to be practical to maintain the susceptor at a fixed temperature throughout the entire process cycle. Alternatively, the susceptor can at least be preheated prior to a process cycle. The susceptor may be maintained or preheated to a temperature at or between 90° C. and 400° C. Approximately, 300C° has been found to be useful, although lower values may be used in order to accommodate additional heating, resulting from plasma exposure and/or material/device thermal constraints. Any suitable form of susceptor may be used such as, for example, a suitable platen.
  • Lift pins 50 are used in cooperation with robot 14 for purposes of moving workpiece 30 whereby the lift pins are used to position and move (not shown) the workpiece above end effector 20 and susceptor 38 , as needed.
  • the lift pins are shown in a retracted position and end-effector 20 is shown withdrawn into transfer chamber 12 such that workpiece 30 is supported on susceptor 38 .
  • a through passage 42 is defined between transfer chamber 12 and processing chamber 32 such that robot 14 can transfer workpieces therethrough.
  • An isolation valve 44 such as, for example, a vacuum isolation gate valve or slit valve is used to selectively close passage 42 either completely or partially (in the instance, for example, of providing pressure communication for equalization purposes between the two chambers).
  • valve 44 is opened using compound motion in directions that are indicated by an arrow 45 having a right turn in its shaft.
  • An exhaust port 46 is used in producing a vacuum in the process chamber, in cooperation with an appropriate configuration.
  • Exhaust gas 48 flows in a direction indicated by an arrow.
  • Plasma chamber or module 34 includes a gas diffuser 50 which receives inputs from a process gas input 52 and a backfill arrangement 54 . The latter provides an input to diffuser 50 at a backfill input 56 . It is noted that inputs 52 can be connected to one another in a “T” configuration so as to share a single gas input in order to avoid a need for the dual input diffuser 50 .
  • the term “backfill” is used to describe bringing a lower pressure to a higher pressure, as a result of communication with an initially higher pressure. It is noted that the diffuser has been designed to evenly distribute the pressure in plasma source 34 and to minimize the possibility of plasma flowing back into gas diffuser 50 , and the gas inlet lines 52 and 56 .
  • Process gases 58 generally comprise the gas mixtures that are used for plasma generation, although gases may also be introduced, via process gas input 52 , for purposes of enhancing temperature ramping of workpiece 30 .
  • the process gases are regulated by an MFC (Mass Flow Controller) 60 which is provided for the purpose of regulating the input of process gases with a high degree of precision during actual exposure of the workpiece to plasma so as to control the characteristics of the plasma. While the MFC provides such precise control, the flow rate is quite low. Further, devices such as this MFC are characterized by a maximum flow value that is typically quite low. Hence, it is recognized that a significant limitation is imposed with respect to inflow by relying solely on the MFC.
  • MFC Mass Flow Controller
  • Backfill input 56 leads to a backfill valve 66 which is, in turn, connected to a backfill ballast chamber 68 . Details with respect to the volume and operation of backfill arrangement 54 will be provided below. For the moment, it is sufficient to note that backfill input 56 , valve 66 , backfill chamber 68 and all associated piping should be configured to facilitate a rapid pressure rise in processing chamber 32 , for example, from a low, plasma treatment pressure to a higher workpiece preheating pressure either alone or in cooperation with process gas input 52 .
  • a backfill chamber line 70 leads from a backfill supply valve 72 to backfill chamber 68 .
  • An appropriate supply line 74 is connected to an input of backfill supply valve 72 .
  • Backfill gas 76 is indicated by an arrow.
  • Pressure in backfill chamber 68 is monitored using a pressure sensor 78 .
  • a pressure sensor 78 can be used to ensure a controlled pressure condition in the backfill chamber.
  • a mass flow controller (not shown) can be used to meter gas into the backfill chamber over known time periods. It is considered that one having ordinary skill in the art can readily implement this backfill arrangement in view of this overall disclosure.
  • a gas diffuser 79 is positioned between plasma chamber 34 and processing chamber 32 for purposes of enhancing process uniformity, however, the gas diffuser is not a requirement.
  • backfill arrangement 54 either alone or in cooperation with process gas MFC 60 , it is considered processing chamber rise rates of more than 15 Torr per second can be produced. Even rise rates of 150 Torr per second or more are considered as practical.
  • FIG. 1B diagrammatically illustrates a modified system implementation that is generally indicated by the reference number 80 .
  • System 80 resembles system 10 of FIG. 1A , with the exception that backfill arrangement 54 is not required and a single input gas diffuser (not shown) is used.
  • a pressure bypass arrangement 82 is illustrated for selectively providing pressure communication between transfer chamber 12 and processing chamber 32 .
  • Bypass arrangement 82 includes piping 84 and a bypass valve 86 . The latter can be opened in any suitable manner for accomplishing a desired pressure profile equalization between the processing and transfer chambers. All components of bypass arrangement 82 can be sized so as to allow for implementing rapid pressure equalization between the two chambers at a rate of at least 15 Torr per second.
  • Rates of 150 Torr per second or more are considered as practical. Accordingly, a transition from less than 1 Torr to 60 Torr can be executed, as a low-end performance limit, in less than 4 seconds. Of course, far shorter transition times are contemplated with less than 2 seconds having already been demonstrated for the same pressure change.
  • Control of systems 10 and 80 can readily be accomplished by one having ordinary skill in the art and in view of this overall disclosure, for example, using a computer 90 and suitable pressure and/or gas flow control sensors in a way which provides for implementing all procedures contemplated herein.
  • Another difference in system 80 resides in the provision of an MFC 92 having an input 94 which is connected to a suitable gas supply and an output 96 which feeds into transfer chamber 12 .
  • pressure in transfer chamber 12 can be controlled in alternative ways.
  • a pressure sensor can be used in combination with one of a gas flow shut-off valve or a throttle valve in pressure communication with the transfer chamber.
  • FIG. 2 is a flow diagram illustrating the various steps of this mode, generally indicated by the reference number 100
  • FIG. 3 is a plot of processing chamber pressure and workpiece temperature versus time. It is noted with respect to all of the plots described herein, including that of FIG. 3 , that while specific time, pressure and temperature values are illustrated, such values are in no way intended as limiting, but are exemplary in nature.
  • FIG. 2 may refer to the process chamber as “PC” and the transfer chamber as “TC”. Photoresist may be referred to as “PR”.
  • PR Photoresist
  • a first plot 101 a illustrates process chamber 32 pressure against time
  • a second plot 101 b illustrates backfill chamber 68 pressure against time
  • a third plot 102 illustrates workpiece temperature against time.
  • transfer chamber 12 is operated at approximately the same low pressure that is used for plasma exposure of workpiece 30 and photoresist 22 thereon.
  • This pressure may be referred to herein as a treatment pressure and is indicated as P 0 in FIG. 3 .
  • the treatment pressure may be a range from approximately 0.01 to 10 Torr and can be approximately 1 Torr. Initially, a workpiece is moved from transfer chamber 12 to processing chamber 32 and positioned on susceptor 38 .
  • isolation/gate valve 44 is opened (as indicated by arrow 56 ) between the two chambers, if not already opened.
  • lift-pins 40 are extended above the top surface of the susceptor (if not already in the raised/extended position).
  • a workpiece is inserted into the process chamber supported by end-effector 20 attached to robotic arm 18 .
  • the end-effector lowers the workpiece (z-axis control of the robotic arm connected to the end-effector) onto the lift-pins.
  • the robotic arm extracts end-effector 20 from the process chamber.
  • the isolation/gate valve 44 is closed.
  • step 103 of mode 100 the workpiece is lowered to its treatment position onto susceptor 38 by retracting lift pins 40 , as is the case in FIG. 1 .
  • the workpiece temperature is approximately T 0 (see FIG. 3 ), at a time t 0 , prior to heating. Since the susceptor is already hot, workpiece temperature starts to rise from T 0 as soon as the workpiece is in the proximity of the heated susceptor, with the susceptor heated to between approximately 150C° and approximately 350C°.
  • the workpiece temperature rise is attributable to radiation, gas convection and conduction thermal transfer processes.
  • the pressure in process chamber 32 and, hence, plasma chamber 34 is raised rapidly from P 0 in FIG. 3 to a preheating pressure P 1 which can be in a range from approximately 25 Torr to 250 Torr by the addition of gas/gases that can be optimized for rapid workpiece heat-up rate.
  • P 1 a very rapid pressure rise, such as depicted in FIG. 3 from P 0 to P 1 , can be produced by using backfill arrangement 54 in the interval from time t 0 to t 1 . It is contemplated that a preheating pressure of approximately 60 Torr is adequate and that, at this pressure, most of the benefit from improved heating rate, which could be derived from a higher pressure, is realized.
  • FIG. 3 illustrates a backfill equalization technique wherein the backfill pressure is previously raised or set to a selected pressure value P sel (see plot 101 b at t 0 ).
  • This pressure value is selected based, in part, on the volumes of the backfill chamber and process chamber such that the two chambers equalize to preheating pressure P 1 at t 1 , subsequent to opening backfill valve 66 at t 0 . That is, plots 101 a and 101 b merge at t 1 .
  • Backfill valve 66 is closed following equalization and the backfill chamber pressure (plot 101 b ) is caused to rise to P sel from approximately t 3 to t 5 .
  • P sel is shown as 65 Torr for purposes of illustrative convenience, it is to be understood that this value can be determined in a number of ways, as will be described below, and the use of this value is not intended as being limiting.
  • the backfill chamber pressure can be raised to a significantly higher value than P sel such that the backfill chamber always remains higher than P 1 .
  • backfill valve 66 is closed. That is, once the process chamber pressure rises to the preheating pressure, backfill valve 66 is closed.
  • supply valve 72 may be opened, with backfill valve 66 closed, to replenish backfill reservoir 68 to a desired pressure.
  • alternative technique may produce a more rapid pressure rise.
  • a rapid pressure increase in the process chamber can be produced, as needed in the overall processing scheme. It is noted that either of these techniques can be used at any time in the context of an overall processing scheme when it is desired to induce a pressure rise in process chamber 32 using backfill chamber 68 .
  • a flow rate of approximately 30 Torr/second was accomplished. Pressure rise rates from about 15 Torr to more than 150 Torr/second are considered to be useful.
  • step 104 once the workpiece temperature approaches the susceptor temperature (but typically is slightly less than the susceptor temperature), the pressure in the process chamber is reduced quickly to a treatment pressure that is required for the photoresist plasma etch step.
  • FIG. 3 illustrates that pressure P 1 is maintained from time t 1 to time t 2 .
  • the process chamber pressure is rapidly decreased so as to begin to return to P 0 .
  • Treatment pressure P 0 for the plasma exposure step may be in a pressure range extending from approximately 0.01 to 10 Torr. The treatment pressure is reached at t 3 .
  • plasma gas flow initiation is performed in process chamber 32 .
  • Plasma gas 58 then flows into diffuser 50 and into plasma chamber 34 . This may take place, for example, in a time range comprising at least a portion of the interval between and including t 2 and t 4 . It is noted that triggering of process steps, as described in terms of reaching either temperature or pressure values, may be responsive to a measured value, may utilize fixed time periods based on previous system performance determinations or may represent a combination of both.
  • step 106 in relation to the processing chamber reaching the desired treatment pressure for the plasma etch step and having introduced the plasma gas supply, an RF power supply and matching network (not shown) associated with plasma chamber 34 are turned on at t 4 and the matching network starts to tune to a point at which a stable plasma will ignite and the plasma etch process begins.
  • FIG. 3 illustrates a ten second plasma etch which concludes at time t 5 . It can be seen that the workpiece temperature rises somewhat from t 4 to t 5 with ongoing exposure to the plasma. The workpiece temperature rise resulting from exposure to the plasma can be minimized by use of an electrostatic clamping arrangement incorporated into susceptor 38 .
  • the gases used for plasma generation, passing through the process chamber are discontinued.
  • the pressure of the process chamber and the workpiece transfer chamber may be equalized, if needed, to the treatment pressure and isolation/gate valve 44 , between the process chamber and the transfer chamber, is opened.
  • the lift pins are extended and the workpiece is raised above the top surface of the susceptor.
  • step 110 so long as both valve 44 is open and lift-pins 40 are extended, end-effector 20 may be extended into the process chamber and the workpiece transferred back to transfer chamber 12 .
  • Step 112 then transfers another workpiece into the treatment chamber and the process repeats as described above.
  • the gaseous environment used for achieving a rapid workpiece heat-up rate consist of one or more of the following gases (the exact combination and ratio will depend on the required workpiece heat-up rate: Ar, He, H 2 , D 2 , HD, HF, O 2 , N 2 , NH 3 , N 2 O, a low molecular weight hydrocarbon gas (such as CH 4 , C 2 H 4 , C 2 H 6 , C 3 H 8 , C 4 H 10 , etc.), a halocarbon containing gas (such as CF 4 , C 2 F 6 , C 3 F 8 , C 4 F 6 , c-C 4 F 8 , CHF 3 , CH 2 F 2 , CH 3 F, C 2 HF 5 , C 2 H 2 F 4 , ClCF 3 , Cl 2 CF 2 , etc.).
  • gases such as CH 4 , C 2 H 4 , C 2 H 6 , C 3 H 8 , C 4 H 10 , etc.
  • the specific gaseous environment can be optimized for rapid workpiece heat-up and/or cooling rate.
  • This gas mixture may be referred to herein as “preheating gas.”
  • preheating gas One benefit of this processing scheme is to decrease the time required to process workpieces and thereby increase the number of workpieces per hour that can be processed. It should be appreciated that the use of the preheating gas is intended to shorten time intervals shown in the drawings with respect to heating of the workpiece and may be used to enhance cooling intervals, as will be described below.
  • FIG. 4 is a flow diagram illustrating the various steps of this mode, generally indicated by the reference number 200
  • FIG. 3 is a plot of processing chamber pressure, backfill chamber pressure and workpiece temperature versus time.
  • a plot 202 a illustrates process chamber 32 pressure against time
  • a plot 202 b illustrates backfill chamber 68 pressure against time
  • a plot 204 illustrates workpiece temperature against time.
  • transfer chamber 12 is operated essentially continuously at approximately preheating pressure P 1 , which is used in the processing chamber during workpiece heating prior to plasma exposure at pressure P 0 .
  • step 206 moves a workpiece 30 from transfer chamber 12 to processing chamber 32 and positions the workpiece on susceptor 38 . Since the processing chamber is already at the preheating pressure, the workpiece will experience an enhanced heating effect as it is brought into proximity to susceptor 38 and then lowered onto the susceptor. Thus, workpiece temperature rises from T 0 at time t 0 to T 2 at time t 2 .
  • step 208 in relation to the workpiece reaching the treatment temperature, T 2 , at time t 2 , pressure is reduced in processing chamber 32 from P 1 to treatment pressure P 0 , which is achieved, in the present example, at t 3 .
  • preheating gas mixture if employed, may be used in both the transfer chamber and in the processing chamber, for convenience as well as for reasons yet to be described. Otherwise, these gases are appropriately introduced, via diffuser 50 , into the processing chamber for purposes of accomplishing preheating of the workpiece so as to enhance the rate of temperature rise from t 0 to t 2 , in a way which shortens this time interval.
  • Plasma exposure is initiated at t 4 , in step 210 , after the introduction of plasma gases into the process chamber and plasma ignition, with processing chamber 32 at P 0 . Plasma exposure continues to time t 5 . Plasma gas introduction is then terminated.
  • Step 212 accomplishes raising process chamber pressure to P 1 , which may be performed using the preheating gas mixture, and lifting the workpiece from susceptor 38 .
  • a rapid pressure increase can be accomplished using backfill arrangement 54 , as described above. It is noted that a pressure rise from P 0 to P 1 is induced from t 5 to t 6 in process chamber pressure plot 202 a with a resultant drop in backfill chamber pressure plot 202 b over this time period. Following this drop in backfill chamber pressure, with backfill valve 66 closed, P sel or a higher desired pressure can be restored in the backfill chamber as shown by plot 202 b prior to t 5 .
  • the backfill chamber pressure can be raised to a significantly higher value than P sel such that the backfill chamber always remains higher than P 1 .
  • the primary differences in backfill chamber pressure plot 202 b reside in raising the high pressure above P sel as well as providing a steeper, more linear pressure rise from P 0 to P 1 , as well as a steeper more linear drop in plot 202 b from P sel to P 1 .
  • plots 202 a and 202 b will not merge asymptotically, but will more closely resemble linear sloped functions.
  • the backfill arrangement can be used to introduce the preheating gas mixture or can be customized to mix with other gases in the process chamber so as to produce a desired gas mixture.
  • the workpiece is then transferred by step 214 from processing chamber 32 to transfer chamber 12 at approximately the preheating pressure. It is noted that cooling of the workpiece will be enhanced as a result of its exposure to the preheating pressure, subsequent to plasma exposure and during its return trip to the transfer chamber. It is estimated that the workpiece may cool, in this manner, by as much as at least 30 additional degrees centigrade, prior to exiting transfer chamber 12 . This cooling effect may be enhanced even further with the use of the preheating gas mixture in one or both of the transfer and process chambers.
  • the “preheating” gas pressure and mixture are each seen to be advantageous in cooling the workpiece as well as in heating it. It should be appreciated that a great degree of flexibility is provided with respect to workpiece cooling.
  • the rate of cooling of the workpiece can be customized such that the workpiece cools in a desired way as it travels through and exits the transfer chamber. Further, selection of cooling gas mixture provides an opportunity for even greater customization of the cooling parameters.
  • transfer chamber 12 is pressurized, in isolation from process chamber 32 , so as to reach a selected pressure value, P sel , that is higher than preheating pressure P 1 at certain points in the overall operation.
  • MFC 92 is provided for raising the transfer chamber pressure to the selected pressure value. It is noted that the pressure profiles of the third mode, with respect to the process chamber, are essentially identical in appearance to that of the second mode and, hence, to the appearance of plots 202 a and 202 b of FIG. 5 .
  • FIG. 6 illustrates the various steps which make up the third mode, generally indicated by the reference number 300 . It is again noted that certain aspects of the modes, already described above such as, for example, the use of lift pins 40 in concert with effector-arm 20 and isolation valve 44 may not be repeated for purposes of brevity.
  • this third mode is to use the selected pressure value in the transfer chamber in a way which backfills process chamber 32 so as to cause the process chamber and the transfer chamber to equalize, at least approximately, to the preheating pressure. That is, the process chamber pressure rises from treatment pressure P 0 to preheating pressure P 1 while transfer chamber 12 pressure drops from selected pressure P sel to preheating pressure P 1 . Because backfill chamber 68 of FIG. 1A can be used in this manner, as is described above, determinations of selected pressure values with respect to the transfer chamber are equally applicable to determinations of backfill chamber selected pressure when used in the aforedescribed backfill technique.
  • V tc is the volume of the transfer chamber and V tot is the combined volume of the transfer chamber and the process chamber. It is noted that any contribution from the initial pressure (i.e., the treatment pressure) in the processing chamber has been ignored for purposes of simplicity since, for example, at 1 Torr, it is much less than the selected pressure value.
  • the selected pressure value may readily be determined and/or fine-tuned empirically by one having ordinary skill in the art.
  • the transfer chamber can be maintained at a pressure from approximately 25 to 250 Torr with approximately 65 Torr as a potential selected pressure.
  • the process chamber is maintained at the treatment pressure required for the desired plasma process in the range of 0.01 to 10 Torr with 1 Torr as a typical pressure.
  • the difference in the respective pressures is such that, for example, to raise the pressure in the process chamber, during the rapid heating process, to approximately 60 Torr and if the ratio of the volume of the gaseous environments is such that the transfer chamber is approximately 10 ⁇ that of the process chamber, one can set the pressure of the transfer chamber to approximately 65 Torr, so that when the isolation/gate valve between the transfer chamber and the process chamber is opened, the pressure in both chambers equalizes at a pressure of approximately 60 Torr.
  • step 304 transfer chamber 12 and processing chamber 32 are equalized to preheating pressure P 1 and that a workpiece is in the treatment position in the processing chamber. Accordingly, isolation valve 44 and bypass valve 86 can both be closed and workpiece 30 heats from T 0 at time t 0 to preheating temperature T 2 at time t 2 .
  • step 306 once the valves are closed, treatment chamber 12 is returned to selected pressure P sel .
  • a plot of transfer chamber pressure may resemble the appearance of backfill chamber plot 202 b of FIG. 5 . It is considered that these plots will be identical, at least from a practical standpoint, if the backfill chamber volume and transfer chamber volume and flow rates are approximately identical, although this is not required and many modifications may be made by one having ordinary skill in the art in view of this overall disclosure.
  • plot 202 b of FIG. 5 represents the variation of transfer chamber pressure over time. Accordingly, beginning shortly after to and using MFC 92 , transfer chamber pressure rises to P sel . It should be appreciated that this re-pressurization may be performed at any suitable rate and starting at any appropriate time, so long as the transfer chamber reaches the selected pressure value prior to a subsequent need to raise process chamber from the treatment pressure to the preheating pressure.
  • plot 202 a of FIG. 5 illustrates a reduction in process chamber pressure (step 308 ) from P 1 at t 2 to P 0 at t 3 .
  • This reduction in pressure is accomplished by evacuation, responsive to vacuum pumping, at an appropriate port which is not illustrated in the present figure, but can be represented by exhaust port 46 of FIG. 1A .
  • photoresist strip can be initiated in step 310 with an introduction of plasma gases and striking the plasma, as described above.
  • the strip interval runs until time t 5 in FIG. 5 .
  • step 312 in time relation to termination of the PR strip interval, accompanied by termination of plasma gas flow, processing chamber 32 is backfilled from transfer chamber 12 so as to produce a rapid increase in process chamber pressure plot 202 a from the treatment pressure to the preheating pressure.
  • This pressure rise starts at time t 5 and the preheating pressure is achieved at t 6 .
  • the pressure rise in process chamber pressure plot 202 a is produced responsive to a drop in transfer chamber pressure plot 202 b from P sel to P 1 which occurs from t 5 to t 6 , respectively.
  • Bypass arrangement 82 of FIG.
  • ports, piping 84 and bypass valve 86 are all sized to cooperatively provide for this rate of flow.
  • a large diameter pipe should be used, while ensuring that the pipe length, including any valves in between, do not present any constrictions that can choke gas flow. It is considered that one of ordinary skill in the art is capable of implementing the bypass arrangement in terms of porting and piping with this disclosure in hand. Specific values of flow rates will depend, of course, on the relative volumes of the transfer chamber and process chamber. Pressure rise rates, in the process chamber, comparable or greater than those available using the backfill chamber arrangement of FIG. 1A are considered to be achievable, since high conductance piping and components can be used.
  • bypass arrangement port locations as well as vacuum pumping port locations that are shown in the various figures are diagrammatic in nature and are not intended as being limiting.
  • the bypass arrangement may be considered as optional. That is, isolation valve 44 can be configured to accommodate the contemplated flow rates.
  • the bypass arrangement and isolation valve can be used in combination to provide a distribution of the backfill flow. It is noted that consideration should be given to avoiding introduction and/or disturbance of particles which could be present in the transfer and/or process chambers, as a result of a large flow rate during this backfill step, as well as during any other high flow rate steps. Accordingly, port locations, dimensions and/or orientations can be configured with this in mind.
  • the treated workpiece is removed from process chamber 32 , in step 314 , and another workpiece is moved from the transfer chamber to the treatment position in process chamber 32 .
  • preheating can be accomplished with the lift-pins up, down or in a suitable combination.
  • Such combinations include, for example, (i) a combination of first pins-up followed by pins-down, (ii) a combination of pins-up followed by pins-down followed by pins-up for subsequent processing steps, (iii) a combination of pins-up followed by pins-down for subsequent processing steps, or (iv) a combination of pins-down followed by pins-up followed by pins-down for subsequent processing steps.
  • the lift-pins can be determined by the requirements of the desired process results. Different options will allow the process to be optimized to achieve different desired process results. It should be appreciated that having the lift-pins up (supporting the workpiece) can reduce the chance that the workpiece may move (shift its location) on the workpiece support structure during a rapid change in pressure.
  • the pins-up/pins-down combinations apply to both a single workpiece located in a process chamber designed to process a single workpiece or to a process chamber designed to process two or more work pieces in parallel and/or serial combination.
  • FIG. 7 illustrates a system produced in accordance with the present invention and generally indicated by the reference number 400 .
  • System 400 is similar to previously described systems with the exception that it employs dual workpiece processing stations. Accordingly, an “a” has been appended to item reference numbers that are associated with a first one of the processing stations while a “b” has been appended to item reference numbers that are associated with a second one of the processing stations.
  • Processing stations a and b are located within a shared processing chamber 32 ′ such that both workpieces are exposed to the same pressure environment. Accordingly, the descriptions provided above, with respect to systems 10 and 80 are considered to be equally applicable with respect to system 400 except that the workpieces can be processed in pairs so as to enhance system throughput.
  • Bypass valve arrangement 82 is also illustrated, although there is no requirement to provide both the backfill and bypass arrangements.
  • backfill from a backfill reservoir and from the transfer chamber may be used in any desired combination, either with serial or parallel use of the respective backfill sources.
  • While the aforementioned '932 patent describes an AVA system which is intended to minimize up-front costs, it is considered that the present application provides many advantages over the use of an AVA system. For example, system throughput is enhanced by eliminating the need to return to atmospheric pressure, or some higher load/unload pressure, subsequent to each workpiece being processed.
  • the present application transfers workpieces to and from the process chamber at pressures that are at or below the workpiece preheating pressure, thereby eliminating a number of pressure changes that are mandated by the approach of the '932 patent. Further, the present application provides for cooling customization, described above, as the workpiece is returned to the transfer chamber.
  • a system for treating at least one workpiece using a treatment process said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate, a method comprising:
  • heating includes heating the susceptor to an at least approximately fixed temperature.
  • preheating includes introducing a preheating gas mixture into the processing chamber for enhancing a rate of temperature increase of the workpiece.
  • the method of claim 11 including using helium gas as at least a portion of the preheating gas mixture.
  • the method of claim 13 including configuring a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively producing a pressure increase in said processing chamber by causing said additional process chamber input flow, and preheating the workpiece in cooperation with raising the processing chamber pressure includes backfilling the processing chamber to said preheating pressure using the additional process chamber gas input flow from the backfill reservoir arrangement.
  • backfilling includes using a gas diffuser for introducing the additional process chamber gas input flow into the processing chamber from said backfill reservoir arrangement.
  • the method of claim 14 including generating a plasma, as part of said plasma treatment process, using the process gas, and the gas diffuser is further used for introducing the process gas into the processing chamber.
  • said backfill reservoir arrangement is configured to include a backfill reservoir and storing a backfill gas in the backfill reservoir at a pressure that is greater than a target pressure to which the processing chamber is to be backfilled.
  • the target pressure is selected as the preheating pressure for use during heating the workpiece and heating the workpiece to a treatment temperature for subsequent use during treating the workpiece.
  • the method of claim 13 including causing a backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at a treatment pressure that is lower than the selected value and which treatment pressure is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, backfilling includes placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • backfilling includes inducing said pressure rise rate in the processing chamber at approximately 30 Torr per second.
  • a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber can each vary and the workpiece can be moved between the transfer chamber and the processing chamber
  • said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate
  • an apparatus comprising:
  • the apparatus of claim 24 configured for treating a pair of workpieces simultaneously.
  • the apparatus of claim 24 including processing a series of workpieces according to steps (a) through (e).
  • said first arrangement includes a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively backfilling, as said additional process chamber gas input flow, the processing chamber pressure from the treatment pressure to the preheating pressure.
  • the backfill arrangement includes a gas diffuser for introducing the additional process chamber gas input flow into the processing chamber.
  • processing chamber includes a plasma generator for generating a plasma, as part of said plasma treatment process, using the process gas and the gas diffuser is configured for introducing the process gas into the processing chamber.
  • said backfill reservoir arrangement includes a backfill reservoir for storing a backfill gas at a backfill pressure that is greater than the preheating pressure to which the processing chamber is to be backfilled.
  • the apparatus of claim 34 including a control arrangement for causing the backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at a treatment pressure that is lower than the selected value and which treatment pressure is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber can each be controlled and the workpiece can be moved between the transfer chamber and the processing chamber
  • said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is otherwise capable of providing said process gas at a maximum flow rate
  • manipulating includes maintaining the transfer chamber pressure at least approximately at the treatment pressure.
  • manipulating includes maintaining the transfer chamber pressure at least approximately at the preheating pressure.
  • a system for treating at least one workpiece in accordance with a multi-step overall process which preheats said workpiece in a processing chamber to a treatment temperature at a preheating pressure and, thereafter, exposes said workpiece to a plasma at a treatment pressure in the processing chamber and at least approximately at said treatment temperature, said treatment pressure being less than said preheating pressure such that the processing chamber pressure must be raised from the treatment pressure at least to the preheating pressure at one or more points during the multi-step overall process
  • said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during exposing the workpiece to said plasma at a given flow rate and which is capable of providing said process gas at a maximum flow rate, a configuration forming part of said system, said configuration comprising:
  • a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, and said workpiece is heated to a treatment temperature at a preheating pressure and exposed to a treatment process at a treatment pressure that is less than the preheating pressure
  • the backfill arrangement includes a gas diffuser for introducing a backfill gas into the processing chamber.
  • said processing chamber includes a plasma generator for generating a plasma, as part of said treatment process, using a plasma gas and the gas diffuser is configured for introducing the plasma gas into the processing chamber.
  • said backfill reservoir arrangement includes a backfill reservoir for storing a backfill gas at a backfill pressure that is greater than the preheating pressure to which the processing chamber is to be backfilled.
  • the apparatus of claim 45 including a control arrangement for causing the backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at the treatment pressure that is lower than the selected value and, thereafter, to backfill the processing chamber by placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber can each vary and the workpiece can be moved between the transfer chamber and the processing chamber
  • said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate
  • the method of claim 47 including configuring a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively producing a pressure increase in said processing chamber, and raising the processing chamber pressure to the treatment pressure at said pressure rise rate includes backfilling the processing chamber to said preheating pressure using the backfill reservoir arrangement.
  • said backfill reservoir arrangement is configured to include a backfill reservoir and including storing a backfill gas in the backfill reservoir at a pressure that is greater than a target pressure to which the processing chamber is to be backfilled.
  • backfilling causes a backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at the treatment pressure, which treatment pressure is lower than the selected value and which is also lower than the preheating pressure at which the workpiece is to be heated to the treatment temperature and, thereafter, placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • backfilling includes inducing a rate of pressure rise in a range from approximately 10 to 150 Torr per second in the processing chamber.
  • heating includes heating the susceptor to an at least approximately fixed temperature.
  • preheating includes introducing a preheating gas mixture into the processing chamber for enhancing a rate of temperature increase of the workpiece.
  • the method of claim 59 including using helium gas as at least a portion of the preheating gas mixture.
  • a method comprising:
  • an isolation valve selectively provides pressure communication between the transfer chamber and the processing chamber and wherein said equalizing includes opening the isolation valve and said workpiece is movable through the isolation valve.
  • a bypass arrangement selectively provides pressure communication between the transfer chamber and the processing chamber for use in said equalizing and an isolation valve between the transfer chamber and the processing chamber provides at least for movement of the workpiece therethrough between the transfer chamber and the processing chamber.
  • the method of claim 63 including choosing the selected pressure based, at least in part, on a processing chamber volume of the processing chamber and a transfer chamber volume of the transfer chamber.
  • an apparatus comprising:
  • the apparatus of claim 69 including an isolation valve through which said workpiece is moved between the transfer chamber and the process chamber and which is configured to selectively provide pressure communication between the transfer chamber and the processing chamber for pressure equalization.
  • a bypass arrangement selectively provides pressure communication between the transfer chamber and the processing chamber for use in said equalizing and an isolation valve between the transfer chamber and the processing chamber provides at least for movement of the workpiece therethrough between the transfer chamber and the processing chamber.
  • a method comprising:
  • the method of claim 72 including initiating movement of the workpiece between the transfer chamber and the processing chamber in timed relation to the transfer chamber pressure decrease in said range between the selected value and the preheating pressure.
  • a bypass arrangement selectively provides pressure communication between the transfer chamber and the processing chamber for use in said equalizing and an isolation valve between the transfer chamber and the processing chamber provides at least for movement of the workpiece therethrough between the transfer chamber and the processing chamber.
  • a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber can each vary and each workpiece of the plurality of workpieces can be moved between the transfer chamber and the processing chamber
  • said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is otherwise capable of providing said process gas at a maximum flow rate
  • manipulating includes maintaining the transfer chamber pressure at least approximately at the treatment pressure while treating said plurality of workpieces.
  • manipulating includes maintaining the transfer chamber pressure at least approximately at the preheating pressure while treating said plurality of workpieces.
  • the method of claim 75 including simultaneously subjecting a multiple number of said workpieces to the treatment process.
  • a method comprising:
  • the method of claim 80 including initiating movement of the workpiece between the transfer chamber and the processing chamber in timed relation to the transfer chamber pressure decrease in said range from the selected value to the preheating pressure.
  • a configuration comprising:
  • bypass arrangement includes a bypass valve for selectively controlling the pressure communication between the transfer chamber and the processing chamber.
  • bypass arrangement is configured to induce a pressure increase in said processing chamber at a rate of at least 15 Torr per second.
  • bypass arrangement is configured to induce a pressure increase in the processing chamber from said treatment pressure to said preheating pressure characterized by a rate of pressure increase of greater than 30 Torr per second.
  • the configuration of claim 82 including a control arrangement for causing the transfer chamber pressure to rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by opening at least the bypass arrangement between the transfer chamber and the processing chamber in a way which causes the processing chamber pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in heating the workpiece.
  • a configuration comprising:
  • the configuration of claim 87 including a control arrangement for causing the transfer chamber pressure to rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by opening the isolation valve in a way which causes the processing chamber pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in heating the workpiece.
  • a configuration comprising:
  • processing chamber includes a gas diffuser that is configured for introducing a backfill gas from said backfill arrangement.
  • said backfill arrangement includes a backfill reservoir for storing a backfill gas at a pressure that is greater than a target pressure to which the processing chamber is to be backfilled.
  • the target pressure is a preheating pressure at which said workpiece is heated, at least approximately, to a treatment temperature for subsequent use in treating the workpiece.
  • the backfill arrangement includes a backfill valve for controlling pressure communication between the transfer chamber and the processing chamber.
  • the configuration of claim 91 including a control arrangement for causing a backfill pressure in the backfill reservoir rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.

Abstract

Workpiece processing uses a transfer chamber in cooperation with a process chamber. The workpiece is to be heated to a treatment temperature, at a preheating pressure, and subsequently exposed to a plasma at a treatment pressure, which is less than the preheating pressure. The process chamber pressure does not exceed the preheating pressure, yet very rapid pressure increases can be induced in the process chamber in transitioning from the treatment pressure to the preheating pressure. The transfer chamber pressure can be maintained at the treatment pressure, the preheating pressure or raised to a selected pressure to backfill the process chamber to the preheating pressure. A backfill arrangement can selectively induce rapid pressure increases in the process chamber. A bypass arrangement provides selective pressure communication between the transfer and process chambers and can be used for backfilling the process chamber from the transfer chamber.

Description

    RELATED APPLICATION
  • The present application claims priority from U.S. Provisional Patent Application Ser. No. 60/534,495, filed Jan. 6, 2003, which is incorporated herein by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • The present invention is related generally to the field of processing one or more workpieces and, more particularly, to a system and method which carries out an overall procedure on workpieces by using more than one pressure.
  • Manufacturing workpieces such as, for example, semiconductor wafers is often best performed using different pressures at different points in an overall process. One example of such a multi-pressure process is described in U.S. Pat. No. 6,409,932 (hereinafter the '932 patent). In particular, at column 2 of the '932 patent, a seven step prior art process, known as atmosphere-to-vacuum-to-atmosphere (AVA) processing, is described. In this process, a wafer is heated to a desired process temperature in the process chamber, the process chamber is then pumped down to a desired process pressure, the wafer is subjected to a plasma, the chamber is vented back to atmospheric pressure and the wafer is exchanged with another wafer. Such a process is useful, for example, in removing photoresist from the wafer.
  • The '932 patent takes advantage of the well-known principle that heat transfer efficiency is increased with increased gas pressure. In attempting to enhance wafer throughput over that which is available in a prior art AVA system, the '932 patent utilizes an intermediate pressure at which the wafer is heated in a processing chamber, after reducing the processing chamber pressure from a load/unload pressure. The load/unload pressure is not required to be atmospheric, but is nonetheless higher than the intermediate pressure. In this regard, it is considered, in view of the teachings and recognitions of the present invention, that the '932 patent imposes constraints and problems which serve to limit further enhancement of system throughput.
  • It is noted that other prior art has recognized performing heating at an intermediate pressure in conjunction with using a transfer chamber. Specifically, the treatment object was transferred between the transfer chamber and a process chamber at either the intermediate pressure or the process pressure. It is recognized herein that required pressure changes in the process chamber of these prior art systems impose significant limitations on system throughput, as will be further discussed at an appropriate point below.
  • The present invention is considered to remove the foregoing constraints and problems while providing still further advantages.
  • SUMMARY OF THE DISCLOSURE
  • In a system for processing at least one workpiece, an apparatus and method are described. The system includes at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber. The system further includes a process gas regulation arrangement for providing process gas to the processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing the process gas at a maximum flow rate.
  • In one aspect of the present invention, the transfer chamber pressure and the processing chamber pressure are equalized to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process. The workpiece is transferred from the transfer chamber to the processing chamber at the treatment pressure. The workpiece is preheated to a treatment temperature, in cooperation with raising the processing chamber pressure to a preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure. The processing chamber pressure is reduced to the treatment pressure. The workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and at the treatment temperature.
  • In another aspect of the present invention, the transfer chamber pressure and the processing chamber pressure are equalized to a preheating pressure at which the workpiece is to be heated to a treatment temperature. In cooperation with equalizing the transfer chamber pressure and the processing chamber pressure, the workpiece is transferred from the transfer chamber to the processing chamber. The workpiece is preheated to a treatment temperature at the preheating pressure in the processing chamber. The processing chamber pressure is reduced to the treatment pressure while the transfer chamber remains at least approximately at the preheating pressure. The workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and at the treatment temperature. The processing chamber pressure is then raised to the preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure, for transfer of the workpiece to the transfer chamber at the preheating pressure.
  • In one implementation, a backfill reservoir arrangement is configured for selective pressure communication with the processing chamber for use in selectively backfilling the processing chamber pressure from the treatment pressure to the preheating pressure.
  • In still another aspect of the present invention, in pressure isolation from the process chamber, the transfer chamber pressure is changed to a selected pressure value that is greater than a preheating pressure at which the workpiece is to be heated at least approximately to a treatment temperature. With the processing chamber initially at least approximately at a treatment pressure, which is lower than the preheating pressure, pressure is equalized between the transfer chamber and the processing chamber such that the selected pressure backfills the process chamber at least approximately to the preheating pressure. In cooperation with equalizing pressure to the preheating pressure, the workpiece is moved from the transfer chamber to the processing chamber. The workpiece is preheated at least approximately to a treatment temperature at the preheating pressure in the processing chamber. The processing chamber pressure is reduced to the treatment pressure in pressure isolation from the transfer chamber pressure. The workpiece is exposed to the plasma treatment process at least approximately at the treatment pressure and at the treatment temperature.
  • In yet another aspect of the present invention, at least the processing chamber pressure is manipulated and the workpiece is cooperatively moved between the transfer chamber and the processing chamber such that the workpiece is exposed to a preheating pressure in the processing chamber for use in enhancing heating of the workpiece to a treatment temperature and so that the workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure, that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure, using a value of the preheating pressure that is less than atmospheric pressure, but greater than the treatment pressure and using a rate of pressure increase resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure.
  • In a continuing aspect of the present invention, for processing a plurality of workpieces, at least the processing chamber pressure is manipulated and a first one of the workpieces is moved between the transfer chamber and the processing chamber such that the first workpiece is exposed to a preheating pressure in the processing chamber for use in heating the first workpiece to a treatment temperature and so that the first workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure, that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure, using a value of the preheating pressure that is less than atmospheric pressure, but greater than the treatment pressure. Workpieces are treated by continuing to manipulate the transfer chamber pressure, the processing chamber pressure and cooperatively moving each one of the subsequent ones of the workpieces between the transfer chamber and the processing chamber and using a rate of pressure increase resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate, without raising the transfer chamber pressure. In one feature, multiple workpieces can be transferred and processed simultaneously.
  • In a further aspect of the present invention, a bypass arrangement is described for selectively providing pressure communication between the transfer chamber and the processing chamber for use in producing pressure equalization therebetween, separate from the use of an isolation valve through which the workpiece is passed between the transfer chamber and the processing chamber. In one feature, a control arrangement causes the transfer chamber pressure to rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature. Thereafter, the processing chamber is backfilled by opening at least the bypass arrangement between the transfer chamber and the processing chamber in a way which causes the processing chamber pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in heating the workpiece. In another feature, the bypass arrangement serves to selectively provide pressure communication between the transfer chamber and the processing chamber for use in pressure equalization therebetween without a need to use the isolation valve.
  • In another implementation, in a system for treating at least one workpiece in accordance with a multi-step overall process which preheats the workpiece in a processing chamber to a treatment temperature at a preheating pressure and, thereafter, exposes the workpiece to a plasma at a treatment pressure in the processing chamber and at least approximately at the treatment temperature, the treatment pressure being less than the preheating pressure such that the processing chamber pressure must be raised from the treatment pressure at least to the preheating pressure at one or more points during the multi-step overall process. The system further includes a process gas regulation arrangement for providing process gas to the processing chamber at least during exposing the workpiece to the plasma at a given flow rate and which is capable of providing the process gas at a maximum flow rate, an arrangement is provided for use in raising the processing chamber pressure from the treatment pressure at least to the preheating pressure at the one or more points during the multi-step overall process by providing an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than the maximum flow rate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention may be understood by reference to the following detailed description taken in conjunction with the drawings briefly described below.
  • FIG. 1A is a diagrammatic cut-away view, in elevation, of a workpiece handling system, produced in accordance with the present invention and in which a backfill arrangement is provided to induce a rapid pressure rise in the process chamber.
  • FIG. 1B is a diagrammatic cut-away view, in elevation, of another embodiment of a workpiece handling system, produced in accordance with the present invention, in which bypass arrangement is provided for use in backfilling the process chamber from the transfer chamber.
  • FIG. 2 is a flow diagram illustrating one manner in which the system of FIG. 1A can be used in which the transfer chamber remains, at least approximately, at a treatment pressure to which the workpiece is to be exposed during plasma treatment in the process chamber.
  • FIG. 3 is a plot illustrating processing chamber pressure, backfill chamber pressure and workpiece temperature against time, based on the flow diagram of FIG. 2.
  • FIG. 4 is a flow diagram illustrating one manner in which the system of FIG. 1A can be used in which the transfer chamber remains, at least approximately, at a preheating pressure to which the workpiece is exposed in the processing chamber to enhance workpiece heating.
  • FIG. 5 is a plot illustrating processing chamber pressure, backfill chamber pressure and workpiece temperature against time, based on the flow diagrams of FIG. 4.
  • FIG. 6 is a flow diagram illustrating one manner in which the system of FIG. 1B can be used such that the transfer chamber backfills the process chamber from the treatment pressure to the preheating pressure.
  • FIG. 7 is diagrammatic cut-away view, in elevation, of still another embodiment of a workpiece handling system, produced in accordance with the present invention, in which dual processing stations are provided along with a bypass arrangement and backfill arrangement, either or both of which can be used to backfill the process chamber.
  • DETAILED DESCRIPTION
  • The following description is presented to enable one of ordinary skill in the art to make and use the invention and is provided in the context of a patent application and its requirements. Various modifications to the described embodiments will be readily apparent to those skilled in the art and the generic principles herein may be applied to other embodiments. Thus, the present invention is not intended to be limited to the embodiment shown but is to be accorded the widest scope consistent with the principles and features described herein. It is noted that the drawings are not to scale and are diagrammatic in nature.
  • FIG. 1A is a diagrammatic view, in elevation, of a semiconductor workpiece processing system, generally indicated by the reference number 10, according to one embodiment of the present invention. While system 10 represents one system which is useful in the practice of the present invention, it is to be understood that the present invention may be utilized in conjunction with a variety of system configurations and the presently illustrated implementation is not intended as being limiting. As will be seen, the present invention may be practiced using any system having at least having appropriate selective and separate pressure variation capabilities at least in its processing chamber. Of course, there must be a provision for transferring workpieces between the transfer and processing chambers. The system may be configured to accommodate many alternative features which are compatible with the practice of the present invention and which are typically associated with high throughput platforms including, for example, the use of one or more workpiece cassettes, dual workpiece processing positions in its processing chamber, and one or more load locks. U.S. Pat. No. 6,315,512 serves as one example in which such features are described and is incorporated herein by reference in its entirety. It is considered that one of ordinary skill in the art may readily adapt a system having such features for use in the practice of the present invention in view of the overall disclosure of the present application. It is noted that like reference numbers have been applied to like items, when possible, throughout this disclosure.
  • With continuing reference to FIG. 1A, system 10 includes a transfer chamber 12, which is only partially shown, having a robot 14 positioned therein. Robot 14 includes an arm 18 and an end effector (paddle) 20 which is moved by arm 18. For purposes of the present example, system 10 will be described in the context of removing a photoresist layer or pattern 22 (greatly exaggerated in thickness) from a semiconductor workpiece 30, although one of ordinary skill in the art will appreciate that this system is readily useful in the application of other processes including, but not limited to various implementations of chemical vapor deposition, atomic layer deposition and plasma etch, wherein the workpiece is subjected to different pressures at different process points. Accordingly, for purposes of the present example, a plasma-processing chamber 32 is provided having a plasma source 34 such as, for example, an inductively coupled plasma (ICP) source. Plasma source 34 is used to generate a plasma 36 that is suitable for use in photoresist removal. Other suitable plasma sources include, but are not limited to microwave sources, surface wave plasma sources, ECR plasma sources, capacitively coupled (parallel plate) plasma sources. It is noted that the terms “processing chamber” or “process chamber” may refer to an arrangement for housing a workpiece in conjunction with a treatment source such as, for example, a plasma source.
  • Plasma chamber 34 may be used to implement plasmas in an exemplary process window such as, for example:
      • Process Pressure: 0.2 to 20 Torr (approximately 1 Torr has been found as useful)
      • Plasma RF Power (per workpiece): 500 to 5,000 W (approximately 3,000 W has been found as useful)
  • Process Gas(es): some combination or all of the following gases:
      • O2 Flow: 1,000-20,000 (5,000-10,000 sccm has been found as useful)
      • Ar, He, N2 Flow: Separate or combined 50-5000 sccm (500 sccm has been found as useful)
      • H2, D2, HD Flow: Separate or combined 1,000-20,000 sccm (approximately 10,000 sccm has been found as useful)
      • Forming Gas (4-10% H2— balance N2): 1,000-15,000 sccm (approximately 10,000 sccm has been found to be useful)
      • Hydrocarbon Gas (low molecular wt.): Such as CH4, C2H4, C2H6, C3H8, C4H10, etc.)—Flow 1,000-10,000 sccm (CH4 with a flow of approximately 5,000 sccm has been found to be useful)
      • Halocarbon Containing Gas: Such as CF4, C2F6, C3F8, C4F6, c-C4F8, CHF3, CH2F2, CH3F, C2HF5, C2H2F4, ClCF3, Cl2CF2, etc.—Flow less than approximately 300 sccm
      • HF Flow: less than approximately 300 sccm
      • N2O Flow: 50-5000 sccm
      • NH3 Flow: 50-5000 sccm.
  • In the dry process removal of photoresist, it is known that the removal rate of the photoresist increases with increases in the temperature of the workpiece during plasma exposure. For example, heating the workpiece to a temperature in a range extending from approximately 150 C° to 350 C° is contemplated in order to reach higher workpiece throughput.
  • Continuing with the description of FIG. 1A, workpiece 30 is supported on a susceptor 38. The susceptor is useful in heating the workpiece to the desired temperature. Due to constraints in raising the temperature of the susceptor, it has been found to be practical to maintain the susceptor at a fixed temperature throughout the entire process cycle. Alternatively, the susceptor can at least be preheated prior to a process cycle. The susceptor may be maintained or preheated to a temperature at or between 90° C. and 400° C. Approximately, 300C° has been found to be useful, although lower values may be used in order to accommodate additional heating, resulting from plasma exposure and/or material/device thermal constraints. Any suitable form of susceptor may be used such as, for example, a suitable platen. Lift pins 50 are used in cooperation with robot 14 for purposes of moving workpiece 30 whereby the lift pins are used to position and move (not shown) the workpiece above end effector 20 and susceptor 38, as needed. The lift pins are shown in a retracted position and end-effector 20 is shown withdrawn into transfer chamber 12 such that workpiece 30 is supported on susceptor 38. A through passage 42 is defined between transfer chamber 12 and processing chamber 32 such that robot 14 can transfer workpieces therethrough. An isolation valve 44 such as, for example, a vacuum isolation gate valve or slit valve is used to selectively close passage 42 either completely or partially (in the instance, for example, of providing pressure communication for equalization purposes between the two chambers). In the present example, valve 44 is opened using compound motion in directions that are indicated by an arrow 45 having a right turn in its shaft. An exhaust port 46 is used in producing a vacuum in the process chamber, in cooperation with an appropriate configuration. Exhaust gas 48 flows in a direction indicated by an arrow.
  • Plasma chamber or module 34 includes a gas diffuser 50 which receives inputs from a process gas input 52 and a backfill arrangement 54. The latter provides an input to diffuser 50 at a backfill input 56. It is noted that inputs 52 can be connected to one another in a “T” configuration so as to share a single gas input in order to avoid a need for the dual input diffuser 50. The term “backfill” is used to describe bringing a lower pressure to a higher pressure, as a result of communication with an initially higher pressure. It is noted that the diffuser has been designed to evenly distribute the pressure in plasma source 34 and to minimize the possibility of plasma flowing back into gas diffuser 50, and the gas inlet lines 52 and 56. Process gases 58 generally comprise the gas mixtures that are used for plasma generation, although gases may also be introduced, via process gas input 52, for purposes of enhancing temperature ramping of workpiece 30. In this regard, the process gases are regulated by an MFC (Mass Flow Controller) 60 which is provided for the purpose of regulating the input of process gases with a high degree of precision during actual exposure of the workpiece to plasma so as to control the characteristics of the plasma. While the MFC provides such precise control, the flow rate is quite low. Further, devices such as this MFC are characterized by a maximum flow value that is typically quite low. Hence, it is recognized that a significant limitation is imposed with respect to inflow by relying solely on the MFC. Backfill input 56 leads to a backfill valve 66 which is, in turn, connected to a backfill ballast chamber 68. Details with respect to the volume and operation of backfill arrangement 54 will be provided below. For the moment, it is sufficient to note that backfill input 56, valve 66, backfill chamber 68 and all associated piping should be configured to facilitate a rapid pressure rise in processing chamber 32, for example, from a low, plasma treatment pressure to a higher workpiece preheating pressure either alone or in cooperation with process gas input 52. A backfill chamber line 70 leads from a backfill supply valve 72 to backfill chamber 68. An appropriate supply line 74 is connected to an input of backfill supply valve 72. Backfill gas 76 is indicated by an arrow. Pressure in backfill chamber 68 is monitored using a pressure sensor 78. In this way, a specified starting pressure in the backfill chamber can be achieved prior to the initiation of process chamber backfill in cooperation with the use of supply valve 72. Alternatively, to ensure a controlled pressure condition in the backfill chamber, a mass flow controller (not shown) can be used to meter gas into the backfill chamber over known time periods. It is considered that one having ordinary skill in the art can readily implement this backfill arrangement in view of this overall disclosure. A gas diffuser 79 is positioned between plasma chamber 34 and processing chamber 32 for purposes of enhancing process uniformity, however, the gas diffuser is not a requirement.
  • Using backfill arrangement 54, either alone or in cooperation with process gas MFC 60, it is considered processing chamber rise rates of more than 15 Torr per second can be produced. Even rise rates of 150 Torr per second or more are considered as practical.
  • Attention is now directed to FIG. 1B which diagrammatically illustrates a modified system implementation that is generally indicated by the reference number 80. System 80 resembles system 10 of FIG. 1A, with the exception that backfill arrangement 54 is not required and a single input gas diffuser (not shown) is used. Further, a pressure bypass arrangement 82 is illustrated for selectively providing pressure communication between transfer chamber 12 and processing chamber 32. Bypass arrangement 82 includes piping 84 and a bypass valve 86. The latter can be opened in any suitable manner for accomplishing a desired pressure profile equalization between the processing and transfer chambers. All components of bypass arrangement 82 can be sized so as to allow for implementing rapid pressure equalization between the two chambers at a rate of at least 15 Torr per second. Rates of 150 Torr per second or more are considered as practical. Accordingly, a transition from less than 1 Torr to 60 Torr can be executed, as a low-end performance limit, in less than 4 seconds. Of course, far shorter transition times are contemplated with less than 2 seconds having already been demonstrated for the same pressure change. Control of systems 10 and 80 can readily be accomplished by one having ordinary skill in the art and in view of this overall disclosure, for example, using a computer 90 and suitable pressure and/or gas flow control sensors in a way which provides for implementing all procedures contemplated herein. Another difference in system 80 resides in the provision of an MFC 92 having an input 94 which is connected to a suitable gas supply and an output 96 which feeds into transfer chamber 12. The purpose of MFC 92 will be described below. It is noted that pressure in transfer chamber 12 can be controlled in alternative ways. As non-limiting examples, a pressure sensor can be used in combination with one of a gas flow shut-off valve or a throttle valve in pressure communication with the transfer chamber.
  • Turning now to FIGS. 2 and 3 in conjunction with FIG. 1A, a first mode in which system 10 may be used will now be described. FIG. 2 is a flow diagram illustrating the various steps of this mode, generally indicated by the reference number 100, while FIG. 3 is a plot of processing chamber pressure and workpiece temperature versus time. It is noted with respect to all of the plots described herein, including that of FIG. 3, that while specific time, pressure and temperature values are illustrated, such values are in no way intended as limiting, but are exemplary in nature. FIG. 2, as well as other figures described below, may refer to the process chamber as “PC” and the transfer chamber as “TC”. Photoresist may be referred to as “PR”. In FIG. 3, a first plot 101 a illustrates process chamber 32 pressure against time, a second plot 101 b illustrates backfill chamber 68 pressure against time and a third plot 102 illustrates workpiece temperature against time. In this mode, transfer chamber 12 is operated at approximately the same low pressure that is used for plasma exposure of workpiece 30 and photoresist 22 thereon. This pressure may be referred to herein as a treatment pressure and is indicated as P0 in FIG. 3. The treatment pressure may be a range from approximately 0.01 to 10 Torr and can be approximately 1 Torr. Initially, a workpiece is moved from transfer chamber 12 to processing chamber 32 and positioned on susceptor 38. To that end, isolation/gate valve 44 is opened (as indicated by arrow 56) between the two chambers, if not already opened. In relation to the isolation/gate valve being opened, lift-pins 40 are extended above the top surface of the susceptor (if not already in the raised/extended position). Also in relation to the isolation/gate valve being opened, a workpiece is inserted into the process chamber supported by end-effector 20 attached to robotic arm 18. After the workpiece comes to a desired location above the lift pins, the end-effector lowers the workpiece (z-axis control of the robotic arm connected to the end-effector) onto the lift-pins. After depositing the workpiece onto the top of the lift-pins, the robotic arm extracts end-effector 20 from the process chamber. In time relation to the end-effector being completely extracted from the process chamber, the isolation/gate valve 44 is closed. The foregoing steps should be familiar to one having ordinary skill in the art in accomplishing moving the workpiece to a treatment position.
  • Having described initial workpiece positioning, in step 103 of mode 100, the workpiece is lowered to its treatment position onto susceptor 38 by retracting lift pins 40, as is the case in FIG. 1. The workpiece temperature is approximately T0 (see FIG. 3), at a time t0, prior to heating. Since the susceptor is already hot, workpiece temperature starts to rise from T0 as soon as the workpiece is in the proximity of the heated susceptor, with the susceptor heated to between approximately 150C° and approximately 350C°. The workpiece temperature rise is attributable to radiation, gas convection and conduction thermal transfer processes. In relation to the workpiece coming to rest on the susceptor, the pressure in process chamber 32 and, hence, plasma chamber 34, is raised rapidly from P0 in FIG. 3 to a preheating pressure P1 which can be in a range from approximately 25 Torr to 250 Torr by the addition of gas/gases that can be optimized for rapid workpiece heat-up rate. A very rapid pressure rise, such as depicted in FIG. 3 from P0 to P1, can be produced by using backfill arrangement 54 in the interval from time t0 to t1. It is contemplated that a preheating pressure of approximately 60 Torr is adequate and that, at this pressure, most of the benefit from improved heating rate, which could be derived from a higher pressure, is realized. That is, raising the pressure significantly higher than 60 Torr requires additional time, as well as additional time to later reduce the pressure, thereby decreasing throughput. Such a rapid increase in pressure within the process chamber is accomplished by opening backfill valve 66 so as to release pressurized gas, which is stored at higher pressure, from backfill reservoir 68. It is noted that the backfill reservoir is typically in close proximity to the process chamber. In this regard, reservoir 68 is sized to accommodate an adequate volume of gas at an adequate pressure to bring the process/plasma chamber combination to the desired pressure. It is worthwhile to note, with respect to all embodiments described herein, that minimizing process chamber gas volume contributes directly enhances the rate of pressure rise that can be achieved.
  • There are at least two ways in which the rapid pressure rise can be performed. FIG. 3 illustrates a backfill equalization technique wherein the backfill pressure is previously raised or set to a selected pressure value Psel (see plot 101 b at t0). This pressure value is selected based, in part, on the volumes of the backfill chamber and process chamber such that the two chambers equalize to preheating pressure P1 at t1, subsequent to opening backfill valve 66 at t0. That is, plots 101 a and 101 b merge at t1. Backfill valve 66 is closed following equalization and the backfill chamber pressure (plot 101 b) is caused to rise to Psel from approximately t3 to t5. While Psel is shown as 65 Torr for purposes of illustrative convenience, it is to be understood that this value can be determined in a number of ways, as will be described below, and the use of this value is not intended as being limiting. As an alternative technique which is not plotted in FIG. 3, but which is readily understood in view of FIG. 1A, the backfill chamber pressure can be raised to a significantly higher value than Psel such that the backfill chamber always remains higher than P1. In order to terminate the backfill when the process chamber reaches P1, backfill valve 66 is closed. That is, once the process chamber pressure rises to the preheating pressure, backfill valve 66 is closed. As part of either technique, supply valve 72 may be opened, with backfill valve 66 closed, to replenish backfill reservoir 68 to a desired pressure. Depending on various factors including chamber volumes and flow rates, the latter, alternative technique may produce a more rapid pressure rise. Using either technique, however, a rapid pressure increase in the process chamber can be produced, as needed in the overall processing scheme. It is noted that either of these techniques can be used at any time in the context of an overall processing scheme when it is desired to induce a pressure rise in process chamber 32 using backfill chamber 68. In an actual implementation, a flow rate of approximately 30 Torr/second was accomplished. Pressure rise rates from about 15 Torr to more than 150 Torr/second are considered to be useful.
  • At step 104, once the workpiece temperature approaches the susceptor temperature (but typically is slightly less than the susceptor temperature), the pressure in the process chamber is reduced quickly to a treatment pressure that is required for the photoresist plasma etch step. FIG. 3 illustrates that pressure P1 is maintained from time t1 to time t2. At time t2, however, the process chamber pressure is rapidly decreased so as to begin to return to P0. Treatment pressure P0 for the plasma exposure step may be in a pressure range extending from approximately 0.01 to 10 Torr. The treatment pressure is reached at t3. Also, as the workpiece temperature approaches or is in some desired relationship with the susceptor temperature such as, for example, being slightly below the susceptor temperature, plasma gas flow initiation is performed in process chamber 32. Plasma gas 58 then flows into diffuser 50 and into plasma chamber 34. This may take place, for example, in a time range comprising at least a portion of the interval between and including t2 and t4. It is noted that triggering of process steps, as described in terms of reaching either temperature or pressure values, may be responsive to a measured value, may utilize fixed time periods based on previous system performance determinations or may represent a combination of both.
  • In step 106, in relation to the processing chamber reaching the desired treatment pressure for the plasma etch step and having introduced the plasma gas supply, an RF power supply and matching network (not shown) associated with plasma chamber 34 are turned on at t4 and the matching network starts to tune to a point at which a stable plasma will ignite and the plasma etch process begins. FIG. 3 illustrates a ten second plasma etch which concludes at time t5. It can be seen that the workpiece temperature rises somewhat from t4 to t5 with ongoing exposure to the plasma. The workpiece temperature rise resulting from exposure to the plasma can be minimized by use of an electrostatic clamping arrangement incorporated into susceptor 38.
  • In relation to termination of the plasma etch process at t5 in step 108, the gases used for plasma generation, passing through the process chamber, are discontinued. The pressure of the process chamber and the workpiece transfer chamber may be equalized, if needed, to the treatment pressure and isolation/gate valve 44, between the process chamber and the transfer chamber, is opened. The lift pins are extended and the workpiece is raised above the top surface of the susceptor.
  • In step 110, so long as both valve 44 is open and lift-pins 40 are extended, end-effector 20 may be extended into the process chamber and the workpiece transferred back to transfer chamber 12.
  • Step 112 then transfers another workpiece into the treatment chamber and the process repeats as described above.
  • The gaseous environment used for achieving a rapid workpiece heat-up rate consist of one or more of the following gases (the exact combination and ratio will depend on the required workpiece heat-up rate: Ar, He, H2, D2, HD, HF, O2, N2, NH3, N2O, a low molecular weight hydrocarbon gas (such as CH4, C2H4, C2H6, C3H8, C4H10, etc.), a halocarbon containing gas (such as CF4, C2F6, C3F8, C4F6, c-C4F8, CHF3, CH2F2, CH3F, C2HF5, C2H2F4, ClCF3, Cl2CF2, etc.). The specific gaseous environment can be optimized for rapid workpiece heat-up and/or cooling rate. This gas mixture may be referred to herein as “preheating gas.” One benefit of this processing scheme is to decrease the time required to process workpieces and thereby increase the number of workpieces per hour that can be processed. It should be appreciated that the use of the preheating gas is intended to shorten time intervals shown in the drawings with respect to heating of the workpiece and may be used to enhance cooling intervals, as will be described below.
  • Turning now to FIGS. 4 and 5 in conjunction with FIG. 1A, a second mode in which system 10 may be used will now be described. FIG. 4 is a flow diagram illustrating the various steps of this mode, generally indicated by the reference number 200, while FIG. 3 is a plot of processing chamber pressure, backfill chamber pressure and workpiece temperature versus time. A plot 202 a illustrates process chamber 32 pressure against time, a plot 202 b illustrates backfill chamber 68 pressure against time and a plot 204 illustrates workpiece temperature against time. In this second mode, transfer chamber 12 is operated essentially continuously at approximately preheating pressure P1, which is used in the processing chamber during workpiece heating prior to plasma exposure at pressure P0. It is noted that certain aspects of the second mode, already described above such as, for example, the use of lift pins 40 in concert with effector arm 20 and isolation valve 44 may not be repeated for purposes of brevity. Moreover, it is to be understood that these components may be operated in many modified, but equivalent ways and in a coordinated manner with respect to one another, while still accomplishing the intended objective of moving a workpiece between the transfer and processing chambers. Further aspects with respect to operation of lift-pins 40, in the context of the present invention, will be described below.
  • Initially, step 206 moves a workpiece 30 from transfer chamber 12 to processing chamber 32 and positions the workpiece on susceptor 38. Since the processing chamber is already at the preheating pressure, the workpiece will experience an enhanced heating effect as it is brought into proximity to susceptor 38 and then lowered onto the susceptor. Thus, workpiece temperature rises from T0 at time t0 to T2 at time t2.
  • In step 208, in relation to the workpiece reaching the treatment temperature, T2, at time t2, pressure is reduced in processing chamber 32 from P1 to treatment pressure P0, which is achieved, in the present example, at t3. It is noted that the aforedescribed preheating gas mixture, if employed, may be used in both the transfer chamber and in the processing chamber, for convenience as well as for reasons yet to be described. Otherwise, these gases are appropriately introduced, via diffuser 50, into the processing chamber for purposes of accomplishing preheating of the workpiece so as to enhance the rate of temperature rise from t0 to t2, in a way which shortens this time interval.
  • Plasma exposure is initiated at t4, in step 210, after the introduction of plasma gases into the process chamber and plasma ignition, with processing chamber 32 at P0. Plasma exposure continues to time t5. Plasma gas introduction is then terminated.
  • Step 212 accomplishes raising process chamber pressure to P1, which may be performed using the preheating gas mixture, and lifting the workpiece from susceptor 38. A rapid pressure increase, as depicted, can be accomplished using backfill arrangement 54, as described above. It is noted that a pressure rise from P0 to P1 is induced from t5 to t6 in process chamber pressure plot 202 a with a resultant drop in backfill chamber pressure plot 202 b over this time period. Following this drop in backfill chamber pressure, with backfill valve 66 closed, Psel or a higher desired pressure can be restored in the backfill chamber as shown by plot 202 b prior to t5. Again, the backfill chamber pressure can be raised to a significantly higher value than Psel such that the backfill chamber always remains higher than P1. In this case, the primary differences in backfill chamber pressure plot 202 b reside in raising the high pressure above Psel as well as providing a steeper, more linear pressure rise from P0 to P1, as well as a steeper more linear drop in plot 202 b from Psel to P1. Further, at t6, plots 202 a and 202 b will not merge asymptotically, but will more closely resemble linear sloped functions. Moreover, the backfill arrangement can be used to introduce the preheating gas mixture or can be customized to mix with other gases in the process chamber so as to produce a desired gas mixture.
  • The workpiece is then transferred by step 214 from processing chamber 32 to transfer chamber 12 at approximately the preheating pressure. It is noted that cooling of the workpiece will be enhanced as a result of its exposure to the preheating pressure, subsequent to plasma exposure and during its return trip to the transfer chamber. It is estimated that the workpiece may cool, in this manner, by as much as at least 30 additional degrees centigrade, prior to exiting transfer chamber 12. This cooling effect may be enhanced even further with the use of the preheating gas mixture in one or both of the transfer and process chambers. Thus, the “preheating” gas pressure and mixture are each seen to be advantageous in cooling the workpiece as well as in heating it. It should be appreciated that a great degree of flexibility is provided with respect to workpiece cooling. Through the selection of the preheating pressure, the rate of cooling of the workpiece can be customized such that the workpiece cools in a desired way as it travels through and exits the transfer chamber. Further, selection of cooling gas mixture provides an opportunity for even greater customization of the cooling parameters.
  • With both transfer chamber 12 and processing chamber 32 at the preheating pressure, another workpiece can be transferred (step 216) into the process chamber and the foregoing sequence repeated.
  • Referring to FIGS. 5 and 6, a third mode will now be described in which system 80 of FIG. 1B can be used. In the third mode, transfer chamber 12 is pressurized, in isolation from process chamber 32, so as to reach a selected pressure value, Psel, that is higher than preheating pressure P1 at certain points in the overall operation. MFC 92 is provided for raising the transfer chamber pressure to the selected pressure value. It is noted that the pressure profiles of the third mode, with respect to the process chamber, are essentially identical in appearance to that of the second mode and, hence, to the appearance of plots 202 a and 202 b of FIG. 5. That is, the process chamber pressure is represented by plot 202 a, while the transfer chamber pressure is represented by plot 202 b, as will be further described. FIG. 6 illustrates the various steps which make up the third mode, generally indicated by the reference number 300. It is again noted that certain aspects of the modes, already described above such as, for example, the use of lift pins 40 in concert with effector-arm 20 and isolation valve 44 may not be repeated for purposes of brevity.
  • Referring again to FIG. 1B, the spirit of this third mode is to use the selected pressure value in the transfer chamber in a way which backfills process chamber 32 so as to cause the process chamber and the transfer chamber to equalize, at least approximately, to the preheating pressure. That is, the process chamber pressure rises from treatment pressure P0 to preheating pressure P1 while transfer chamber 12 pressure drops from selected pressure Psel to preheating pressure P1. Because backfill chamber 68 of FIG. 1A can be used in this manner, as is described above, determinations of selected pressure values with respect to the transfer chamber are equally applicable to determinations of backfill chamber selected pressure when used in the aforedescribed backfill technique. An appropriate value for the selected pressure can be determined at least to a useful approximation, if the pressure used for preheating is much higher than the pressure used for plasma treatment (i.e., P1>>P0), for example, based on Boyle's Law for an idealized gas, written as:
    P Sel V tc =P 1 V tot  (1)
  • Where Psel is the selected pressure value to be determined and P1 is the preheating pressure, Vtc is the volume of the transfer chamber and Vtot is the combined volume of the transfer chamber and the process chamber. It is noted that any contribution from the initial pressure (i.e., the treatment pressure) in the processing chamber has been ignored for purposes of simplicity since, for example, at 1 Torr, it is much less than the selected pressure value. Of course, the selected pressure value may readily be determined and/or fine-tuned empirically by one having ordinary skill in the art.
  • As exemplary values, the transfer chamber can be maintained at a pressure from approximately 25 to 250 Torr with approximately 65 Torr as a potential selected pressure. The process chamber is maintained at the treatment pressure required for the desired plasma process in the range of 0.01 to 10 Torr with 1 Torr as a typical pressure. The difference in the respective pressures is such that, for example, to raise the pressure in the process chamber, during the rapid heating process, to approximately 60 Torr and if the ratio of the volume of the gaseous environments is such that the transfer chamber is approximately 10× that of the process chamber, one can set the pressure of the transfer chamber to approximately 65 Torr, so that when the isolation/gate valve between the transfer chamber and the process chamber is opened, the pressure in both chambers equalizes at a pressure of approximately 60 Torr. Of course, different ratios in the respective gaseous environments of both chambers and a different preheating pressure for the rapid workpiece heating cycle in the process chamber necessitate setting the transfer chamber at a different selected pressure. The higher selected pressure and the larger volume of the gaseous environment of the transfer chamber is used to “rapidly” raise the pressure in the process chamber to the desired preheating pressure for rapid heat-up of the workpiece. Of course, this discussion is equally applicable with respect to the use of backfill chamber 68 of FIG. 1 in the backfill equalization technique described above.
  • Referring to FIGS. 1B and 5, consistent with ongoing processing of a plurality of workpieces, as will be seen, it will initially be assumed in step 304 that transfer chamber 12 and processing chamber 32 are equalized to preheating pressure P1 and that a workpiece is in the treatment position in the processing chamber. Accordingly, isolation valve 44 and bypass valve 86 can both be closed and workpiece 30 heats from T0 at time t0 to preheating temperature T2 at time t2.
  • Moving to step 306, once the valves are closed, treatment chamber 12 is returned to selected pressure Psel. It is again noted that a plot of transfer chamber pressure may resemble the appearance of backfill chamber plot 202 b of FIG. 5. It is considered that these plots will be identical, at least from a practical standpoint, if the backfill chamber volume and transfer chamber volume and flow rates are approximately identical, although this is not required and many modifications may be made by one having ordinary skill in the art in view of this overall disclosure. For purposes of brevity, however, it will be assumed that plot 202 b of FIG. 5 represents the variation of transfer chamber pressure over time. Accordingly, beginning shortly after to and using MFC 92, transfer chamber pressure rises to Psel. It should be appreciated that this re-pressurization may be performed at any suitable rate and starting at any appropriate time, so long as the transfer chamber reaches the selected pressure value prior to a subsequent need to raise process chamber from the treatment pressure to the preheating pressure.
  • In time relation to the workpiece reaching the treatment temperature, plot 202 a of FIG. 5 illustrates a reduction in process chamber pressure (step 308) from P1 at t2 to P0 at t3. This reduction in pressure is accomplished by evacuation, responsive to vacuum pumping, at an appropriate port which is not illustrated in the present figure, but can be represented by exhaust port 46 of FIG. 1A.
  • In time relation to processing chamber 32 reaching P0, photoresist strip can be initiated in step 310 with an introduction of plasma gases and striking the plasma, as described above. The strip interval runs until time t5 in FIG. 5.
  • In step 312, in time relation to termination of the PR strip interval, accompanied by termination of plasma gas flow, processing chamber 32 is backfilled from transfer chamber 12 so as to produce a rapid increase in process chamber pressure plot 202 a from the treatment pressure to the preheating pressure. This pressure rise starts at time t5 and the preheating pressure is achieved at t6. The pressure rise in process chamber pressure plot 202 a is produced responsive to a drop in transfer chamber pressure plot 202 b from Psel to P1 which occurs from t5 to t6, respectively. It should be appreciated that, in order to achieve this rate of pressure increase, system 80 must accommodate a relatively large, but short duration of gas flow during the backfill. Bypass arrangement 82, of FIG. 1B, is specifically configured for this purpose. That is, ports, piping 84 and bypass valve 86 are all sized to cooperatively provide for this rate of flow. A large diameter pipe should be used, while ensuring that the pipe length, including any valves in between, do not present any constrictions that can choke gas flow. It is considered that one of ordinary skill in the art is capable of implementing the bypass arrangement in terms of porting and piping with this disclosure in hand. Specific values of flow rates will depend, of course, on the relative volumes of the transfer chamber and process chamber. Pressure rise rates, in the process chamber, comparable or greater than those available using the backfill chamber arrangement of FIG. 1A are considered to be achievable, since high conductance piping and components can be used. It is noted that the bypass arrangement port locations as well as vacuum pumping port locations that are shown in the various figures are diagrammatic in nature and are not intended as being limiting. Moreover, the bypass arrangement may be considered as optional. That is, isolation valve 44 can be configured to accommodate the contemplated flow rates. As still another alternative, the bypass arrangement and isolation valve can be used in combination to provide a distribution of the backfill flow. It is noted that consideration should be given to avoiding introduction and/or disturbance of particles which could be present in the transfer and/or process chambers, as a result of a large flow rate during this backfill step, as well as during any other high flow rate steps. Accordingly, port locations, dimensions and/or orientations can be configured with this in mind.
  • The treated workpiece is removed from process chamber 32, in step 314, and another workpiece is moved from the transfer chamber to the treatment position in process chamber 32.
  • Referring to FIGS. 1A and 1B, having described a number of modes in which representative systems 10 and 80 can be operated, further aspects with respect to the operation of lift-pins 40 will now be described. It should be appreciated that preheating can be accomplished with the lift-pins up, down or in a suitable combination. Such combinations include, for example, (i) a combination of first pins-up followed by pins-down, (ii) a combination of pins-up followed by pins-down followed by pins-up for subsequent processing steps, (iii) a combination of pins-up followed by pins-down for subsequent processing steps, or (iv) a combination of pins-down followed by pins-up followed by pins-down for subsequent processing steps. Accordingly, a wide range of flexibility is contemplated with respect to the use of the lift-pins. The specific choice can be determined by the requirements of the desired process results. Different options will allow the process to be optimized to achieve different desired process results. It should be appreciated that having the lift-pins up (supporting the workpiece) can reduce the chance that the workpiece may move (shift its location) on the workpiece support structure during a rapid change in pressure. Of course, the pins-up/pins-down combinations apply to both a single workpiece located in a process chamber designed to process a single workpiece or to a process chamber designed to process two or more work pieces in parallel and/or serial combination.
  • Attention is now directed to FIG. 7 which illustrates a system produced in accordance with the present invention and generally indicated by the reference number 400. System 400 is similar to previously described systems with the exception that it employs dual workpiece processing stations. Accordingly, an “a” has been appended to item reference numbers that are associated with a first one of the processing stations while a “b” has been appended to item reference numbers that are associated with a second one of the processing stations. Processing stations a and b are located within a shared processing chamber 32′ such that both workpieces are exposed to the same pressure environment. Accordingly, the descriptions provided above, with respect to systems 10 and 80 are considered to be equally applicable with respect to system 400 except that the workpieces can be processed in pairs so as to enhance system throughput. Bypass valve arrangement 82 is also illustrated, although there is no requirement to provide both the backfill and bypass arrangements.
  • Having described the present invention in detail above, it should be appreciated that, during processing of a series of workpieces, it is never required, in the processing chamber, to raise the process chamber pressure to more than the pressure used for preheating. It is considered that such a requirement would serve to reduce system throughput. Accordingly, the use of any higher pressure (higher than the preheating pressure) in the process chamber is completely avoided. Moreover, changing the process chamber pressure between the preheating and treatment pressures can always be accomplished very rapidly, irrespective of whether the pressure change is increasing or decreasing. In particular, the use of a backfilling approach from either a backfill reservoir arrangement and/or using backfill from the transfer chamber is highly advantageous in raising the process chamber pressure from the treatment pressure to the preheating pressure. Coupled with heating at the preheat pressure, an increase in system throughput on the order of 20-50% is contemplated, depending upon treatment time. Further, backfill from a backfill reservoir and from the transfer chamber may be used in any desired combination, either with serial or parallel use of the respective backfill sources.
  • While the aforementioned '932 patent describes an AVA system which is intended to minimize up-front costs, it is considered that the present application provides many advantages over the use of an AVA system. For example, system throughput is enhanced by eliminating the need to return to atmospheric pressure, or some higher load/unload pressure, subsequent to each workpiece being processed. The present application transfers workpieces to and from the process chamber at pressures that are at or below the workpiece preheating pressure, thereby eliminating a number of pressure changes that are mandated by the approach of the '932 patent. Further, the present application provides for cooling customization, described above, as the workpiece is returned to the transfer chamber.
  • It is submitted that the prior art is devoid of the recognition that is brought to light herein, whereby processing chamber pressure rises can always be performed in a very rapid manner when transitioning from the treatment pressure to the preheating pressure. Moreover, the present application provides an elegant and streamlined approach with respect to implementing pressure changes in an overall process scheme, including the use of backfilling in a way that is submitted to be missing from the prior art.
  • It is to be understood that at least the following language is considered to be enabled by the foregoing description.
  • 1. In a system for treating at least one workpiece using a treatment process, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate, a method comprising:
      • a) equalizing the transfer chamber pressure and the processing chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process;
      • b) transferring the workpiece from the transfer chamber to the processing chamber at the treatment pressure;
      • c) preheating the workpiece to a treatment temperature, in cooperation with raising the processing chamber pressure to a preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure;
      • d) reducing the processing chamber pressure to the treatment pressure; and
      • e) exposing the workpiece to said plasma treatment process at least approximately at said treatment pressure and at said treatment temperature.
  • 2. The method of claim 1 wherein said pressure rise rate is at least 15 Torr per second.
  • 3. The method of claim 1 wherein said workpiece supports a photoresist layer and wherein said preheating and exposing cooperate in removing the photoresist layer using said plasma treatment process.
  • 4. The method of claim 3 wherein said plasma treatment process produces a plasma which is customized for removing said photoresist layer from the substrate at said treatment temperature.
  • 5. The method of claim 1 wherein said workpiece is supported by a susceptor and including heating the susceptor for use in preheating the workpiece.
  • 6. The method of claim 5 wherein heating includes heating the susceptor to an at least approximately fixed temperature.
  • 7. The method of claim 1 wherein said treatment pressure is in a range from approximately 0.01 to 10 Torr.
  • 8. The method of claim 1 wherein said treatment pressure is approximately 1 Torr.
  • 9. The method of claim 1 wherein said preheating pressure is in a range from approximately 25 to 250 Torr.
  • 10. The method of claim 1 wherein said preheating pressure is at least approximately 60 Torr.
  • 11. The method of claim 1 wherein preheating includes introducing a preheating gas mixture into the processing chamber for enhancing a rate of temperature increase of the workpiece.
  • 12. The method of claim 11 including using helium gas as at least a portion of the preheating gas mixture.
  • 13. The method of claim 1 including configuring a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively producing a pressure increase in said processing chamber by causing said additional process chamber input flow, and preheating the workpiece in cooperation with raising the processing chamber pressure includes backfilling the processing chamber to said preheating pressure using the additional process chamber gas input flow from the backfill reservoir arrangement.
  • 14. The method of claim 13 wherein backfilling includes using a gas diffuser for introducing the additional process chamber gas input flow into the processing chamber from said backfill reservoir arrangement.
  • 15. The method of claim 14 including generating a plasma, as part of said plasma treatment process, using the process gas, and the gas diffuser is further used for introducing the process gas into the processing chamber.
  • 16. The method of claim 13 wherein said backfill reservoir arrangement is configured to include a backfill reservoir and storing a backfill gas in the backfill reservoir at a pressure that is greater than a target pressure to which the processing chamber is to be backfilled.
  • 17. The method of claim 16 wherein the target pressure is selected as the preheating pressure for use during heating the workpiece and heating the workpiece to a treatment temperature for subsequent use during treating the workpiece.
  • 18. The method of claim 13 including causing a backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at a treatment pressure that is lower than the selected value and which treatment pressure is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, backfilling includes placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • 19. The method of claim 18 wherein said process gas regulation arrangement provides at least approximately no process gas during said backfilling.
  • 20. The method of claim 13 wherein said pressure rise rate in the processing chamber is in a range of approximately 15 to 150 Torr per second.
  • 21. The method of claim 13 wherein backfilling includes inducing said pressure rise rate in the processing chamber at approximately 30 Torr per second.
  • 22. The method of claim 1 including simultaneously treating a pair of workpieces according to steps (a) through (e).
  • 23. The method of claim 1 including processing a series of workpieces according to steps (a) through (e).
  • 24. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate, an apparatus comprising:
      • a first arrangement at least for controlling the processing chamber pressure to reduce the processing chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process and for selectively raising the processing chamber pressure, in cooperation with said process gas regulation arrangement, to a preheating pressure, which is higher than the treatment pressure, at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure; and
      • a second arrangement in said processing chamber for preheating the workpiece to a treatment temperature in cooperation with raising the processing chamber pressure from said treatment pressure to said preheating pressure, using said first arrangement, and with said transfer chamber pressure remaining, at least approximately, at said treatment pressure such that the processing chamber pressure can then be reduced to the treatment pressure and the workpiece exposed to said plasma treatment process at least approximately at said treatment pressure and at said treatment temperature.
  • 25. The apparatus of claim 24 wherein said pressure rise rate is at least 15 Torr per second.
  • 26. The apparatus of claim 24 wherein said treatment pressure is in a range from approximately 0.01 to 10 Torr.
  • 27. The apparatus of claim 24 wherein said treatment pressure is approximately 1 Torr.
  • 28. The apparatus of claim 24 wherein said preheating pressure is in range from approximately 25 to 250 Torr.
  • 29. The apparatus of claim 24 configured for treating a pair of workpieces simultaneously.
  • 30. The apparatus of claim 24 including processing a series of workpieces according to steps (a) through (e).
  • 31. The apparatus of claim 24 wherein said first arrangement includes a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively backfilling, as said additional process chamber gas input flow, the processing chamber pressure from the treatment pressure to the preheating pressure.
  • 32. The apparatus of claim 31 wherein the backfill arrangement includes a gas diffuser for introducing the additional process chamber gas input flow into the processing chamber.
  • 33. The apparatus of claim 32 wherein said processing chamber includes a plasma generator for generating a plasma, as part of said plasma treatment process, using the process gas and the gas diffuser is configured for introducing the process gas into the processing chamber.
  • 34. The apparatus of claim 31 wherein said backfill reservoir arrangement includes a backfill reservoir for storing a backfill gas at a backfill pressure that is greater than the preheating pressure to which the processing chamber is to be backfilled.
  • 35. The apparatus of claim 34 including a control arrangement for causing the backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at a treatment pressure that is lower than the selected value and which treatment pressure is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • 36. The apparatus of claim 35 wherein said process gas regulation arrangement provides at least approximately no process gas during said backfilling.
  • 37. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each be controlled and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is otherwise capable of providing said process gas at a maximum flow rate, a method comprising:
      • manipulating at least the processing chamber pressure and cooperatively moving the workpiece between the transfer chamber and the processing chamber such that the workpiece is exposed to a preheating pressure in the processing chamber for use in heating the workpiece to a treatment temperature and so that the workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure using a value of the preheating pressure that is less than atmospheric pressure, and using a rate of pressure increase in the processing chamber from the treatment pressure to the preheating pressure resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure.
  • 38. The method of claim 37 wherein said rate of pressure increase is at least 15 Torr per second.
  • 39. The method of claim 37 wherein manipulating includes maintaining the transfer chamber pressure at least approximately at the treatment pressure.
  • 40. The method of claim 37 wherein manipulating includes maintaining the transfer chamber pressure at least approximately at the preheating pressure.
  • 41. In a system for treating at least one workpiece in accordance with a multi-step overall process which preheats said workpiece in a processing chamber to a treatment temperature at a preheating pressure and, thereafter, exposes said workpiece to a plasma at a treatment pressure in the processing chamber and at least approximately at said treatment temperature, said treatment pressure being less than said preheating pressure such that the processing chamber pressure must be raised from the treatment pressure at least to the preheating pressure at one or more points during the multi-step overall process, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during exposing the workpiece to said plasma at a given flow rate and which is capable of providing said process gas at a maximum flow rate, a configuration forming part of said system, said configuration comprising:
      • an arrangement for use in raising the processing chamber pressure from the treatment pressure at least to the preheating pressure at said one or more points during the multi-step overall process by providing an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate.
  • 42. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, and said workpiece is heated to a treatment temperature at a preheating pressure and exposed to a treatment process at a treatment pressure that is less than the preheating pressure, an apparatus comprising:
      • a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively backfilling the processing chamber pressure from the treatment pressure at least to the preheating pressure.
  • 43. The apparatus of claim 42 wherein the backfill arrangement includes a gas diffuser for introducing a backfill gas into the processing chamber.
  • 44. The apparatus of claim 43 wherein said processing chamber includes a plasma generator for generating a plasma, as part of said treatment process, using a plasma gas and the gas diffuser is configured for introducing the plasma gas into the processing chamber.
  • 45. The apparatus of claim 42 wherein said backfill reservoir arrangement includes a backfill reservoir for storing a backfill gas at a backfill pressure that is greater than the preheating pressure to which the processing chamber is to be backfilled.
  • 46. The apparatus of claim 45 including a control arrangement for causing the backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at the treatment pressure that is lower than the selected value and, thereafter, to backfill the processing chamber by placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • 47. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate, a method comprising:
      • a) equalizing the transfer chamber pressure and the processing chamber pressure to a preheating pressure at which the workpiece is to be heated to a treatment temperature;
      • b) in cooperation with equalizing the transfer chamber pressure and the processing chamber pressure, transferring the workpiece from the transfer chamber to the processing chamber;
      • c) preheating the workpiece to a treatment temperature at the preheating pressure in the processing chamber;
      • d) reducing the processing chamber pressure to the treatment pressure while the transfer chamber remains at least approximately at the preheating pressure;
      • e) exposing the workpiece to a plasma treatment process at least approximately at said treatment pressure and at said treatment temperature;
      • f) raising the processing chamber pressure at least to the preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate; and
      • g) in cooperation with raising the processing chamber pressure, moving the workpiece from the processing chamber to the transfer chamber.
  • 48. The method of claim 47 wherein said pressure rise rate is at least 15 Torr per second.
  • 49. The method of claim 47 including configuring a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively producing a pressure increase in said processing chamber, and raising the processing chamber pressure to the treatment pressure at said pressure rise rate includes backfilling the processing chamber to said preheating pressure using the backfill reservoir arrangement.
  • 50. The method of claim 49 wherein said backfill reservoir arrangement is configured to include a backfill reservoir and including storing a backfill gas in the backfill reservoir at a pressure that is greater than a target pressure to which the processing chamber is to be backfilled.
  • 51. The method of claim 50 wherein backfilling causes a backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at the treatment pressure, which treatment pressure is lower than the selected value and which is also lower than the preheating pressure at which the workpiece is to be heated to the treatment temperature and, thereafter, placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • 52. The method of claim 49 wherein backfilling includes inducing a rate of pressure rise in a range from approximately 10 to 150 Torr per second in the processing chamber.
  • 53. The method of claim 47 wherein said workpiece supports a photoresist layer and wherein said preheating and exposing steps are configured to cooperate in removing the photoresist layer using said plasma treatment process.
  • 54. The method of claim 53 wherein said plasma treatment process produces a plasma which is customized for removing said photoresist layer from the substrate at said treatment temperature.
  • 55. The method of claim 47 wherein said workpiece is supported by a susceptor and including heating the susceptor for use in preheating the workpiece.
  • 56. The method of claim 55 wherein heating includes heating the susceptor to an at least approximately fixed temperature.
  • 57. The method of claim 47 wherein said preheating pressure is in a range from approximately 25 to 250 Torr.
  • 58. The method of claim 47 wherein said preheating pressure is at least approximately 60 Torr.
  • 59. The method of claim 47 wherein preheating includes introducing a preheating gas mixture into the processing chamber for enhancing a rate of temperature increase of the workpiece.
  • 60. The method of claim 59 including using helium gas as at least a portion of the preheating gas mixture.
  • 61. The method of claim 47 including simultaneously treating a pair of workpieces according to steps (a) through (g).
  • 62. The method of claim 47 including processing a series of workpieces according to steps (a) through (g).
  • 63. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, a method comprising:
      • a) in pressure isolation from the process chamber, changing the transfer chamber pressure to a selected pressure value that is greater than a preheating pressure at which the workpiece is to be heated at least approximately to a treatment temperature;
      • b) with the processing chamber initially at least approximately at a treatment pressure, which is lower than the preheating pressure, equalizing pressure between the transfer chamber and the processing chamber such that the selected pressure backfills the process chamber at least approximately to the preheating pressure;
      • c) in cooperation with equalizing pressure to the preheating pressure, moving the workpiece from the transfer chamber to the processing chamber;
      • d) preheating the workpiece, at least approximately, to a treatment temperature at the preheating pressure in the processing chamber;
      • e) reducing the processing chamber pressure to the treatment pressure, in pressure isolation from the transfer chamber pressure; and
      • f) exposing the workpiece to a plasma treatment process at least approximately at said treatment pressure and at least approximately at said treatment temperature.
  • 64. The method of claim 63 further comprising:
      • g) after equalizing pressure between the transfer chamber and processing chamber and in pressure isolation from the processing chamber, raising the transfer chamber pressure from the preheating pressure to the selected pressure value;
      • h) with the processing chamber at least approximately at the treatment pressure after exposing the workpiece to the plasma treatment process and with the transfer chamber at the selected pressure value, re-equalizing pressure between the transfer chamber and the processing chamber such that the selected pressure value causes the processing chamber to backfill at least approximately to the preheating pressure;
      • i) transferring the workpiece from the treatment chamber to the transfer chamber in cooperation with re-equalizing the transfer chamber pressure and the treatment chamber pressure.
  • 65. The method of claim 64 further comprising:
      • j) after re-equalizing, repeating steps (c) through (i) for at least one additional workpiece.
  • 66. The method of claim 63 wherein an isolation valve selectively provides pressure communication between the transfer chamber and the processing chamber and wherein said equalizing includes opening the isolation valve and said workpiece is movable through the isolation valve.
  • 67. The method of claim 63 wherein a bypass arrangement selectively provides pressure communication between the transfer chamber and the processing chamber for use in said equalizing and an isolation valve between the transfer chamber and the processing chamber provides at least for movement of the workpiece therethrough between the transfer chamber and the processing chamber.
  • 68. The method of claim 63 including choosing the selected pressure based, at least in part, on a processing chamber volume of the processing chamber and a transfer chamber volume of the transfer chamber.
  • 69. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, an apparatus, comprising:
      • a first arrangement for changing the transfer chamber pressure, in pressure isolation from the process chamber, to a selected pressure value that is greater than a preheating pressure at which the workpiece is to be heated at least approximately to a treatment temperature; and
      • a second arrangement for equalizing pressure between the transfer chamber and the processing chamber with the processing chamber initially at least approximately at a treatment pressure, which is lower than the preheating pressure, such that the selected pressure backfills the process chamber at least approximately to the preheating pressure.
  • 70. The apparatus of claim 69 including an isolation valve through which said workpiece is moved between the transfer chamber and the process chamber and which is configured to selectively provide pressure communication between the transfer chamber and the processing chamber for pressure equalization.
  • 71. The apparatus of claim 69 wherein a bypass arrangement selectively provides pressure communication between the transfer chamber and the processing chamber for use in said equalizing and an isolation valve between the transfer chamber and the processing chamber provides at least for movement of the workpiece therethrough between the transfer chamber and the processing chamber.
  • 72. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each be controlled and the workpiece can be moved between the transfer chamber and the processing chamber, a method comprising:
      • manipulating at least the processing chamber pressure and cooperatively moving the workpiece between the transfer chamber and the processing chamber such that the workpiece is exposed to a preheating pressure in the processing chamber for use in heating the workpiece to a treatment temperature and so that the workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure using a value of the preheating pressure that is less than atmospheric pressure, but greater than the treatment pressure and manipulating includes raising the transfer chamber pressure to a selected value and, thereafter, causing pressure communication between the transfer chamber and the processing chamber which results in the transfer chamber pressure decreasing in a range from the selected value to the preheating pressure in a way which backfills the processing chamber so as to increase the processing chamber pressure from the treatment pressure to the preheating pressure.
  • 73. The method of claim 72 including initiating movement of the workpiece between the transfer chamber and the processing chamber in timed relation to the transfer chamber pressure decrease in said range between the selected value and the preheating pressure.
  • 74. The method of claim 72 wherein a bypass arrangement selectively provides pressure communication between the transfer chamber and the processing chamber for use in said equalizing and an isolation valve between the transfer chamber and the processing chamber provides at least for movement of the workpiece therethrough between the transfer chamber and the processing chamber.
  • 75. In treating a plurality of workpieces using a system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and each workpiece of the plurality of workpieces can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is otherwise capable of providing said process gas at a maximum flow rate, a method comprising:
      • manipulating at least the processing chamber pressure and cooperatively moving a first one of the workpieces between the transfer chamber and the processing chamber such that the first workpiece is exposed to a preheating pressure in the processing chamber for use in heating the first workpiece to a treatment temperature and so that the first workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure, that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure, using a value of the preheating pressure that is less than atmospheric pressure, and using a rate of pressure increase in the processing chamber from the treatment pressure to the preheating pressure resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure.
  • 76. The method of claim 75 further comprising:
      • treating subsequent ones of the plurality of workpieces by continuing to manipulate the transfer chamber pressure, the processing chamber pressure and cooperatively moving each one of the subsequent ones of the workpieces between the transfer chamber and the processing chamber such that the subsequent ones of the workpieces are exposed to the preheating pressure in the processing chamber for use in heating each of the subsequent ones of the workpieces to the treatment temperature and so that the subsequent ones of the workpieces are subjected to the treatment process in the processing chamber, at least approximately at the treatment pressure, after having at least approximately reached the treatment temperature in said way which produces the maximum processing chamber pressure of no more than approximately the preheating pressure, using a value of the preheating pressure that is less than atmospheric pressure.
  • 77. The method of claim 75 wherein manipulating includes maintaining the transfer chamber pressure at least approximately at the treatment pressure while treating said plurality of workpieces.
  • 78. The method of claim 75 wherein manipulating includes maintaining the transfer chamber pressure at least approximately at the preheating pressure while treating said plurality of workpieces.
  • 79. The method of claim 75 including simultaneously subjecting a multiple number of said workpieces to the treatment process.
  • 80. In treating a plurality of workpieces using a system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and each workpiece of the plurality of workpieces can be moved between the transfer chamber and the processing chamber, a method comprising:
      • manipulating the transfer chamber pressure and the processing chamber pressure and cooperatively moving each of the workpieces between the transfer chamber and the processing chamber such that the each workpiece is exposed to a preheating pressure in the processing chamber for use in heating each workpiece to a treatment temperature and so that each workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure, that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure, using a value of the preheating pressure that is less than atmospheric pressure, and manipulating includes pressure cycling the transfer chamber between a selected pressure and the preheating pressure in timed relation to treating each workpiece, said pressure cycling at least in part resulting from establishing pressure communication between the transfer chamber and the processing chamber such that the selected pressure is used to backfill the processing chamber.
  • 81. The method of claim 80 including initiating movement of the workpiece between the transfer chamber and the processing chamber in timed relation to the transfer chamber pressure decrease in said range from the selected value to the preheating pressure.
  • 82. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber through an isolation valve, a configuration, comprising:
      • a bypass arrangement for selectively providing pressure communication between the transfer chamber and the processing chamber for use in pressure equalization therebetween without a need to use said isolation valve.
  • 83. The configuration of claim 82 wherein said bypass arrangement includes a bypass valve for selectively controlling the pressure communication between the transfer chamber and the processing chamber.
  • 84. The configuration of claim 83 wherein said bypass arrangement is configured to induce a pressure increase in said processing chamber at a rate of at least 15 Torr per second.
  • 85. The configuration of claim 83 wherein said bypass arrangement is configured to induce a pressure increase in the processing chamber from said treatment pressure to said preheating pressure characterized by a rate of pressure increase of greater than 30 Torr per second.
  • 86. The configuration of claim 82 including a control arrangement for causing the transfer chamber pressure to rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by opening at least the bypass arrangement between the transfer chamber and the processing chamber in a way which causes the processing chamber pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in heating the workpiece.
  • 87. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber through an isolation valve, a configuration, comprising:
      • said isolation valve configured for selectively providing pressure communication between the transfer chamber and the processing chamber for use in pressure equalization therebetween to induce a rate of pressure increase in the processing chamber of at least 15 Torr per second.
  • 88. The configuration of claim 87 including a control arrangement for causing the transfer chamber pressure to rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by opening the isolation valve in a way which causes the processing chamber pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in heating the workpiece.
  • 89. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber through an isolation valve, a configuration, comprising:
      • a backfill arrangement in selective pressure communication with said processing chamber for use in inducing a pressure increase in said processing chamber.
  • 90. The configuration of claim 89 wherein said processing chamber includes a gas diffuser that is configured for introducing a backfill gas from said backfill arrangement.
  • 91. The configuration of claim 89 wherein said backfill arrangement includes a backfill reservoir for storing a backfill gas at a pressure that is greater than a target pressure to which the processing chamber is to be backfilled.
  • 92. The configuration of claim 91 wherein the target pressure is a preheating pressure at which said workpiece is heated, at least approximately, to a treatment temperature for subsequent use in treating the workpiece.
  • 93. The configuration of claim 91 wherein the backfill arrangement includes a backfill valve for controlling pressure communication between the transfer chamber and the processing chamber.
  • 94. The configuration of claim 91 including a control arrangement for causing a backfill pressure in the backfill reservoir rise to a selected value, with the processing chamber at a treatment pressure, that is lower than the selected value and which is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, to backfill the processing chamber by placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
  • 95. The configuration of claim 89 wherein said backfill arrangement is configured for inducing a pressure rise in the processing chamber at a rate of at least 15 Torr per second.
  • 96. The configuration of claim 90 wherein said system is configured for exposing said workpiece to a plasma at a treatment temperature and for heating the workpiece to a treatment temperature prior to exposing the workpiece to the plasma in the processing chamber and said plasma is generated using a plasma gas in a plasma chamber forming part of the processing chamber and wherein said processing chamber includes a gas diffuser that is configured for introducing a backfill gas from said backfill arrangement and which is further configured for introducing said plasma gas.
  • Although each of the aforedescribed physical embodiments have been illustrated with various components having particular respective orientations, it should be understood that the present invention may take on a variety of specific configurations with the various components being located in a wide variety of positions and mutual orientations. Furthermore, the methods described herein may be modified in an unlimited number of ways, for example, by reordering, modifying and recombining the various steps. For example, any action taken responsive to or in timed relation to a particular event may occur at any point within an interval centered on that particular event, which interval may be defined in terms of any of time, pressure or temperature. As another example, with the present disclosure in hand, it should be appreciated that two or more transfer chambers can be operated when connected to a common transfer chamber, consistent with the teachings herein. Accordingly, it should be apparent that the arrangements and associated methods disclosed herein may be provided in a variety of different configurations and modified in an unlimited number of different ways, and that the present invention may be embodied in many other specific forms without departing from the spirit or scope of the invention. Therefore, the present examples and methods are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified at least within the scope of the appended claims.

Claims (25)

1. In a system for treating at least one workpiece using a treatment process, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate, a method comprising:
a) equalizing the transfer chamber pressure and the processing chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process;
b) transferring the workpiece from the transfer chamber to the processing chamber at the treatment pressure;
c) preheating the workpiece to a treatment temperature, in cooperation with raising the processing chamber pressure to a preheating pressure at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure;
d) reducing the processing chamber pressure to the treatment pressure; and
e) exposing the workpiece to said plasma treatment process at least approximately at said treatment pressure and at said treatment temperature.
2. The method of claim 1 wherein said pressure rise rate is at least 15 Torr per second.
3. The method of claim 1 wherein said workpiece supports a photoresist layer and wherein said preheating and exposing cooperate in removing the photoresist layer using said plasma treatment process.
4. The method of claim 3 wherein said plasma treatment process produces a plasma which is customized for removing said photoresist layer from the substrate at said treatment temperature.
5. The method of claim 1 wherein said workpiece is supported by a susceptor and including heating the susceptor for use in preheating the workpiece.
6. The method of claim 5 wherein heating includes heating the susceptor to an at least approximately fixed temperature.
7. The method of claim 1 wherein said treatment pressure is in a range from approximately 0.01 to 10 Torr.
8. The method of claim 1 wherein said treatment pressure is approximately 1 Torr.
9. The method of claim 1 wherein said preheating pressure is in a range from approximately 25 to 250 Torr.
10. The method of claim 1 wherein said preheating pressure is at least approximately 60 Torr.
11. The method of claim 1 wherein preheating includes introducing a preheating gas mixture into the processing chamber for enhancing a rate of temperature increase of the workpiece.
12. The method of claim 11 including using helium gas as at least a portion of the preheating gas mixture.
13. The method of claim 1 including configuring a backfill reservoir arrangement for selective pressure communication with said processing chamber for use in selectively producing a pressure increase in said processing chamber by causing said additional process chamber input flow, and preheating the workpiece in cooperation with raising the processing chamber pressure includes backfilling the processing chamber to said preheating pressure using the additional process chamber gas input flow from the backfill reservoir arrangement.
14. The method of claim 13 wherein backfilling includes using a gas diffuser for introducing the additional process chamber gas input flow into the processing chamber from said backfill reservoir arrangement.
15. The method of claim 14 including generating a plasma, as part of said plasma treatment process, using the process gas, and the gas diffuser is further used for introducing the process gas into the processing chamber.
16. The method of claim 13 wherein said backfill reservoir arrangement is configured to include a backfill reservoir and storing a backfill gas in the backfill reservoir at a pressure that is greater than a target pressure to which the processing chamber is to be backfilled.
17. The method of claim 16 wherein the target pressure is selected as the preheating pressure for use during heating the workpiece and heating the workpiece to a treatment temperature for subsequent use during treating the workpiece.
18. The method of claim 13 including causing a backfill pressure in the backfill reservoir to rise to a selected value, with the processing chamber at a treatment pressure that is lower than the selected value and which treatment pressure is also lower than a preheating pressure at which the workpiece is to be heated to a treatment temperature and, thereafter, backfilling includes placing the backfill reservoir in pressure communication with the processing chamber in a way which causes the backfill pressure and the treatment chamber pressure to equalize at least approximately to the preheating pressure for subsequent use in enhancing a heating rate of the workpiece.
19. The method of claim 18 wherein said process gas regulation arrangement provides at least approximately no process gas during said backfilling.
20. The method of claim 13 wherein said pressure rise rate in the processing chamber is in a range of approximately 15 to 150 Torr per second.
21. The method of claim 13 wherein backfilling includes inducing said pressure rise rate in the processing chamber at approximately 30 Torr per second.
22. The method of claim 1 including simultaneously treating a pair of workpieces according to steps (a) through (e).
23. The method of claim 1 including processing a series of workpieces according to steps (a) through (e).
24. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each vary and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is capable of providing said process gas at a maximum flow rate, an apparatus comprising:
a first arrangement at least for controlling the processing chamber pressure to reduce the processing chamber pressure to a treatment pressure at which the workpiece is to be subjected to a plasma treatment process and for selectively raising the processing chamber pressure, in cooperation with said process gas regulation arrangement, to a preheating pressure, which is higher than the treatment pressure, at a pressure rise rate resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure; and
a second arrangement in said processing chamber for preheating the workpiece to a treatment temperature in cooperation with raising the processing chamber pressure from said treatment pressure to said preheating pressure, using said first arrangement, and with said transfer chamber pressure remaining, at least approximately, at said treatment pressure such that the processing chamber pressure can then be reduced to the treatment pressure and the workpiece exposed to said plasma treatment process at least approximately at said treatment pressure and at said treatment temperature.
25. In a system for treating at least one workpiece, said system having at least a transfer chamber and a processing chamber such that a transfer chamber pressure, in the transfer chamber, and a processing chamber pressure, in the processing chamber, can each be controlled and the workpiece can be moved between the transfer chamber and the processing chamber, said system further including a process gas regulation arrangement for providing process gas to said processing chamber at least during a plasma treatment process at a given flow rate and which is otherwise capable of providing said process gas at a maximum flow rate, a method comprising:
manipulating at least the processing chamber pressure and cooperatively moving the workpiece between the transfer chamber and the processing chamber such that the workpiece is exposed to a preheating pressure in the processing chamber for use in heating the workpiece to a treatment temperature and so that the workpiece is subjected to a treatment process in the processing chamber, at least approximately at a treatment pressure that is lower than the preheating pressure, after having at least approximately reached the treatment temperature, in a way which produces a maximum processing chamber pressure of no more than approximately the preheating pressure using a value of the preheating pressure that is less than atmospheric pressure, and using a rate of pressure increase in the processing chamber from the treatment pressure to the preheating pressure resulting at least in part from using an additional process chamber gas input flow at an input flow rate which causes an overall input rate to the processing chamber to be greater than said maximum flow rate, without raising the transfer chamber pressure.
US11/030,362 2004-01-06 2005-01-05 Advanced multi-pressure workpiece processing Abandoned US20050205210A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/030,362 US20050205210A1 (en) 2004-01-06 2005-01-05 Advanced multi-pressure workpiece processing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53449504P 2004-01-06 2004-01-06
US11/030,362 US20050205210A1 (en) 2004-01-06 2005-01-05 Advanced multi-pressure workpiece processing

Publications (1)

Publication Number Publication Date
US20050205210A1 true US20050205210A1 (en) 2005-09-22

Family

ID=34794284

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/030,362 Abandoned US20050205210A1 (en) 2004-01-06 2005-01-05 Advanced multi-pressure workpiece processing

Country Status (7)

Country Link
US (1) US20050205210A1 (en)
JP (1) JP2007518278A (en)
KR (1) KR20060127019A (en)
CN (1) CN1910308A (en)
DE (1) DE112005000153T5 (en)
TW (1) TWI257647B (en)
WO (1) WO2005067634A2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
EP3707746A4 (en) * 2017-11-11 2021-08-18 Micromaterials LLC Gas delivery system for high pressure processing chamber
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11592394B2 (en) * 2016-08-12 2023-02-28 Wisconsin Alumni Research Foundation Methods and systems for transmission and detection of free radicals
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101501426B1 (en) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 Gas flow control by differential pressure measurements
CN104934353B (en) * 2014-03-18 2018-01-19 北京北方华创微电子装备有限公司 Transmission system, reaction chamber and semiconductor processing equipment
CN113658891A (en) * 2021-08-19 2021-11-16 上海稷以科技有限公司 Wafer processing device
WO2023043043A1 (en) * 2021-09-17 2023-03-23 주식회사 플라즈맵 Plasma processing apparatus
KR102611478B1 (en) * 2021-09-17 2023-12-08 주식회사 플라즈맵 Apparatus for plasma treatment

Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3125232A (en) * 1964-03-17 Transfer device
US4824545A (en) * 1987-09-18 1989-04-25 Leybold Aktiengesellschaft Apparatus for coating substrates
US4927484A (en) * 1986-09-03 1990-05-22 Mitsubishi Denki Kabushiki Kaisha Reactive ion etching appartus
US5135391A (en) * 1990-04-24 1992-08-04 Micron Technology, Inc. Semiconductor processing gas diffuser plate
US5183547A (en) * 1989-09-13 1993-02-02 Sony Corporation Sputtering apparatus and system for sputtering employing same
US5759268A (en) * 1995-11-07 1998-06-02 Sputtered Films, Inc. System for providing a controlled deposition on wafers
US5791851A (en) * 1994-08-08 1998-08-11 Balzers Und Leybold Aktiengesellschaft Apparatus for transfer of workpieces into and out of a coating chamber
US5795429A (en) * 1993-01-12 1998-08-18 Tokyo Electron Limited Plasma processing apparatus
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6007675A (en) * 1996-07-09 1999-12-28 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
US6241824B1 (en) * 1998-08-04 2001-06-05 Leybold Systems Gmbh Apparatus for the coating of substrates in a vacuum chamber
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US20020006323A1 (en) * 2000-07-12 2002-01-17 Tetsuo Yoshida Semiconductor processing system and transfer apparatus for the same
US20020006689A1 (en) * 1995-12-14 2002-01-17 Mitsutoshi Miyasaka Thin film semiconductor device and method for producing the same
US20020033136A1 (en) * 1999-04-02 2002-03-21 Silicon Valley Group, Thermal Systems Llc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6392350B1 (en) * 1998-06-30 2002-05-21 Tokyo Electron Limited Plasma processing method
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US20020137354A1 (en) * 2001-03-26 2002-09-26 Timperio Onofio L. Plasma etcher with heated ash chamber base
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6537415B2 (en) * 1989-02-27 2003-03-25 Hitachi, Ltd. Apparatus for processing samples
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030133773A1 (en) * 2002-01-14 2003-07-17 Applied Materials, Inc. Semiconductor wafer preheating
US20040033639A1 (en) * 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3125232A (en) * 1964-03-17 Transfer device
US4927484A (en) * 1986-09-03 1990-05-22 Mitsubishi Denki Kabushiki Kaisha Reactive ion etching appartus
US4824545A (en) * 1987-09-18 1989-04-25 Leybold Aktiengesellschaft Apparatus for coating substrates
US6537415B2 (en) * 1989-02-27 2003-03-25 Hitachi, Ltd. Apparatus for processing samples
US5183547A (en) * 1989-09-13 1993-02-02 Sony Corporation Sputtering apparatus and system for sputtering employing same
US5135391A (en) * 1990-04-24 1992-08-04 Micron Technology, Inc. Semiconductor processing gas diffuser plate
US5795429A (en) * 1993-01-12 1998-08-18 Tokyo Electron Limited Plasma processing apparatus
US5791851A (en) * 1994-08-08 1998-08-11 Balzers Und Leybold Aktiengesellschaft Apparatus for transfer of workpieces into and out of a coating chamber
US5879460A (en) * 1995-11-07 1999-03-09 Sputtered Films, Inc. System for providing a controlled deposition on wafers
US5759268A (en) * 1995-11-07 1998-06-02 Sputtered Films, Inc. System for providing a controlled deposition on wafers
US20020006689A1 (en) * 1995-12-14 2002-01-17 Mitsutoshi Miyasaka Thin film semiconductor device and method for producing the same
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US6007675A (en) * 1996-07-09 1999-12-28 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6568552B1 (en) * 1997-11-28 2003-05-27 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
US6392350B1 (en) * 1998-06-30 2002-05-21 Tokyo Electron Limited Plasma processing method
US6368051B2 (en) * 1998-07-10 2002-04-09 Asm America, Inc. Multi-position load lock chamber
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6241824B1 (en) * 1998-08-04 2001-06-05 Leybold Systems Gmbh Apparatus for the coating of substrates in a vacuum chamber
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US20020033136A1 (en) * 1999-04-02 2002-03-21 Silicon Valley Group, Thermal Systems Llc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
US20020006323A1 (en) * 2000-07-12 2002-01-17 Tetsuo Yoshida Semiconductor processing system and transfer apparatus for the same
US20020137354A1 (en) * 2001-03-26 2002-09-26 Timperio Onofio L. Plasma etcher with heated ash chamber base
US20040033639A1 (en) * 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030133773A1 (en) * 2002-01-14 2003-07-17 Applied Materials, Inc. Semiconductor wafer preheating
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US11592394B2 (en) * 2016-08-12 2023-02-28 Wisconsin Alumni Research Foundation Methods and systems for transmission and detection of free radicals
US11705337B2 (en) 2017-05-25 2023-07-18 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11469113B2 (en) 2017-08-18 2022-10-11 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11462417B2 (en) 2017-08-18 2022-10-04 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11527421B2 (en) 2017-11-11 2022-12-13 Micromaterials, LLC Gas delivery system for high pressure processing chamber
EP3707746A4 (en) * 2017-11-11 2021-08-18 Micromaterials LLC Gas delivery system for high pressure processing chamber
US11756803B2 (en) 2017-11-11 2023-09-12 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11610773B2 (en) 2017-11-17 2023-03-21 Applied Materials, Inc. Condenser system for high pressure processing system
US11881411B2 (en) 2018-03-09 2024-01-23 Applied Materials, Inc. High pressure annealing process for metal containing materials
US11581183B2 (en) 2018-05-08 2023-02-14 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11361978B2 (en) 2018-07-25 2022-06-14 Applied Materials, Inc. Gas delivery module
US11749555B2 (en) 2018-12-07 2023-09-05 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Also Published As

Publication number Publication date
TWI257647B (en) 2006-07-01
TW200535928A (en) 2005-11-01
DE112005000153T5 (en) 2006-11-16
WO2005067634A2 (en) 2005-07-28
WO2005067634A3 (en) 2005-09-15
CN1910308A (en) 2007-02-07
JP2007518278A (en) 2007-07-05
KR20060127019A (en) 2006-12-11

Similar Documents

Publication Publication Date Title
WO2005067634A2 (en) Advanced multi-pressure worpiece processing
KR20230018507A (en) Suppressing interfacial reactions by varying wafer temperature throughout deposition
KR101701024B1 (en) High growth rate process for conformal aluminum nitride
US7279398B2 (en) Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US6736927B2 (en) Apparatus for increased workpiece throughput
US9362149B2 (en) Etching method, etching apparatus, and storage medium
US20030060030A1 (en) Method for processing a wafer and apparatus for performing the same
TWI636503B (en) Method for selective etching of silicon oxide film
TW201704517A (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102562226B1 (en) Isotropic etching of films using atomic layer control
WO2012018010A1 (en) Substrate processing method and substrate processing device
KR102554630B1 (en) Temperature control method
JP2005064305A (en) Substrate processing device and method of manufacturing semiconductor device
WO2006101130A1 (en) Film-forming apparatus and film-forming method
TW202140842A (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-transitory Computer-readable Recording Medium
TW202104655A (en) Multi-station semiconductor processing with independently adjustable pedestals
WO2006135007A1 (en) Film-forming and cleaning method
TW202223991A (en) Methods and aparatuses for flowable gap-fill
US11393696B2 (en) Method of controlling substrate treatment apparatus, substrate treatment apparatus, and cluster system
KR102095983B1 (en) Substrate treating apparatus and substrate treating method
JP2003273032A (en) Method of manufacturing semiconductor device
KR20230033722A (en) Film formation device and film formation method
JP2004342726A (en) Film depositing method
TW202249206A (en) In-situ film annealing in substrate processing systems
JPH04349624A (en) Film forming treatment

Legal Events

Date Code Title Description
AS Assignment

Owner name: MATTSON TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:DEVINE, DANIEL J.;GEORGE, RENE;PAKULSKI, RYAN MICHAEL;AND OTHERS;REEL/FRAME:016664/0803;SIGNING DATES FROM 20050502 TO 20050525

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION