US20050196954A1 - Method for manufacturing semiconductor integrated circuit device - Google Patents

Method for manufacturing semiconductor integrated circuit device Download PDF

Info

Publication number
US20050196954A1
US20050196954A1 US11/116,452 US11645205A US2005196954A1 US 20050196954 A1 US20050196954 A1 US 20050196954A1 US 11645205 A US11645205 A US 11645205A US 2005196954 A1 US2005196954 A1 US 2005196954A1
Authority
US
United States
Prior art keywords
interconnect
insulating film
film
wafer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/116,452
Inventor
Junji Noguchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to US11/116,452 priority Critical patent/US20050196954A1/en
Publication of US20050196954A1 publication Critical patent/US20050196954A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device and a semiconductor device technique, particularly to a technique effective when adapted to a method for manufacturing a semiconductor device having an inlaid interconnect containing a main conductor film comprised mainly of copper and such a semiconductor device.
  • An inlaid interconnect structure is formed by embedding a wiring material in an interconnect opening such as interconnect trench or hole formed in an insulating film in accordance with a metallization technique called “damascene technique” (single damascene and dual damascene techniques).
  • damascene technique single damascene and dual damascene techniques.
  • copper is employed as a main wiring material, however, it easily diffuses in an insulating film compared with a metal such as aluminum. Diffusion of copper from an inlaid interconnect to an insulating film is therefore suppressed or prevented by covering the surfaces (bottom surface and side surfaces) of the inlaid interconnect made of copper with a thin barrier metal film so as to avoid direct contact of the inlaid interconnect with the insulating film.
  • an interconnect capping insulating film made of, for example, silicon nitride film over the upper surface of the insulating film having an interconnect opening formed therein and covering therewith the upper surface of the inlaid interconnect.
  • a damascene metallization technique is described, for example, in Japanese Patent Laid-Open No. 2000-323479 in which in an inlaid interconnect structure, a copper interconnect and an insulating film are formed to have different surface height.
  • Japanese Patent Laid-Open No. 111843/1999 disclosed is an inlaid interconnect structure obtained by forming, in an inlaid interconnect, a copper layer to have an upper surface level lower than that of an insulating film and then embedding a barrier insulating film in the resulting indented portion.
  • an inlaid interconnect structure by lowering the upper surface level of each of the copper layer and barrier metal of the inlaid interconnect relative to that of the insulating film and embedding a barrier insulating film in the indented portion.
  • a technique of preventing remaining of a slurry after CMP (chemical mechanical polishing) by forming the upper surface level of each of the barrier metal and metal film of an inlaid interconnect higher than that of the insulating film disclosed is a technique of forming the upper surface level of a tungsten plug a little higher than that of an insulating film and rounding an inlaid plug.
  • the present inventors have found that the above-described inlaid interconnect forming technique using copper as a main conductor layer has the following problems. Described specifically, when copper is employed as a wiring material, the TDDB (Time Dependence on Dielectric Breakdown) lifetime is markedly shorter than that of another metal material (such as aluminum or tungsten). In addition, as interconnect pitches have become more minute, the effective electric field strength tends to increase. In recent years, an insulating material having a lower dielectric constant than that of silicon oxide tends to be used as an interlayer insulating film from the viewpoint of decreasing an interconnect capacitance. The insulating film having a low dielectric constant usually has a low dielectric breakdown, so that use of it has made it more difficult to maintain a long TDDB lifetime.
  • TDDB Time Dependence on Dielectric Breakdown
  • An object of the present invention to provide a technique capable of improving dielectric breakdown strength between interconnects each using copper as a main conductor film.
  • a main conductor film constituting an interconnect is formed of copper and it is kept apart, at a portion on which concentration of an electric field occurs, from the polished surface of the surrounding insulating film.
  • a main conductor film constituting an interconnect is formed of copper and it is rounded at a portion on which concentration of an electric field occurs.
  • a method for manufacturing a semiconductor device which comprises the steps of:
  • (1-1) A method as described above in (1), wherein the taper is a round taper formed by heat treating the wafer in an atmosphere of a nitrogen gas, an ammonia gas or a nitrogen-ammonia gas mixture, or in a plasma atmosphere using the gas.
  • (1-3) A method as described above in (1-2), wherein the reducing plasma treatment is ammonia plasma treatment, hydrogen plasma treatment, or treatment using thereof in combination.
  • step (1-4) A method as described above in (1), wherein the step (a) having the sub-steps of:
  • (1-6) A method as described above in (1-4), wherein the relatively thin insulating film is made of silicon carbide, silicon carbonitride or silicon oxynitride.
  • a method for manufacturing a semiconductor device which comprises the following steps of:
  • (2-1) A method as described above in (2), wherein the taper is a round taper formed by heat treating the wafer in an atmosphere of a nitrogen gas, an ammonia gas or a nitrogen-ammonia gas mixture, or in a plasma atmosphere using the gas.
  • step (2-4) A method as described above in (2), wherein the step (a) having the following sub-steps of:
  • step (c) comprises the following sub-steps of:
  • a method for manufacturing a semiconductor device which comprises, in the metallization of each of a plurality of interconnect layers formed over a wafer:
  • a method for manufacturing a semiconductor device which comprises, in the metallization of at least two of a plurality of interconnect layers formed over a wafer:
  • a method for manufacturing a semiconductor device which comprises, in the metallization of each of a plurality of interconnect layers formed over a wafer:
  • a method for manufacturing a semiconductor device which comprises, in the metallization of at least two of a plurality of interconnect layers formed over a wafer:
  • a method for manufacturing a semiconductor device which comprises, in the metallization of each of a plurality of interconnect layers formed over a wafer:
  • a method for manufacturing a semiconductor device which comprises, in the metallization step of at least two of a plurality of interconnect layers formed over a wafer:
  • a semiconductor device comprising:
  • a semiconductor device comprising:
  • a semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
  • a semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
  • a semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
  • (14-1) A semiconductor device as described above in (14), wherein the step difference is formed by indenting the first surface of the second conductor film relative to the second surface of the first conductor film and the first insulating film in a direction of the main surface of the semiconductor substrate.
  • a semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
  • a semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
  • (16-1) A semiconductor as described above in (16), wherein the step difference is formed by indenting the first surface of the first and second conductor films relative to the second surface of the first insulating film in a direction of the main surface of the semiconductor substrate.
  • (16-2) A semiconductor device as described above in (16), wherein the step difference is formed by causing the first surface of the first and second conductor films to protrude relative to the second surface of the first insulating film in a direction far from the main surface of the semiconductor substrate.
  • FIG. 1 is a plane view of a sample used for measuring a TDDB life of the embodiment of the present invention
  • FIG. 2 is a cross-sectional view taken along a line B-B′ of FIG. 1 ;
  • FIG. 3 is a cross-sectional view taken along a line C-C′ of FIG. 1 ;
  • FIG. 4 is a schematic view illustrating the outline of the measurement when the sample of FIG. 1 is used;
  • FIG. 5 is a flowchart of a manufacturing step of a semiconductor device according to one embodiment of the present invention.
  • FIG. 6 is a fragmentary plane view of a semiconductor substrate during its manufacturing step according to one embodiment of the present invention.
  • FIG. 7 is a cross-sectional view taken along a line X 1 -X 1 of FIG. 6 ;
  • FIG. 8 is a cross-sectional view of a portion corresponding to the line X 1 -X 1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 7 ;
  • FIG. 9 is a cross-sectional view of the portion corresponding to the line X 1 -X 1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 8 ;
  • FIG. 10 is a cross-sectional view of the portion corresponding to the line X 1 -X 1 of FIG. 5 in the manufacturing process of a semiconductor device following FIG. 9 ;
  • FIG. 11 is a cross-sectional view of the portion corresponding to the line X 1 -X 1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 10 ;
  • FIG. 12 is a fragmentary enlarged cross-sectional view of FIG. 11 ;
  • FIG. 13 is a cross-sectional view of the portion corresponding to the line X 1 -X 1 of FIG. 5 in the manufacturing step of a semiconductor device following FIGS. 11 and 12 ;
  • FIG. 14 is a cross-sectional view of the portion corresponding to the line X 1 -X 1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 13 ;
  • FIG. 15 is a cross-sectional view of the portion corresponding to the line X 1 -X 1 of FIG. 5 in the manufacturing process of a semiconductor device following FIG. 14 ;
  • FIG. 16 is a fragmentary plane view of the semiconductor device during its manufacturing step following FIG. 15 ;
  • FIG. 17 is a cross-sectional view taken along a line X 2 -X 2 of FIG. 16 ;
  • FIG. 18 is a fragmentary enlarged cross-sectional view of FIG. 17 ;
  • FIG. 19 is a flowchart of the manufacturing step of a semiconductor device according to another embodiment of the present invention.
  • FIG. 20 is a fragmentary cross-sectional view of a semiconductor substrate during the manufacturing step according to a further embodiment of the present invention.
  • FIG. 21 is a fragmentary cross-sectional view of a semiconductor substrate during the manufacturing step according to a still further embodiment of the present invention.
  • FIG. 22 is a fragmentary cross-sectional view of a semiconductor substrate during the manufacturing step according to a still further embodiment of the present invention.
  • FIG. 23 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention.
  • FIG. 24 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention.
  • FIG. 26 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention.
  • FIG. 27 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention.
  • TDDB Time Dependence on Dielectric Breakdown
  • life is a measure for objectively measuring time dependency of dielectric breakdown and the term means time (lifetime) determined by applying a relatively high voltage between electrodes under measuring conditions of a predetermined temperature (ex. 140° C.), drawing a graph wherein time from application of voltage to dielectric breakdown is plotted against applied electric field, and extrapolating the practical electric field strength (ex. 0.2 MV/cm) in the graph.
  • FIGS. 1 to 3 each illustrates a sample used in the present application for the measurement of the TDDB life, wherein FIG. 1 is a plane view, and FIGS. 2 and 3 are cross-sections taken along lines B-B′ and C-C′ of FIG. 1 , respectively.
  • This sample can be formed practically in a TEG (Test Equipment Group) region of a wafer.
  • a pair of comb-like interconnects L are formed in a second-level interconnect M 2 and are connected with pads P 1 , P 2 of the uppermost layer, respectively.
  • An electric current is measured by applying an electric field between these comb-like interconnects L.
  • the pads 1 , 2 are measuring terminals.
  • the width of the comb-like interconnect L, distance between any two adjacent interconnects and its thickness are each 0.5 ⁇ m.
  • the facing length of the interconnect is formed to 1.58 ⁇ 10 5 ⁇ m.
  • FIG. 4 is a schematic view illustrating the outline of measurement.
  • the sample is supported on a measuring stage S and a current-voltage measuring apparatus (I/V measuring apparatus) is connected between the pads P 1 and P 2 .
  • the sample stage S is heated by a heater H to adjust the temperature of the sample to 140° C.
  • TDDB life is measured by either one of the constant voltage stress method and low current stress method, the former one wherein an average electric field applied to an insulating film shows a fixed value is employed in the present application. After application of voltage, the current density decreases with the passage of time and then, a drastic increase in the current (dielectric breakdown) is observed.
  • TDDB life the time until the leak current density reaches 1 ⁇ A/cm 2 is designated as TDDB life (the TDDB life at 5 MV/cm).
  • TDDB as used herein means the breakdown time (lifetime) at 0.2 MV/cm unless otherwise specifically referred to, but in a broader sense, it is sometimes used as a time until breakdown at a preliminarily designated electric field strength.
  • the TDDB life means that at the sample temperature of 140° C.
  • the TDDB life is measured using the above-described comb-like interconnects L, but it is needless to say that it reflects the breakdown lifetime between actual interconnects.
  • plasma treatment means treatment of exposing the surface of a substrate or, when a member such as insulating film or metal film is formed on the substrate, the surface of the member to the circumstance under plasma condition and giving chemical or mechanical (bombardment) action of the plasma to the surface.
  • Plasma is usually formed by, while supplementing a specific gas (treatment gas) as needed in a reaction chamber purged with the gas, ionizing the gas by the action of high-frequency electric field or the like. In practice, however, it is impossible to completely purge the chamber with the treatment gas.
  • ammonia plasma does not indicate complete ammonia plasma and existence of impurity gases (nitrogen, oxygen, carbon dioxide, water vapor and/or the like) contained in the plasma is permitted. It is needless to say that the plasma may contain another gas such as diluting gas or additive gas.
  • plasma of reducing atmosphere means the plasma circumstance wherein reactive radicals, ions, atoms or molecules having reducing action, that is, oxygen pulling action, predominantly exist. Radicals and ions contain atomic or molecular radicals and ions. In the plasma circumstance, not only single reactive one but also plural reactive ones may be contained. For example, a hydrogen radical and NH 3 radical may coexist in the circumstance.
  • made of copper means that copper is used as a main component.
  • High-purity copper inevitably contains impurities so that a member made of copper is permitted to contain additives or impurities. This will equally apply to, not only copper, but also another metal (titanium nitride, or the like).
  • CMP chemical mechanical polishing
  • polishing pad which is made of a relatively soft cloth-like sheet material, in a surface direction under the condition brought into contact with the polishing pad, while supplying a slurry.
  • CML Chemical Mechanical Lapping
  • abrasive-free chemical mechanical polishing means chemical mechanical polishing using a slurry having a weight concentration of abrasive grains less than 0.5%
  • abrasive-using chemical mechanical polishing means chemical mechanical polishing using a slurry having a weight concentration of abrasive grains exceeding 0.5%. They are however relative naming. In the case where abrasive-using chemical mechanical polishing is conducted both in the first and the second steps, the polishing in the first step is sometimes called abrasive-free chemical mechanical polishing if the polishing concentration of the first step is smaller by at least single digit, desirably at least double digits, than that of the second step.
  • abrasive-free chemical mechanical polishing as used herein embraces, as well as abrasive-free chemical mechanical polishing conducted for the whole unit planarization process of a metal film to be polished, use, in combination, of abrasive-free chemical mechanical polishing for the main process and abrasive-using chemical mechanical polishing for a secondary process.
  • polishing liquid usually means a suspension obtained by mixing abrasive grains in a chemical etchant, but in this application, it embraces a polishing liquid free of abrasive grains in consideration of the characteristics of the present invention.
  • anticorrosive means a chemical for preventing or suppressing the progress of polishing by CMP by forming an anticorrosive and/or hydrophobic protecting film on the metal surface and benzotriazole (BTA) is usually employed as the chemical (refer to Japanese Patent Application Laid-Open No. 64594/1996, for further details).
  • the term “scratch-free” as used herein means the state under which no defect exceeding a predetermined size is detected over the whole wafer surface to be polished by the above-described CMP or within a predetermined unit area.
  • the “predetermined size” differs, depending on the generation or kind of a semiconductor device. In the embodiments of the present invention, however, it is determined that in an in-line comparison test on defects, defects of 0.3 ⁇ m or greater are not detected within a wafer polished surface of 200 mm in diameter.
  • conductive barrier film usually means a conductive film formed relatively thin on the side surfaces or bottom surface of an inlaid interconnect and having diffusion barrier properties, thereby preventing diffusion of copper into an interlayer insulating film or underlying layer.
  • Refractory metals such as titanium (Ti) and tantalum (Ta)
  • refractory metal nitrides such as titanium nitride (TiN) and tantalum nitride (TaN) are usually employed for it.
  • inlaid interconnect or inlaid metal interconnect usually means an interconnect patterned by a metallization technique such as single damascene or dual damascene technique, more specifically, an interconnect formed by embedding a conductive film inside of an interconnect opening such as trench or hole in an insulating film and then removing unnecessary conductive films over the insulating film.
  • a metallization technique such as single damascene or dual damascene technique
  • single damascene means an inlaid interconnect forming process by which a plug metal and an interconnect metal are embedded in two steps
  • dual damascene means an inlaid interconnect forming process by which a plug metal and an interconnect metal are embedded simultaneously.
  • a copper inlaid interconnect tends to be used as a multilayer structure.
  • semiconductor device means not only those particularly fabricated over a single crystal silicon substrate but also those fabricated on the other substrate such as SOI (Silicon On Insulator) substrate or a substrate for producing TFT (Thin Film Transistor) liquid crystal, unless otherwise specifically described.
  • SOI Silicon On Insulator
  • TFT Thin Film Transistor
  • wafer means silicon or the other semiconductor single crystal substrate (generally, nearly in a flat disk form, semiconductor wafer) used in the manufacture of semiconductor integrated circuits, sapphire substrate, glass substrate, other insulation or semi-insulation, semiconductor substrate or a combined substrate thereof.
  • semiconductor integrated circuit chip or semiconductor chip means a wafer completed of wafer process (or preliminary step) and divided into unit circuit groups.
  • silicon nitride or a silicon nitride film means not only Si 3 N 4 but also an insulating film containing a nitride of silicon and having a similar composition.
  • low dielectric constant insulating film means, for example, an insulating film having a dielectric constant lower than that of a silicon oxide film (for example, TEOS (Tetraethoxysilane)oxide film) contained in a passivation film.
  • a silicon oxide film for example, TEOS (Tetraethoxysilane)oxide film
  • a TEOS oxide film having a specific dielectric constant ⁇ not greater than about 4.1 or 4.2 is called a low dielectric constant insulating film.
  • the number of elements is not limited to a specific number but can be not greater than or less than the specific number unless otherwise specifically indicated or in the case it is principally apparent that the number is limited to the specific number.
  • MIS•FET Metal Insulator Semiconductor Field Effect Transistor representing a field effect transistor
  • pMIS p channel type MIS•FET
  • nMIS n channel type MIS•FET
  • FIG. 6 is a fragmentary plane view of a CMIS-LSI during its manufacturing step and FIG. 7 is a cross-sectional view taken along a line X 1 -X 1 of FIG. 6 .
  • a semiconductor substrate 1 (which will hereinafter be called substrate” simply) constituting a wafer 1 W is made of p-type single crystal silicon having a specific resistance of about 1 to 10 ⁇ cm.
  • the semiconductor substrate 1 has, on the main surface (surface on which a device is to be formed) thereof, an isolating trench (SGI (Shallow Groove Isolation) or STI (Shallow Trench Isolation)) 2 .
  • SGI isolating trench
  • STI Shallow Trench Isolation
  • This isolation trench 2 is formed by embedding, for example, a silicon oxide film in a trench formed on the main surface of the substrate 1 S. Also on the main surface side of the substrate 1 S, a p type well PWL and an n type well NWL are formed. To the p type well PWL, boron is introduced, while to the n type well NWL, phosphorus is introduced. In the active regions of the p type well PWL and n type well NWL encompassed by the isolation trench 2 , nMISQn and pMISQp are formed, respectively.
  • the gate insulating film 3 of each of the nMISQn and the pMISQn has a thickness of about 6 nm.
  • film thickness means film thickness in terms of silicon dioxide, which does not always coincide with the actual film thickness.
  • the gate insulating film 3 may be formed of a silicon oxynitride film instead of the silicon oxide film. In other words, segregation of nitrogen may be caused at the interface between the gate insulating film 3 and the substrate 1 S. By using a silicon oxynitride film, the gate insulating film 3 is able to have improved hot carrier resistance, leading to an improvement in dielectric breakdown strength.
  • a silicon oxynitride film does not permit easy penetration of impurities compared with the silicon oxide film so that use of the former makes it possible to suppress fluctuations of a threshold voltage resulting from diffusion, to the side of the substrate 1 S, of impurities in a gate electrode material.
  • This silicon oxynitride film may be formed, for example, by heat treating the substrate 1 S in an atmosphere containing a nitrogen gas such as NO, NO 2 or NH 3 .
  • the gate electrode 4 of each of nMISQn and pMISQp is formed by stacking, over a low-resistance polycrystalline silicon film, a titanium suicide (TiSi x ) layer or a cobalt suicide (CoSi x ) layer.
  • the gate electrode structure is not limited to the above-described one, and it may be a so-called polymetal gate structure formed of a laminate film of a low-resistance polycrystalline silicon film, a WN (tungsten nitride) film and a W (tungsten) film. On both side surfaces of the gate electrode 4 , a side wall 5 made of silicon oxide is formed.
  • the semiconductor regions 6 for the source and drain of nMISQn have an n ⁇ type semiconductor region adjacent to a channel and an n + type semiconductor region connected to the n ⁇ type semiconductor region and disposed at a position apart from the channel by the space of the n ⁇ type semiconductor region.
  • n ⁇ type semiconductor region and n + type semiconductor region phosphorus or arsenic is introduced.
  • the semiconductor regions 7 for the source and drain of pMISQp have a p ⁇ type semiconductor region adjacent to the channel and a p + type semiconductor region connected to the p ⁇ type semiconductor region and disposed at a position apart from the channel by the space of the p ⁇ type semiconductor region.
  • boron is introduced into the p ⁇ type semiconductor region and p + type semiconductor region.
  • a silicide layer such as titanium silicide layer or cobalt silicide layer is formed.
  • This insulating film 8 is deposited over such a substrate 1 S.
  • This insulating film 8 is made of a film, for example, BPSG (Boron-doped Phospho Silicage Glass) film, having reflow properties high enough to fill therewith a narrow space in the gate electrodes 4 , 4 .
  • the insulating film 8 may be an SOG (Spin On Glass) film formed by spin coating.
  • the insulating film 13 has a contact hole formed therein. From the bottom of the contact hole 9 , the upper surface (surface of a silicide layer) of the semiconductor region 6 or 7 is partially exposed. In this contact hole 9 , a plug 10 is formed.
  • This plug 10 is formed by depositing a titanium nitride (TiN) film and a tungsten (W) film over the insulating film 8 including the insides of the contact hole 9 by CVD and removing the unnecessary titanium nitride film and tungsten film over the insulating film 8 by CMP or etchback, thereby leaving these films only inside of the contact hole 9 .
  • TiN titanium nitride
  • W tungsten
  • a first-level interconnect L 1 made, for example, of tungsten is formed on the insulating film 8 .
  • the first-level interconnect L 1 is electrically connected to the semiconductor region 6 or 7 for source/drain or gate electrode 4 of the nMISQn or pMISQp through the plug 10 .
  • As the first-level interconnect L 1 not only a tungsten film but also but various films are usable. For example, a film of a simple substance such as aluminum (Al) or aluminum alloy, or a laminated metal film formed by using a metal film such as titanium (Ti) or titanium nitride (TiN) as the upper or lower layer of the above-described film of a simple substance.
  • an insulating film 11 a is deposited to cover the first-level interconnect L 1 .
  • This insulating film 11 a is made of a low dielectric constant material (so-called Low-K insulating film, Low-K material) such as organic polymer or organic silica glass.
  • the organic polymer include “SiLK” (product of The Dow Chemical CO./USA, specific dielectric constant: 2.7, heat resistant temperature: 490° C. or greater, dielectric breakdown strength: 4.0 to 5.0 MV/Vm) and “FLARE”, a polyallyl ether (PAE) series material (product of Honeywell Electronic Materials/USA, specific dielectric constant: 2.8, heat resistant temperature: 400° C. or greater).
  • This PAE material features high basic performance and excellent mechanical strength, thermal stability and cost performance.
  • Examples of the organic silica glass (SiOC material) include “HSG-R7” (product of Hitachi Chemical Co., Ltd., specific dielectric constant: 2.8, heat resistant temperature: 650° C.), “Black Diamond” (product of Applied Materials, Inc./USA, specific dielectric constant: 3.0 to 2.4, heat resistant temperature: 450° C.) and “p-MTES” (product of Hitachi Kaihatsu, specific dielectric constant: 3.2).
  • Also usable as the low dielectric constant material for the insulating film 11 a are FSG (SiOF series material), HSQ (hydrogen silsesquioxane) series materials, MSQ (methyl silsesquioxane) series materials, porous HSQ series materials, porous MSQ series materials and porous organic materials.
  • HSQ series materials include “OCD T-12” (product of Tokyo Ohka Kogyo Co., Ltd., specific dielectric constant: 3.4 to 2.9, heat resistant temperature 450° C.), “FOx” (product of Dow Corning Corp./USA, specific dielectric constant: 2.9) and “OCL T-32” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.5, heat resistant temperature: 450° C.).
  • MSQ series materials examples include “OCD T-9” (product of Tokyo Ohka Kogyo; specific dielectric constant: 2.7, heat resistant temperature: 600° C.), “LKD-T200” (product of JSR, specific dielectric constant: 2.7 to 2.5, heat resistant temperature: 450° C.), “HOSP” (product of Honeywell Electronic Materials/USA, specific dielectric constant: 2.5, heat resistant temperature: 550° C.), “HSG-RZ25” (product of Hitachi Chemical, specific dielectric constant: 2.5, heat resistant temperature: 650° C.), “OCL T-31” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.3, heat resistant temperature: 500° C.) and “LKD-T400” (product of JSR, specific dielectric constant: 2.2 to 2, heat resistant temperature: 450° C.).
  • porous HSQ series material examples include “XLK” (product of Dow Corning Corp/USA, specific dielectric constant: 2.5 to 2), “OCL T-72” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.2 to 1.9, heat resistant temperature: 450° C.), “Nanoglass” (product of Honeywell Electronic Materials/USA, specific dielectric constant: 2.2 to 1.8, heat resistant temperature: 500° C. or greater) and “MesoELK” (product of Air Products and Chemicals, Inc/USA, specific dielectric constant: 2 or less).
  • porous MSQ series material examples include “HSG-6211X” (product of Hitachi Chemical, specific dielectric constant: 2.4, heat resistant temperature: 650° C.), “ALCAP-S” (product of Asahi Kasei Kogyo, specific dielectric constant: 2.3 to 1.8, heat resistant temperature: 450° C.), “OCL T-77” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.2 to 1.9, heat resistant temperature: 600° C.), “HSG-6210X” (product of Hitachi Chemical, specific dielectric constant: 2.1, heat resistant temperature: 650° C.), and “silica aerogel” (product of Kobe Steel, specific dielectric constant: 1.4 to 1.1).
  • porous organic material examples include “PolyELK” (product of Air Products and Chemicals, Inc/USA, specific dielectric constant: 2 or less, heat resistant temperature: 490° C.).
  • the above-described SiOC series materials or SiOF series materials are formed, for example, by CVD (Chemical Vapor Deposition).
  • CVD Chemical Vapor Deposition
  • Black Diamond is formed by CVD using a gas mixture of trimethylsilane and oxygen
  • p-MTES is formed by CVD using a gas mixture of methyltriethoxysilane and N 2 O.
  • the other low dielectric constant insulating materials are formed, for example, by coating method.
  • an insulating film 12 a for Low-K capping is deposited.
  • This insulating film 12 a is made of, for example, a silicon oxide (SiO x ) film typified by silicon dioxide (SiO 2 ) and has a function of maintaining the mechanical strength, protecting the surface and maintaining the moisture resistance of the insulating film 11 a upon chemical mechanical polishing (CMP).
  • the insulating film 12 a is thinner than the insulating film 11 a and its thickness is, for example, about 25 to 100 nm, preferably about 50 nm.
  • the insulating film 12 a is not limited to a silicon oxide film and various films are usable for it.
  • a silicon nitride (Si x N y ) film, silicon carbide (SiC) film or silicon carbonitride (SiCN) film is usable.
  • These silicon nitride film, silicon carbide film and silicon carbonitride film can be formed, for example, by plasma CVD.
  • “BLOk” product of AMAT, specific dielectric constant: 4.3
  • a gas mixture of trimethylsilane and helium or N 2 , or NH 3
  • a through-hole 13 from which a part of the first-level interconnect L 1 is exposed is made.
  • a plug 14 made of, for example, tungsten is embedded.
  • an insulating film 15 a is deposited by plasma CVD over the above-described insulating film 12 a and plug 14 .
  • This insulating film 15 a is made of, for example, a silicon nitride film formed by plasma CVD and its film thickness is, for example, about 25 to 50 nm, preferably about 50 nm. Additional examples of the insulating film 15 a may include films of a single substance such as a silicon carbide film formed by plasma CVD, an SiCN film formed by plasma CVD, and a silicon oxynitride (SiON) film formed by plasma CVD.
  • silicon carbide film formed by plasma CVD “BLOk” (product of AMAT) can be given as one example.
  • the gas used for film formation is as described above.
  • a gas mixture of helium (He), ammonia (NH 3 ) and trimethylsilane (3MS) is used.
  • PE-TMS product of Canon, specific dielectric constant: 3.9
  • the film thickness is, for example, 25 nm to 50 nm, preferably about 50 nm.
  • TMS trimethoxysilane
  • N 2 O nitrogen oxide
  • an insulating film (first insulating film) 11 b and then an insulating film 12 b are deposited on the insulating film 15 a successively.
  • the insulating film 11 b and the insulating film 11 a are made of insulating films equal in a dielectric constant.
  • the insulating film 12 b over the insulating film 11 b is made of the same insulating film as used for the insulating film 12 a and similar thereto, it functions as a Low-K capping insulating film.
  • the insulating films 11 b , 12 b are preferentially removed by dry etching, whereby an interconnect trench (interconnect opening) 16 a is formed (Step 100 in FIG. 5 ).
  • the interconnect trench 16 a is formed by adjusting the etching selectivity of the insulating films 11 b , 12 b greater than that of the insulating film 15 a , thereby imparting the insulating film 15 a with a function of an etching stopper. Described specifically, etching is stopped once on the surface of the insulating film 15 a and then, the insulating film 15 a is removed by selective etching.
  • Such an interconnect trench 16 a has, as shown in FIG. 6 , a strip-like plane shape and from the bottom of the interconnect trench 16 a , the upper surface of the plug 14 is exposed.
  • FIG. 8 is a fragmentary cross-sectional view of a portion of the semiconductor device corresponding to a line X 1 -X 1 of FIG. 6 in its manufacturing step following FIG. 7
  • FIG. 9 is a fragmentary cross-sectional view of a portion of the semiconductor device corresponding to a line X 1 -X 1 of FIG. 6 in its manufacturing step following FIG. 8 .
  • a thin conductive barrier film (first conductor film) 17 a made of, for example, titanium nitride (TiN) and having a thickness of about 50 nm is deposited all over the main surface of the substrate 1 S by sputtering or the like method (Step 101 in FIG. 5 ).
  • This conductive barrier film 17 a has a function of preventing diffusion of copper used for the formation of a conductor film which will be described later, a function of improving adhesion of the main conductor film to the insulating films 11 b , 12 a , 12 b and 15 a , and a function of improving wetness with copper upon reflow of the main conductor film.
  • a conductive barrier film 17 a use of, instead of titanium nitride, a refractory metal nitride such as tungsten nitride (WN) or tantalum nitride (TaN) which is a material almost unreactive with copper is preferred.
  • a refractory metal nitride such as tungsten nitride (WN) or tantalum nitride (TaN) which is a material almost unreactive with copper is preferred.
  • a refractory metal nitride added with silicon (Si) or a refractory metal such as tantalum (Ta), titanium (Ti), tungsten (W), titanium tungsten (TiW) alloy which does not easily react with copper.
  • good TDDB characteristics are available even if the thickness of the conductive barrier film 17 a is decreased to, for example, 10 nm, or to 6 to 7 nm, or even to 5 nm or less.
  • a main conductor film (second conductor film) 18 a made of copper which is relatively thick and has a thickness of, for example, about 800 to 1600 nm is deposited over the conductive barrier film 17 a (step 101 in FIG. 5 ).
  • the main conductor film 18 a is formed, for example, by plating method. The use of plating method makes it possible to form, at a low cost, the main conductor film 18 a having a good film quality and good filling property.
  • a thin conductor film made of copper is first deposited on the conductive barrier film 17 a by sputtering, and then a comparatively thick conductor film made of copper is grown thereover by electroplating or electroless plating, whereby the main conductor film 22 a is deposited.
  • a plating solution having copper sulfate as a main component is used for plating.
  • the main conductor film 18 a can be formed by sputtering.
  • sputtering for the formation of the conductive barrier film 17 a and conductor film 18 a ordinarily-employed sputtering is usable, but sputtering with high directivity such as long throw sputtering or collimate sputtering is preferably employed in order to improve the filling property and film quality.
  • the main conductor film 18 a can also be formed by CVD. Then, reflow of the conductor film 18 a is caused by heat treatment of the substrate 1 S in a nonoxidizing atmosphere (for example, a hydrogen atmosphere) at about 475° C., whereby copper is filled closely in the interconnect trench 16 a.
  • a nonoxidizing atmosphere for example, a hydrogen atmosphere
  • the main conductor film 18 a and conductive barrier film 17 a are polished by CMP (step 102 in FIG. 5 ).
  • CMP Ordinarily employed abrasive-using CMP treatment can be adopted here, but in Embodiment 1, CMP comprising two steps, that is, the above-described abrasive-free CMP (first step) and abrasive-using CMP (second step) is employed. This CMP treatment will next be described more specifically.
  • the first step is aims at preferential polishing of the main conductor film 18 a made of copper.
  • the polishing solution (slurry) contains an anticorrosive for the formation of a protective film, an oxidizing agent of copper and an etching component of the oxide film of copper, but is substantially free of an abrasive.
  • the abrasive content of the polishing solution is 0.5 wt. % or less, preferably 0.1 wt. % or less, especially 0.05 wt. % or less, still more preferably 0.01 wt. % or less.
  • the abrasive can however be incorporated in an amount of about 3 to 4% based on the total amount of the polishing agent.
  • polishing solution that adjusted to a pH belong to the corrosion area of copper is used.
  • it is adjusted to have a composition so that the polishing selectivity of the main conductor film 18 a relative to the conductive barrier film 17 a is, for example, at least 5.
  • a slurry containing an oxidizing agent and an organic acid can be given as an example.
  • the oxidizing agent examples include hydrogen peroxide (H 2 O 2 ), ammonium hydroxide, ammonium nitrate and ammonium chloride, while those of the organic acid include citric acid, malonic acid, fumaric acid, malic acid, adipic acid, benzoic acid, phthalic acid, tartaric acid, lactic acid, succinic acid and oxalic acid.
  • hydrogen peroxide is an oxidizing agent suited for the polishing solution, because it is free of a metal component and is not a strong acid.
  • Citric acid is an organic acid suitable for the polishing solution, because it is generally used as a food additive, has low toxicity, is not so harmful as a waste, does not emit an odor and has high solubility in water.
  • used is a polishing solution obtained by adding 5 vol. % of hydrogen peroxide and 0.03 wt. % of citric acid to pure water, thereby adjusting an abrasive content to less than 0.01 wt. %.
  • BTA is, for example, employed.
  • the abrasive-free CMP of the first step polishes the main conductor film 18 a mainly by a chemical factor while causing both protecting action and etching action of the main conductor film 18 a .
  • the copper surface is first oxidized by an oxidizing agent and a thin oxide layer is formed on its surface. Then, a substance which water-solubilizes the oxide, whereby the oxide layer is dissolved and appears as an aqueous solution. The thickness of the oxide layer decreases. A thinned portion of the oxide layer is exposed to the oxidizing substance again and increases its thickness. Chemical mechanical polishing proceeds as the above-described reaction is repeated.
  • Removal of the protecting film is effected by the contact with a polishing pad.
  • a polishing pad Such chemical mechanical polishing with an abrasive-free polishing solution is described specifically in Japanese Patent Application Nos. 299937/1997 and 317233/1998 applied by the inventors of the present application.
  • the second step subsequent to the first step is aimed at preferential polishing of the conductive barrier film 17 a .
  • This second step polishes the conductive barrier film 17 a mainly by a mechanical factor by the contact with the polishing pad.
  • the polishing solution usable here contains the above-described anticorrosive, the above-described oxidizing agent, and an etching component of an oxide film and in addition, an abrasive.
  • a polishing solution obtained by adding, to pure water, 5 vol. % of hydrogen peroxide, 0.03 wt. % of citric acid and 0.5 to 0.8 wt. % of an abrasive is used, but not limited thereto.
  • the amount of the abrasive is determined mainly so as not to etch the underlying insulating film 12 b . Its amount is set at, for example, 1 wt. % or less.
  • the abrasive for example, colloidal silica (SiO 2 ) is used. Use of colloidal silica can drastically reduce the CMP damage on the polished surface of the insulating film 12 b , thereby actualizing scratch-free polishing.
  • alumina Al 2 O 3
  • the amount of the oxidizing agent is reduced compared with that in the first step, meaning a relative increase in the amount of an anticorrosive in the polishing solution.
  • Polishing is conducted under the conditions in which polishing selectivity of the main conductor film 18 a relative to the conductive barrier film 17 a is lower than that in the above-described abrasive-free CMP, for example, at selectivity of 3 or less. Polishing under such conditions in the second step can reinforce protection of the main conductor film 18 a made of copper while suppressing its oxidation, making it possible to prevent excessive polishing of the main conductor film 18 a and suppress or prevent dishing or erosion of it. As a result, an increase or fluctuations of an interconnect resistance can be suppressed or prevented, leading to an improvement in the performance of a semiconductor device.
  • an inlaid second-level interconnect (interconnect) L 2 is formed in the interconnect trench 16 a as illustrated in FIG. 9 .
  • the inlaid second-level interconnect L 2 has a relatively thin conductive barrier film 17 a and a relatively thick main conductor film 18 a and it is electrically connected with the first-level interconnect L 1 through the plug 14 .
  • use of the above-described CMP for polishing for the formation of the inlaid second-level interconnect L 2 can drastically reduce the CMP damage on the polished surface of the insulating film 12 b , actualizing the above-described scratch-free polishing.
  • the insulation-capping insulating film 12 b is disposed over the insulating film 11 b made of a Low-K material.
  • CMP according to this Embodiment can actualize scratch-free polishing so that the insulation-capping insulating film (for example, insulating film 12 b ) is not necessarily disposed.
  • the insulating film 11 b may be exposed to CMP.
  • Japanese Patent Application No. 2001-316557 (filed on Oct. 15, 2001) applied by the inventors of the present application and etc., the Low-K barrierless technique is disclosed.
  • the surface of the substrate 1 S after polishing is then, subjected to anticorrosion treatment.
  • This anticorrosion treatment section is similar in the constitution to the polishing treatment section.
  • the main surface of the substrate 1 s is pressed against a polishing pad attached onto the surface of a platen.
  • a chemical solution containing an anticorrosive such as benzotriazole (BTA) is fed to the main surface of the substrate 1 S, whereby a hydrophobic protective film is formed on the surface portion of a copper interconnect formed on the main surface of the substrate 1 S.
  • BTA benzotriazole
  • the substrate 1 S after anticorrosion treatment is stored temporarily in an immersion treatment section in order to prevent the surface from drying.
  • This immersion treatment section maintains the surface of the resulting anticorrosive substrate 1 S wet until post-cleaning.
  • this section is designed to store a predetermined number of substrates 1 S in the form immersed in an immersion tank (storage tank) overflowing with pure water.
  • the inlaid second-level interconnect L 2 can be prevented from corrosion more surely by feeding the immersion tank with pure water cooled to a temperature low enough to substantially prevent progress of electrochemical corrosion reaction of the inlaid second-level interconnect L 2 .
  • the method of preventing the substrate from drying is not limited to the above-described method, that is, storage in the immersion tank but, insofar as at least the surface of the substrate 1 S can be maintained wet, another method, for example, feeding the substrate with pure-water shower can be employed.
  • This immersion treatment section (substrate storage section) may be designed to have a light-blocking structure to prevent exposure of the surface of the substrate 1 S during storage to an illuminated light. This makes it possible to avoid generation of a short-circuit current due to photovoltaic effect.
  • the description of such a CMP treatment and apparatus can be found, for example, in Japanese Patent Application Nos. 226876/1999 and 2000-300853 applied by the present inventors.
  • a post-CMP cleaning step is conducted while keeping the surface of the substrate 1 S wet.
  • the substrate 1 S is subjected to alkali cleaning. This treatment is aimed at removing foreign matter such as slurry upon CMP treatment.
  • the acid slurry adhered to the substrate 1 S during CMP is neutralized to arrange, in one direction, the zeta potentials of the substrate 1 , foreign matter and cleaning brush.
  • scrub cleaning (or brush cleaning) of the surface of the substrate 1 is conducted while supplying thereto a weak alkali agent solution, for example, of nearly pH 8 or greater.
  • FIG. 10 is a cross-sectional view of a portion of the semiconductor device corresponding to the line X 1 -X 1 of FIG. 5 during its manufacturing step following FIG. 9 .
  • the substrate 1 S (particularly the CMP surface from which the inlaid second-level interconnect L 2 is exposed) is subjected to reducing treatment. Described specifically, the substrate 1 S (particularly, the CMP surface) is heat treated, for example, in a hydrogen gas atmosphere at 200 to 475° C., preferably 300° C., for 0.5 to 5 minutes, preferably about 2 minutes (hydrogen (H 2 ) annealing treatment, Step 103 in FIG. 5 ).
  • This treatment makes it possible to reduce, to copper, the copper oxide film on the surface of the inlaid second-level interconnect L 2 formed upon CMP, thereby suppressing or preventing the etching of the inlaid second-level interconnect L 2 which will otherwise occur by the subsequent acid cleaning. As a result, it is possible to suppress or prevent simultaneously an increase in an interconnect resistance, fluctuations in an interconnect resistance and the occurrence of a step difference and also the occurrence of etch corrosion. Without this reducing treatment, an organic matter such as BTA adhered to the surface of the substrate 1 S upon CMP treatment may become a mask upon cleaning and disturb smooth etching of the surface layer of the insulating film 12 b .
  • an organic matter such as BTA adhered upon CMP can be removed so that the surface layer of the insulating film 12 b can be removed sufficiently and uniformly.
  • the TDDB life of the semiconductor device can be improved markedly by this reducing treatment.
  • the above-described hydrogen annealing is particularly suited for use in the formation, by plating, of a copper-series main conductor film for inlaid interconnect. Such hydrogen annealing treatment can cause recrystallization of copper formed by plating so that an interconnect resistance can be reduced.
  • hydrogen annealing treatment can suppress or prevent peeling of a capping film due to heat stress. In some cases, however, hydrogen annealing treatment is unnecessary. This hydrogen annealing treatment may be conducted after post-CMP cleaning treatment (including acid cleaning and drying which will be described later) but prior to reducing plasma treatment which will be described later.
  • Acid cleaning of the substrate 1 S is then conducted. Its is aimed at improving the TDDB characteristics, removing the remaining metal, decreasing the dangling bonds on the surface of the insulating film 12 b , removing the unevenness on the surface of the insulating film 12 b and the like.
  • a hydrofluoric acid solution is fed to the surface of the substrate 1 S to remove foreign particles due to etching.
  • the mere insertion of hydrofluoric acid cleaning can improve the TDDB characteristics, which is considered to result from an improvement in adhesion at the interface because the damaged layer is removed from the surface by acid treatment.
  • the substrate 1 S is then dried by a spin drier and provided for the subsequent step.
  • the surface of the substrate 1 S may be subjected to pure-water scrub cleaning, pure-water ultrasonic cleaning, running pure-water cleaning or pure-water spin cleaning; or the back surface of the substrate 1 S may be subjected to pure-water scrub cleaning.
  • Cleaning methods, hydrogen annealing methods and action or effects of the sequence of these treatments are described in detail in Japanese Patent Application 2001-131941 (filed on Apr. 27, 2001) applied by the inventors of the present application.
  • the wafer 1 W after drying treatment, is then carried in a plasma enhanced CVD system for the formation of an interconnect capping insulating film.
  • a plasma enhanced CVD system for the formation of an interconnect capping insulating film.
  • No particular limitation is imposed on the plasma enhanced CVD system, but in this Embodiment, a diode parallel plate plasma enhanced CVD system is employed.
  • the wafer 1 W is supported in the treating chamber of the plasma enhanced CVD system and heat treated while a nitrogen gas (N 2 ) is fed to the apparatus.
  • the main surface (CMP surface) of the wafer 1 W is subjected to annealing treatment in a nitrogen gas flow atmosphere.
  • the upper surface (CMP surface, first surface) of the main conductor film 18 a of the inlaid second-level interconnect L 2 is rounded (Step 104 in FIG. 5 ).
  • FIG. 11 is a cross-sectional view of a portion of the semiconductor device corresponding to the line X 1 -X 1 of FIG.
  • FIG. 12 is a fragmentary enlarged cross-sectional view of FIG. 11 .
  • the upper corner on the CMP surface side of the main conductor film 18 a in the inlaid second-level interconnect L 2 is chamfered and a round taper is formed.
  • the main conductor film 18 a on the CMP side is formed to have a cross-sectional shape having a greater distance from the conductive barrier film 17 a at the upper part in FIG. 11 or 12 .
  • the size of the round taper at the upper corner of the main conductor film 18 a is defined by the width and height of the interconnect trench 16 a (particularly defined by a gradient of a normal line).
  • the width of the round taper (the width in the direction horizontal to the upper surface of the main conductor film 18 a ) is greater than the thickness of the gate insulating film 3 .
  • the temperature (almost the temperature of the wafer 1 W) of a susceptor on which the wafer 1 W is placed during such a heat treatment in a nitrogen gas atmosphere is about 360 to 400° C. Heat treatment is conducted, for example, about 1 minute.
  • a hydrogen gas can be used as a treatment gas, but use of a nitrogen gas makes it possible to form a good round taper at a relatively low temperature range.
  • a taper having a wider interconnect width in an upward direction is formed on the side walls of the inlaid second-level interconnect L 2 .
  • the angle ⁇ formed between the side surface of the inlaid second-level interconnect L 2 and the upper surface of the insulating film 11 a falls within a range of from 80 to 90°, more specifically, about 88.7°.
  • the width (upper side width of the interconnect trench 16 a ) on the upper side of the inlaid second-level interconnect L 2 and the distance, on the upper side, between two adjacent inlaid second-level interconnects (distance between the upper corners of the two adjacent inlaid second-level interconnects L 2 ) is, for example, 0.25 ⁇ m or less, or 0.2 ⁇ m or less.
  • the minimum pitch between two adjacent inlaid second-level interconnects L 2 is, for example, 0.5 ⁇ m or less.
  • the interconnect trench 16 a has an aspect ratio of about 1.
  • FIGS. 13 and 14 are each a cross-sectional view of a portion of the wafer 1 W corresponding to the line X 1 -X 1 of FIG. 5 during the reducing plasma treatment.
  • the substrate 1 S (particularly, the CMP surface from which the inlaid second-level interconnect L 2 is exposed) is subjected to hydrogen plasma treatment as illustrated in FIG. 13 by applying plasma power.
  • the electrode-to-electrode distance is set at 600 mils (15.24 mm).
  • a gas composed alone of hydrogen (H) or a gas mixture of hydrogen (H) and nitrogen (N) is used.
  • such a hydrogen plasma treatment has a high removing capacity of an organic substance (high as compared with ammonia plasma treatment which will be described later) so that it can almost completely remove BTA and slurry component contained in the slurry upon CMP, the organic acid used for post-CMP cleaning and an organic residue generated during the process, thereby decreasing a leak current at the interface.
  • the TDDB life can be improved further.
  • the surface of the substrate 1 S (the CMP surface from which the inlaid second-level interconnect L 2 is exposed) is subjected to ammonia (NH 3 ) plasma treatment by feeding an ammonia gas to the treating chamber of the plasma enhanced CVD system without release of the chamber to the air and applying plasma power.
  • ammonia NH 3
  • the electrode-to-electrode distance is set at 300 to 600 mils (7.62 to 15.24 mm).
  • CuO, CuO 2 copper oxide on the surface of the copper interconnect oxidized upon CMP is reduced to copper (Cu), and in addition, a copper nitride (CuN) layer for preventing silicidation of copper upon set flow is formed on the surface (markedly shallow region) of the inlaid second-level interconnect L 2 .
  • the formation of SiN or SiH proceeds to compensate for the dangling bonds on the surface of the insulating film 12 b , which can also improve the adhesion between the capping insulating film which will be described later and the inlaid second-level interconnect L 2 or insulating film 12 b , and reduce the leak current through the interface. This also brings about improvement in the TDDB life.
  • a sample obtained by forming an interlayer insulating film by depositing a silicon nitride film, which has been formed by plasma CVD, over a silicon oxide film, which has been formed by plasma CVD using, for example, a TEOS (Tetraethoxysilane) gas, and then conducting hydrogen plasma treatment and ammonia plasma treatment in combination shows about a double digit improvement in TDDB life as compared to a sample subjected to only ammonia plasma treatment.
  • TEOS Tetraethoxysilane
  • the reducing plasma treatment conditions are, of course, not limited to the above-described ones. According to the investigation by the present inventors, plasma damage can be decreased with an increase in the pressure, while the fluctuations of the TDDB life in the substrate can be reduced and the TDDB life can be extended with an increase in the substrate temperature. Also, there have obtained the finding that hillocks are caused more readily on the surface of copper as the substrate temperature, RF power and treatment time increase.
  • hydrogen plasma treatment is followed by ammonia plasma treatment.
  • the order is not limited to it but various modifications can be employed.
  • ammonia plasma treatment it is possible to continuously carry out hydrogen plasma treatment while maintaining vacuum state.
  • only ammonia plasma treatment may be conducted as reducing treatment. In such cases, the TDDB life can be improved.
  • the round taper forming step was followed by the reducing plasma treatment. These treatments were conducted in this order to maintain the stabilizing effects, by the reducing plasma treatment, on the surface of the main conductor film 18 a .
  • the round taper may be formed after the reducing plasma treatment.
  • the round taper may be formed after hydrogen plasma treatment, followed by ammonia plasma treatment. Reducing treatment and round taper formation may be conducted simultaneously.
  • the temperature of the susceptor (roughly meaning the temperature of the wafer 1 W) when the reducing treatment and round taper formation are conducted simultaneously is, for example, about 350 to 400° C. and treatment time is, for example, 1 to 3 minutes, preferably about 2 minutes.
  • FIG. 15 is a cross-sectional view of a portion of the wafer 1 W corresponding to the line X 1 -X 1 of FIG. 5 after deposition of the interconnect capping insulating film (second insulating film, which will also be a first insulating film later).
  • the insulating film 15 b is similar in raw material and thickness to the insulating film 15 a.
  • the above-described set flow is also called “stabilization” and it is a preparatory step mainly for heightening the film forming stability prior to the formation of the interconnect capping insulating film.
  • the film formation follows this set flow. Described specifically, several tens of seconds after a carrier gas is fed to the treating chamber of a plasma enhanced CVD system, a treatment gas is also fed to the treating chamber without stopping the flow of the carrier gas. After maintaining this state for several seconds (set flow), film forming treatment is started by applying plasma power.
  • a nitrogen gas is employed as the carrier gas upon set flow and an ammonia gas (NH 3 ) and a silane gas (SiH 4 ) are employed as the treatment gas.
  • a helium gas (He) is employed as the carrier gas upon set flow and a trimethylsilane gas (3MS) is used as the treatment gas.
  • a helium gas (He) is employed as the carrier gas upon set flow and an ammonia gas (NH 3 ) and a trimethylsilane gas (3MS) are employed as the treatment gas.
  • a trimethoxysilane gas (TMS) and nitrogen oxide (N 2 O) are employed as the treatment gas upon set flow.
  • FIG. 16 is a fragmentary plane view of the semiconductor device during the manufacturing step following FIG. 15
  • FIG. 17 is a cross-sectional view taken along a line X 2 -X 2 of FIG. 16 .
  • an inlaid third-level interconnect (interconnect) L 3 is illustrated.
  • an insulating film (first insulating film) 11 c is deposited.
  • the insulating film 11 c is similar in material and forming method to the insulating films 11 a , 11 b using the above-described Low-K material.
  • an insulating film (first insulating film) 12 c is deposited.
  • the insulating material 12 c is similar in material, forming method and function to the insulating films 12 a , 12 b . Over this insulating film 12 c , an insulating film 15 c is deposited. The insulating film 15 c is similar in material, forming method and function to the insulating films 15 a , 15 b . Over this insulating film 15 c , an insulating film (first insulating film) 11 d is deposited. This insulating film 11 d is similar in material and forming method to the insulating films 11 a to 11 c using the above-described Low-K material. Over this insulating film 11 d , an insulating film (first insulating film) 12 c is deposited.
  • the insulating film 12 d is similar in material, forming method and function to the insulating films 12 a to 12 c . According to this Embodiment 1, by forming a plurality of insulating films of interconnect layers from a material having a low dielectric constant as described above, the whole interconnect capacitance can be reduced, making it possible to improve operation speed of the semiconductor device having a copper series inlaid interconnect structure.
  • an interconnect trench (interconnect opening) 16 b which is in the strip form when viewed from the top is formed.
  • a conductive barrier film 17 b and a main conductor film 18 b are embedded and they constitute the inlaid third-level interconnect L 3 .
  • a through-hole (interconnect opening) 19 which is a substantially circular shape when viewed from the top and extends from the bottom surface of the interconnect trench 16 b to the upper surface of the inlaid second-level interconnect L 2 .
  • the inlaid third-level interconnect L 3 is electrically connected to the inlaid second-level interconnect L 2 via the conductive barrier film 17 b and main conductor film 18 b embedded in the through-hole 19 .
  • This inlaid third-level interconnect L 3 is formed by the dual damascene method. Described specifically, after formation of the interconnect trench 16 b in the insulating films 15 c , 11 d , 12 d and through-hole 19 in the insulating films 15 b , 11 c , 12 c , the conductive barrier film 17 b and main conductor film (second conductor film) 18 b are deposited successively.
  • the interconnect trench 16 b and through-hole 19 are embedded simultaneously with the conductive barrier film 17 b and main conductor film 18 b .
  • These conductive barrier film 17 b and main conductor film 18 b are deposited in a similar manner to that employed for the conductive barrier film 17 a and main conductor film 18 a of the inlaid second-level interconnect.
  • the conductive barrier film 17 b and main conductor film 18 b are also similar in material to the conductive barrier film 17 a and main conductor film 18 a .
  • steps similar to those employed for the formation of the inlaid second-level interconnect L 2 are conducted to form the inlaid third-level interconnect L 3 .
  • the inlaid third-level interconnect L 3 Similar to the inlaid second-level interconnect L 2 , the inlaid third-level interconnect L 3 has, at the upper corner thereof, a round taper.
  • an interconnect capping insulating film (second insulating film, which may be a first insulating film later) 15 d is deposited. This insulating film 15 d is similar to the insulating films 15 a , 15 b.
  • FIG. 18 is a fragmentary enlarged cross-sectional view of the inlaid second-level interconnect L 2 or inlaid third-level interconnect L 3 .
  • the main conductor film 18 a or 18 b of the inlaid second-level interconnect L 2 or inlaid third-level interconnect L 3 has, at the upper corner thereof, a round taper. This makes it possible to relax an electric field applied to a portion of the main conductor film 18 a or 18 b corresponding to its upper corner (in the vicinity of the conductive barrier film 17 a or 17 b ).
  • a ratio of an electric field E 1 of a portion corresponding to the upper corner of the main conductor film 18 a or 18 b to an electric field E 2 of the upper corner portion of the conductive barrier film 17 a or 17 b can be controlled to 1:2.
  • the electric field intensity of a portion corresponding to the upper corner of the main conductor film 18 a or 18 b can be reduced to half of the electric field intensity of the upper corner of the conductive barrier film 17 a or 17 b .
  • the main conductor film 18 a or 18 b made of copper having a high diffusion coefficient can be kept at a distance from the CMP surface (second surface) of the insulating film 12 b or 12 d in which a leak path tends to be formed. This makes it possible to suppress or prevent formation of a leak path between the two adjacent inlaid second-level interconnects L 2 ,L 2 or two adjacent inlaid third-level interconnects L 3 ,L 3 . As a result, the TDDB life can be improved.
  • FIG. 19 is a flowchart of the manufacturing step of the semiconductor device according to another embodiment of the present invention.
  • the round taper of the main conductor film of the inlaid interconnect is formed in the set flow step 106 .
  • the interconnect capping insulating film is made of a silicon nitride film, a silicon carbide film, an SiCN film or a silicon oxynitride film, a carrier gas such as nitrogen or helium is fed prior to feeding of a treatment gas upon set flow.
  • annealing is conducted during the introduction term of only the carrier gas, and stage temperature is set at a temperature as described in Embodiment 1, the wafer 1 W is subjected to annealing treatment similar to that described in the round formation step of Embodiment 1, whereby a round taper is formed at the upper corner of the main conductor film 18 a or 18 b of the inlaid interconnect.
  • a round taper forming step is not added so that simplification of the manufacturing process and shortening of the manufacturing time can be promoted.
  • This embodiment is similar to Embodiment 1 except the above-described step.
  • FIG. 20 is a fragmentary cross-sectional view of a semiconductor device, during its manufacturing process, according to a further embodiment of the present invention.
  • an interconnect capping insulating film (second insulating film) 15 b by CVD over the upper surface of the inlaid second-level interconnect L 2 and insulating film 12 b after the above-described ammonia plasma treatment without release of the chamber to the air the insulating film 15 b is deposited in order to prevent the oxidation of the exposed portion of the conductive barrier film 17 a of the inlaid second-level interconnect L 2 .
  • an oxidation barrier insulating film (third insulating film) 15 b 1 for suppressing or preventing oxidation of the conductive barrier film 17 a , that is, for protecting it from oxidation an insulating film (fourth insulating film) 15 b 2 made of silicon oxynitride (SiON), for example, “PE-TMS” (product of Canon, dielectric constant: 3.9) is deposited over the insulating film 15 b 1 by plasma CVD using a gas mixture of a trimethoxysilane (TMS, chemical formula: SiH(OCH 3 ) 2 ) gas and a nitrogen oxide (N 2 O) gas while maintaining the vacuum state without releasing the chamber to the air.
  • TMS trimethoxysilane
  • N 2 O nitrogen oxide
  • the oxidation of the conductive barrier film 17 a can be suppressed or prevented upon deposition of the silicon oxynitride (SiON) film.
  • SiON silicon oxynitride
  • the most part or the whole part of the interconnect capping insulating film 15 b is formed from a material having a dielectric constant lower than that of a silicon nitride film so that an interconnect capacitance can be reduced, thereby improving the operation speed of the semiconductor device.
  • PE-TMS having excellent moisture resistance is usable as an interconnect capping insulating film of the inlaid-second-level interconnect so that the reliability of the semiconductor device can be improved.
  • Such an antioxidant film can be formed in the following manner.
  • the first method is to form an oxidation barrier insulating film 15 b 1 , for example, from an insulating film having an inhibitory or preventive function of copper diffusion, such as a silicon nitride (SiC) film, silicon carbide (SiCN) film or a silicon carbonitride film.
  • the oxidation barrier insulating film 15 b 1 has a thickness of, for example, 1 nm or greater and it is formed thinner than the insulating film 15 b 2 because lowering of the whole dielectric constant of the interconnect structure is desired.
  • the insulating film 15 b 2 has a thickness of about 50 nm or less.
  • a nitrogen content of the insulating film 15 b 2 is about 1 to 8%.
  • the flow rate of a trimethoxysilane gas is, for example, about 100 to 150 cm 3 /min
  • the flow rate of an N 2 O gas is, for example, 4000 cm 3 /min or less
  • the power applied to the upper or lower electrode of the plasma-enhanced CVD system is, for example, about 500 to 1000 W.
  • a silicon carbide or silicon carbonitride film as the oxidation barrier insulating film 15 b 1 makes it possible to reduce a dielectric constant as compared with that of the insulating film 15 b 1 formed from a silicon nitride film, thereby lowering an interconnect capacitance. As a result, the operation speed of the semiconductor device can be improved.
  • the second method is to form the oxidation barrier insulating film 15 b 1 from a silicon oxynitride (SiON) film such as “PE-TMS” (product of Canon, dielectric constant: 3.9) deposited by plasma-enhanced CVD under oxygen-free gas conditions, particularly, under conditions free from an N 2 O gas having a high oxidizing property. Also in this case, the insulating film 15 b 1 has a suppressive or preventive function of copper diffusion.
  • SiON silicon oxynitride
  • the oxidation barrier insulating film 15 b 1 has a thickness of about 1 to 10 nm.
  • the insulating film 15 b 2 lying thereover has a thickness as described in the first step.
  • a nitrogen content in the insulating film 15 b 1 or 15 b 2 is for example about 1 to 8%.
  • the flow rate of a trimethoxysilane gas is, for example, about 100 to 150 cm 3 /min
  • the flow rate of an N 2 O gas is, for example, 0 cm 3 /min or less
  • the flow rate of an N 2 gas, if it is used is, for example, about 4000 cm 3 /min or less
  • the flow rate of an NH 3 gas, if it is used is for example about 1500 cm 3/ min
  • the power applied to the upper or lower electrode of the plasma-enhanced CVD system is similar to that of the first method.
  • both the insulating films 15 b 1 , 15 b 2 can be formed from a silicon oxynitride film, such as “PE-TMS” having a low dielectric constant, this second method can reduce an interconnect capacitance and improve the operation speed of a semiconductor device compared with the first method. Moreover, by forming the whole insulating film 15 b (insulating films 15 b 1 and 15 b 2 ) from a silicon oxynitride film such as “PE-TMS” excellent in moisture resistance, the reliability of the semiconductor device can be improved.
  • PE-TMS silicon oxynitride film
  • the third method is to form the oxidation barrier insulating film 15 b 1 from a silicon oxynitride film such as the above-described “PE-TMS” deposited by plasma CVD using, upon film formation, a gas containing N 2 /O 2 having a low oxidizing property and being reduced in the content of oxygen (particularly N 2 O having a high oxidizing property). Also in this case, the insulating film 15 b 1 has a function of suppressing or preventing copper diffusion.
  • PE-TMS silicon oxynitride film
  • a mixture of trimethoxysilane gas, N 2 gas and O 2 gas, a mixture of trimethoxysilane gas, NH 3 gas and O 2 gas, and a mixture of trimethoxysilane gas, NH 3 gas, N 2 O gas and O 2 gas, or a gas mixture of trimethoxysilane gas, N 2 O gas and NH 3 gas is usable.
  • the N 2 gas or NH 3 gas serves as a diluting gas in a gas mixture.
  • the thickness and nitrogen content of the insulating films 15 b 1 and 15 b 2 are similar to those as described in the second method.
  • the pressure in the chamber upon formation of the insulating film 15 b 1 , and powers applied to the upper electrode and lower electrode of the plasma-enhanced CVD system are similar to those described in the first or second method.
  • the flow rates of the trimethoxysilane gas, N 2 gas, and O 2 gas are, for example, about 75 to 150 cm 3 /min, about 4000 cm 3 /min or less and about 4000 cm 3 /min or less, respectively.
  • the flow rates of the trimethoxysilane gas, NH 3 gas, and O 2 gas are, for example, about 75 to 150 cm 3 /min, about 1500 cm 3 /min and about 4000 cm 3 /min, respectively.
  • the flow rates of the trimethoxysilane gas, NH 3 gas, N 2 gas, and O 2 gas are about 75 to 150 cm 3 /min, about 1500 cm 3 /min or less, about 4000 cm 3 /min, and about 4000 cm 3 /min, respectively.
  • the flow rates of the trimethoxysilane gas, N 2 O gas, and NH 3 gas are, for example, about 75 to 150 cm 3 /min, about 4000 cm 3 /min, and about 1500 cm 3 /min, respectively.
  • the conditions of forming the insulating film 15 b 2 are similar to those of the first or second method. As one application of this third method, the whole insulating film 15 b may be formed by the third method.
  • the whole insulating film 15 b may be formed from a film composed solely of a silicon oxynitride film deposited by the plasma-enhanced CVD under the oxygen-reduced gas conditions.
  • the insulation capping insulating film can be formed easily and film formation time can be shortened because change of the kind of a gas and control therefor are not required.
  • a trimethoxysilane gas was employed for the formation of a silicon oxynitride film (SiON, nitrogen content: about 1 to 8%).
  • the gas is not limited to it but another gas can be employed.
  • a mixture of a gas selected from monosilane, disilane and TEOS, an ammonia gas and an oxygen gas (or N 2 O or ozone (O 3 )), or this gas mixture having nitrogen introduced therein may be employed.
  • usable is a mixture of a gas selected from a trimethylsilane (3MS) gas and a tetramethylsilane (4MS) gas, and a nitrogen oxide (N 2 O) gas (or a nitrogen oxide gas and an ammonia (NH 3 ) gas) or this gas mixture having, added thereto, a nitrogen (N 2 ) gas, a mixture of a nitrogen (N 2 ) gas and an oxygen (O 2 ) gas, or a mixture of a nitrogen gas, an oxygen gas and an ammonia gas.
  • a gas selected from a trimethylsilane (3MS) gas and a tetramethylsilane (4MS) gas
  • a nitrogen oxide (N 2 O) gas or a nitrogen oxide gas and an ammonia (NH 3 ) gas
  • this gas mixture having, added thereto, a nitrogen (N 2 ) gas, a mixture of a nitrogen (N 2 ) gas and an oxygen (O 2 ) gas, or a mixture of a nitrogen gas, an oxygen gas and an am
  • FIG. 21 is a fragmentary cross-sectional view of a semiconductor device during its manufacturing step according to a further embodiment of the present invention.
  • an interconnect capping insulating film 15 b is directly deposited without an insulation capping insulating film.
  • the interconnect capping insulating film 15 b may have a multilevel structure as in Embodiment 3.
  • a reduction in an interconnect capacitance can be attained, because no insulation capping insulating film is disposed. As a result, the operation speed of the semiconductor device can be improved.
  • FIG. 22 is a fragmentary cross-sectional view of a semiconductor device during its fabrication step according to a still further embodiment of the present invention.
  • the main conductor film 18 a composed of copper is in direct contact with the insulating films 11 b , 12 a , 12 b , 15 a in the interconnect trench 16 a.
  • an insulation capping insulating film may be omitted as in Embodiment 4. According to Embodiment 5, an interconnect resistance of the inlaid second-level interconnect L 2 can be drastically decreased.
  • interconnects of different levels can be connected directly without a conductive barrier film so that the contact resistance between these different-level interconnects can be reduced considerably and resistance at minute through-holes can be reduced. Accordingly, even if the interconnect trench 16 or through-hole 9 are miniaturized, the performance of the semiconductor device can be improved. As a result, miniaturization of interconnects constituting the semiconductor device can be promoted.
  • Embodiment 5 a round taper is formed at an upper corner of the main conductor film 18 a , and the upper corner of the main conductor film 18 a made of copper is disposed apart from the upper surface (CMP surface, second surface) of the insulating film 12 b adjacent thereto.
  • CMP surface, second surface the upper surface of the insulating film 12 b adjacent thereto.
  • these features make it possible to suppress or prevent formation of a leak path in the two adjacent inlaid second-level interconnects L 2 ,L 3 , thereby improving the TDDB life.
  • Such a barrierless inlaid interconnect structure is disclosed, for example, in Japanese Patent Application No. 2000-104015, 2000-300853 or 2001-131941 (filed on Apr. 27, 2001).
  • the inlaid interconnect as described above is used property for each of the interconnect layers.
  • the distance between two adjacent interconnects tend to be narrower at the lower interconnect layers near minute elements formed over the substrate and this tendency seems to be accelerated in future. In such a case, a deterioration in the TDDB life due to copper diffusion is apt to become obvious.
  • the distance between two adjacent interconnects is relatively wide at the upper interconnect layers which are relatively apart from the above-described elements and there is a certain degree of a margin for the deterioration of the TDDB life due to copper diffusion.
  • an increase in the number of steps, addition of a heat treatment step or addition of a step to cause step difference is not desired so there are process-wise limitations.
  • FIG. 23 is a fragmentary cross-sectional view which schematically illustrates the semiconductor device of Embodiment 6.
  • An interconnect layer N 1 is, for example, a lower interconnect layer such as first-level or second-level interconnect layer which is relatively small in the interconnect width or distance between interconnects, while an interconnect layer Nx is, for example, an upper interconnect layer such as fifth-level or sixth-level interconnect layer which is relatively large in the interconnect width or distance between interconnects.
  • the interconnect width and interconnect-to-interconnect distance of an inlaid interconnect are, for example, about 0.18 ⁇ m, supposing that the interconnect layer N 1 is the first-level interconnect layer, while those of an inlaid interconnect (interconnect) are, for example, about 0.36 ⁇ m, supposing that the interconnect layer Nx is the fifth-level interconnect layer.
  • the interconnect width and interconnect-to-interconnect distance of an inlaid interconnect are, for example, about 0.14 ⁇ m, supposing that the interconnect layer N 1 is the first-level interconnect layer, while those of an inlaid interconnect (interconnect) are, for example, about 0.28 ⁇ m, supposing that the interconnect layer Nx is the fifth-level interconnect layer.
  • the inlaid interconnect Ln of the lower interconnect layer N 1 is formed to have a similar structure to that of Embodiment 1, that is, to have a round taper formed at an upper corner of the main conductor film 18 a .
  • the inlaid interconnect Ln of an upper interconnect layer Nn is, on the other hand, formed to have an ordinarily employed structure. Described specifically, no taper is formed at an upper corner of the main conductor film 18 a and the upper surface (first surface) level of the main conductor film 18 a almost coincides with the upper surface (MP surface, second surface) level of the insulating film 12 b .
  • the above-described structure contributes to an improvement in the TDDB life. Furthermore, since the process-wise limitations are satisfied, a highly reliable semiconductor device on the whole can be provided.
  • FIG. 24 is a fragmentary cross-sectional view schematically illustrating the semiconductor device of Embodiment 7.
  • all the inlaid interconnects Ln of the lower interconnect layer N 1 and upper interconnect layer Nx are formed to have a similar structure as Embodiment 1, that is, to have a round taper at an upper corner of the main conductor film 18 a except that the depth d 1 of the round taper of the main conductor film 18 a of the lower inlaid interconnect Ln is formed deeper than the depth d 2 of the round taper of the main conductor film 18 a of the upper inlaid interconnect Ln.
  • the round taper of an upper corner of the main conductor film 18 a is greater in the inlaid interconnect Ln of the lower interconnect layer N 1 .
  • This structure is formed because, as described in Embodiment 6, the distance between two adjacent interconnects of the lower interconnect layer is narrow so that lowering in the TDDB life due to copper diffusion tends to appear, while the distance between two adjacent interconnects of the upper interconnect layer is wide and has a margin relatively.
  • the reliability of the semiconductor device can be improved on the whole by extending the TDDB life by increasing the size of a round taper of the main conductor film 18 a in the inlaid interconnect Ln of the lower interconnect layer N 1 in which copper diffusion tends to cause a problem; and by satisfying the process-wise limitations by decreasing the size of a round taper of the main conductor film 18 a in the inlaid interconnect Ln of the upper interconnect layer Nx which has a margin.
  • FIG. 25 is a fragmentary cross-sectional view schematically illustrating the semiconductor device of Embodiment 8.
  • the upper surface (first surface) level of the main conductor film 18 in the inlaid interconnect Ln of the lower interconnect layer N 1 and upper interconnect layer Nx is formed lower than the upper surface (CMP surface, second surface) levels of the conductive barrier film 17 a and insulating film 12 b .
  • the distance between the main conductor film 18 a and the CMP surface and also the distance between the corner of the main conductor film 18 a and the site of the corner (corner of the conductive barrier film 17 a ) of the interconnect layer Ln on which an electric field concentration occurs become greater, which suppresses or prevents the formation of a leak path, thereby improving the TDDB life.
  • step difference d 3 of the main conductor film 18 a in a lower inlaid interconnect Ln is formed greater than the step difference d 4 of the main conductor film 18 a of an upper inlaid interconnect layer Ln because of the same reasons as described in Embodiment 6 or 7.
  • Embodiment 8 therefore, by forming a relatively large step difference on the upper surface of the main conductor film 18 a in the inlaid interconnect Ln of a lower interconnect layer N 1 in which copper diffusion tends to be a problem, the TDDB life can be improved, while by forming a relatively small step difference on the upper surface of the main conductor film 18 a in the inlaid interconnect Ln of an upper interconnect layer Nx which has a margin, thereby suppressing or preventing occurrence of inconveniences due to the step difference, thus satisfying the process-wise limitations, the reliability of the semiconductor device can be improved on the whole.
  • the inlaid interconnect Ln of a lower interconnect layer N 1 may be formed as an inlaid interconnect structure as described in Embodiment 1 or the like and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as illustrated in FIG. 25 .
  • the inlaid interconnect Ln of a lower interconnect layer N 1 may be formed as illustrated in FIG. 25 and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed to have a structure as described in Embodiment 1 or the like or an ordinary structure employed in an upper interconnect layer Nx as illustrated in FIG. 23 .
  • FIG. 26 is a fragmentary cross-sectional view schematically illustrating a semiconductor device of Embodiment 9.
  • the upper surface (first surface) level of each of the main conductor film 18 a and conductive barrier film 17 a in the inlaid interconnect Ln of a lower interconnect layer N 1 or an upper interconnect layer Nx is formed lower than the upper surface (CMP surface, second surface) level of the insulating film 12 b .
  • the above-described structure in which the main conductor film 18 a is kept apart from the CMP surface can suppress or prevent the formation of a leak path, thereby improving the TDDB life.
  • Embodiment 9 by forming a relatively large step difference on the upper surface of the main conductor film 18 a in the inlaid interconnect Ln of a lower interconnect layer N 1 in which copper diffusion tends to cause a problem, the TDDB life can be improved.
  • a relatively small step difference on the upper surface of the main conductor film 18 a in the inlaid interconnect Ln of an upper interconnect layer Nx which has a margin, thereby suppressing or preventing occurrence of inconveniences due to the step difference, thus satisfying the process-wise limitations, the reliability of the semiconductor device can be improved on the whole.
  • the inlaid interconnect Ln of a lower interconnect layer N 1 may be formed as an inlaid interconnect structure as described in Embodiment 1 or the like and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as illustrated in FIG. 26 .
  • the inlaid interconnect Ln of a lower interconnect layer N 1 may be formed as illustrated in FIG. 26 and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as an inlaid interconnect structure as described in Embodiment 1 or the like or an ordinary inlaid interconnect structure employed in the upper interconnect layer Nx of FIG. 23 .
  • FIG. 27 is a fragmentary cross-sectional view schematically illustrating a semiconductor device of Embodiment 10.
  • the upper surface level (CMP surface, first surface) of each of the main conductor film 18 a and conductive barrier film 17 a of the inlaid interconnect Ln of a lower interconnect layer N 1 and an upper interconnect layer Nx is protruded relative to the upper surface (second surface) of the insulating film 12 b .
  • Such a structure makes it possible to keep the main conductor film 18 a away from the CMP surface, thereby suppressing or preventing the formation of a leak path. As a result, the TDDB life can be improved.
  • the above-described step difference can be formed by removing the upper surface of the insulating film 11 b by etching upon the above-described reducing plasma treatment so that the manufacturing process can be simplified.
  • Such a technique of forming a step difference on the upper surface of an inlaid interconnect Ln is, for example, disclosed in Japanese Patent Application No. 2001-131941 (filed on Apr. 27, 2001) by the inventors of the present invention.
  • the protruding step difference d 7 of the inlaid interconnect Ln of a lower interconnect layer N 1 is formed greater than the protruding step difference d 8 in the inlaid interconnect Ln of an upper interconnect layer Nx.
  • the TDDB life can be improved, while by forming a relatively small protruding step difference on the upper surface of the inlaid interconnect Ln of an upper interconnect layer Nx which has a margin, thereby suppressing or preventing generation of inconveniences due to the step difference, thus satisfying the process-wise limitations, the reliability of the semiconductor device can be improved on the whole.
  • the inlaid interconnect Ln of a lower interconnect layer N 1 may be formed as an inlaid interconnect structure as described in Embodiment 1, 8 or 9 and an inlaid interconnect Ln of an upper interconnect layer Nx may be formed as illustrated in FIG. 27 .
  • the inlaid interconnect Ln of a lower interconnect layer N 1 may be formed as illustrated in FIG. 27 and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as an inlaid interconnect structure as described in Embodiment 1, 8 or 9 or an ordinary inlaid interconnect structure employed in an upper interconnect layer Nx of FIG. 23 .
  • Embodiments 1 to 10 a description was given of the formation of a capping film continuously after post treatment (plasma treatment) without vacuum break.
  • vacuum break may be conducted once after post treatment, followed by the formation of the capping film.
  • the present invention more effectively exhibits its advantages in the former case, but a thin nitride layer formed by the post ammonia plasma treatment can suppress the formation of an oxide layer even if a wafer is exposed to atmosphere after vacuum break. As a result, some advantages of the present invention can be offered even after vacuum break.
  • a method of forming a round taper on the main conductor film in an inlaid interconnect is not limited to those described in Embodiments 1 to 10, but can be modified.
  • a round taper may be formed at an upper corner of the main conductor film in an inlaid interconnect by maintaining the inside of the chamber in a plasma-enhanced CVD system under vacuum condition and heat treating the wafer without feeding a gas to the chamber.
  • a round taper was formed on the upper surface of an inlaid interconnect in a film forming apparatus used for the formation of an insulation capping insulating film.
  • the round taper may be formed on the upper surface of an inlaid interconnect by heat treatment in a hydrogen gas atmosphere or a nitrogen gas atmosphere outside of the film forming apparatus.
  • the temperature or time upon low-temperature hydrogen annealing is set higher or longer than that of the above-described embodiment. In such a case, the temperature is preferably about 300 to 400° C., while treating time is preferably about 30 seconds to 15 minutes.
  • the present invention is not limited thereto, but can be applied to a manufacturing method of various semiconductor devices such as semiconductor devices having a memory circuit such as DRAM (Dynamic Random Access Memory), SRAM (Static Random Access Memory), flash memory (EEPROM; Electric Erasable Programmable Read Only Memory and FRAM (Ferro electric Random Access Memory), semiconductor devices having a logic circuit such as microprocessor, and mixed type semiconductor devices having, on one semiconductor substrate, the above-described memory circuit and logic circuit.
  • the present invention can be applied to at least a manufacturing method of a semiconductor device, semiconductor integrated circuit device, electronic circuit device, electronic device or micromachine having an inlaid copper interconnect structure.
  • a dielectric breakdown strength between interconnects, each having a main conductor film composed of copper, can be improved by keeping a portion of the main conductor film on which an electric field concentration occurs apart from the polished surface of the surrounding insulating film.

Abstract

Provided is a manufacturing method of a semiconductor device which comprises (a) depositing a first insulating film over a wafer, (b) forming an interconnect opening in the first insulating film, (c) forming, in the interconnect opening, an interconnect having a conductor film comprised mainly of copper, (d) forming a taper at a corner of said conductor film on the opening side of the interconnect opening, and (e) depositing a second insulating film over the first insulating film and interconnect. The present invention makes it possible to improve dielectric breakdown strength between interconnects each having a main conductor film comprised mainly of copper.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to a method for manufacturing a semiconductor device and a semiconductor device technique, particularly to a technique effective when adapted to a method for manufacturing a semiconductor device having an inlaid interconnect containing a main conductor film comprised mainly of copper and such a semiconductor device.
  • An inlaid interconnect structure is formed by embedding a wiring material in an interconnect opening such as interconnect trench or hole formed in an insulating film in accordance with a metallization technique called “damascene technique” (single damascene and dual damascene techniques). When copper is employed as a main wiring material, however, it easily diffuses in an insulating film compared with a metal such as aluminum. Diffusion of copper from an inlaid interconnect to an insulating film is therefore suppressed or prevented by covering the surfaces (bottom surface and side surfaces) of the inlaid interconnect made of copper with a thin barrier metal film so as to avoid direct contact of the inlaid interconnect with the insulating film. Alternatively, diffusion of copper from the upper surface of the inlaid interconnect to the insulating film is suppressed or prevented by forming an interconnect capping insulating film made of, for example, silicon nitride film over the upper surface of the insulating film having an interconnect opening formed therein and covering therewith the upper surface of the inlaid interconnect.
  • A damascene metallization technique is described, for example, in Japanese Patent Laid-Open No. 2000-323479 in which in an inlaid interconnect structure, a copper interconnect and an insulating film are formed to have different surface height. In Japanese Patent Laid-Open No. 111843/1999, disclosed is an inlaid interconnect structure obtained by forming, in an inlaid interconnect, a copper layer to have an upper surface level lower than that of an insulating film and then embedding a barrier insulating film in the resulting indented portion. In Japanese Patent Laid-Open No. 50632/1998, disclosed is an inlaid interconnect structure by lowering the upper surface level of each of the copper layer and barrier metal of the inlaid interconnect relative to that of the insulating film and embedding a barrier insulating film in the indented portion. In Japanese Patent Laid-Open No. 2000-277612, disclosed is a technique of preventing remaining of a slurry after CMP (chemical mechanical polishing) by forming the upper surface level of each of the barrier metal and metal film of an inlaid interconnect higher than that of the insulating film. In Japanese Patent Laid-Open No. 189602/1998, disclosed is a technique of forming the upper surface level of a tungsten plug a little higher than that of an insulating film and rounding an inlaid plug.
  • SUMMARY OF THE INVENTION
  • As a result of investigation, the present inventors have found that the above-described inlaid interconnect forming technique using copper as a main conductor layer has the following problems. Described specifically, when copper is employed as a wiring material, the TDDB (Time Dependence on Dielectric Breakdown) lifetime is markedly shorter than that of another metal material (such as aluminum or tungsten). In addition, as interconnect pitches have become more minute, the effective electric field strength tends to increase. In recent years, an insulating material having a lower dielectric constant than that of silicon oxide tends to be used as an interlayer insulating film from the viewpoint of decreasing an interconnect capacitance. The insulating film having a low dielectric constant usually has a low dielectric breakdown, so that use of it has made it more difficult to maintain a long TDDB lifetime.
  • An object of the present invention to provide a technique capable of improving dielectric breakdown strength between interconnects each using copper as a main conductor film.
  • The above-described and the other objects and novel features of the present invention will be apparent from the description herein and accompanying drawings.
  • The outline of the typical inventions, of the inventions disclosed by the present application, will next be described briefly.
  • In the present invention, a main conductor film constituting an interconnect is formed of copper and it is kept apart, at a portion on which concentration of an electric field occurs, from the polished surface of the surrounding insulating film.
  • In the present invention, a main conductor film constituting an interconnect is formed of copper and it is rounded at a portion on which concentration of an electric field occurs.
  • The inventions provided by the present application include the followings:
  • (1) A method for manufacturing a semiconductor device which comprises the steps of:
      • (a) depositing a first insulating film on a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a conductor film comprised mainly of copper,
      • (d) forming a taper at a corner of the conductor film on the opening side of the interconnect opening, and
      • (e) depositing a second insulating film over the first insulating film and the interconnect.
  • (1-1) A method as described above in (1), wherein the taper is a round taper formed by heat treating the wafer in an atmosphere of a nitrogen gas, an ammonia gas or a nitrogen-ammonia gas mixture, or in a plasma atmosphere using the gas.
  • (1-2) A method as described above in (1), further comprising, between the steps (c) and (e), subjecting the first insulating film and the interconnect to reducing plasma treatment.
  • (1-3) A method as described above in (1-2), wherein the reducing plasma treatment is ammonia plasma treatment, hydrogen plasma treatment, or treatment using thereof in combination.
  • (1-4) A method as described above in (1), wherein the step (a) having the sub-steps of:
      • (a1) depositing a relatively thick insulating film, and
      • (a2) after the sub-step (a1), depositing thereover a relatively thin insulating film having a higher dielectric constant than that of the relatively thick insulating film.
  • (1-5) A method as described above in (1-4), wherein a dielectric constant of the relatively thick insulating film is lower than that of a silicon dioxide film.
  • (1-6) A method as described above in (1-4), wherein the relatively thin insulating film is made of silicon carbide, silicon carbonitride or silicon oxynitride.
  • (1-7) A method as described above in (1), wherein the first insulating film is a single-substance insulating film having a dielectric constant lower than that of a silicon dioxide film.
  • (1-8) A method as described above in (1), wherein the second insulating film is made of silicon carbide, silicon carbonitride or silicon oxynitride.
  • (2) A method for manufacturing a semiconductor device, which comprises the following steps of:
      • (a) depositing a first insulating film over a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper,
      • (d) forming a taper at a corner of the second conductor film on the opening side of the interconnect opening, and
      • (e) depositing a second insulating film over the first insulating film and interconnect.
  • (2-1) A method as described above in (2), wherein the taper is a round taper formed by heat treating the wafer in an atmosphere of a nitrogen gas, an ammonia gas or a nitrogen-ammonia gas mixture, or in a plasma atmosphere using the gas.
  • (2-2) A method as described above in (2), further comprising, between the steps (c) and (e), subjecting the first insulating film and the interconnect to reducing plasma treatment.
  • (2-3) A method as described above in (2-2), wherein the reducing plasma treatment is ammonia plasma treatment, hydrogen plasma treatment, or treatment using both thereof in combination.
  • (2-4) A method as described above in (2), wherein the step (a) having the following sub-steps of:
      • (a1) depositing a relatively thick insulating film, and
      • (a2) after the sub-step (a1), depositing thereover a relatively thin insulating film having a dielectric constant higher than that of the relatively thick insulating film.
  • (2-5) A method as described above in (2-4), wherein the relatively thick insulating film has a dielectric constant lower than that of a silicon dioxide film.
  • (2-6) A method as described above in (2-4), wherein the relatively thin insulating film is made of silicon carbide, silicon carbonitride or silicon oxynitride.
  • (2-7) A method as described above in (2), wherein the first insulating film is a single-substance insulating film having a dielectric constant lower than that of a silicon dioxide film.
  • (2-8) A method as described above in (2-7), wherein the step (c) comprises the following sub-steps of:
      • (c1) depositing the first conductor film over the first insulating film and in the interconnect opening,
      • (c2) depositing the second conductor film over the first conductor film,
      • (c3) selectively polishing the second conductor film to leave the first and second conductor films in the interconnect opening, and
      • (c4) selectively polishing the first conductor film to leave the first and second conductor films in the interconnect opening, thereby forming the interconnect.
  • (2-9) A method as described above in (2-8), wherein the amount of an abrasive used in the polishing step of (c3) is 0 or smaller than that used in the polishing step (c4).
  • (2-10) A method as described above in (2), wherein the second insulating film is made of silicon carbide, silicon carbonitride or silicon oxynitride.
  • (2-11) A method as described above in (2), wherein the second insulating film is a laminate film obtained by depositing a third insulating film over the first insulating film and the interconnect to protect the first conductor film from oxidation, and then depositing a fourth insulating film over the third insulating film by chemical vapor deposition using an oxygen-containing gas.
  • (2-12) A method as described above in (2-11), wherein the third insulating film is made of silicon nitride.
  • (2-13) A method as described above in (2-11), wherein the third insulating film is made of silicon carbide or silicon carbonitride.
  • (2-14) A method as described above in (2-11), wherein the fourth insulating film is made of silicon oxynitride by chemical vapor deposition using a gas mixture containing trimethoxysilane and nitrogen oxide.
  • (2-15) A method as described above in (2-11), wherein the third insulating film is thinner than the fourth insulating film.
  • (3) A method for manufacturing a semiconductor device, which comprises, in the metallization of each of a plurality of interconnect layers formed over a wafer:
      • (a) depositing a first insulating film over a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and
      • (d) depositing a second insulating film over the first insulating film and the interconnect, wherein:
      • in the metallization step of the interconnect layers relatively near the main surface of the wafer among the plurality of interconnect layers, the method further comprises, between the steps (c) and (d), a step of forming a taper at a corner of the second conductor film on the opening side of the interconnect opening, and
      • in the metallization step of the interconnect layers relatively far from the main surface of the wafer among the plurality of interconnect layers, the second insulating film is deposited without forming the taper.
  • (4) A method for manufacturing a semiconductor device, which comprises, in the metallization of at least two of a plurality of interconnect layers formed over a wafer:
      • (a) depositing a first insulating film over a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper,
      • (d) forming a taper at a corner of the second conductor film on the opening side of the interconnect opening, and
      • (e) depositing a second insulating film over the first insulating film and the interconnect, wherein:
      • the taper of the second conductor film in the interconnect of the interconnect layer relatively near the main surface of the wafer, among the plurality of interconnect layers, is formed greater than that of the second conductor film in the interconnect of the interconnect layer relatively far from the main surface of the wafer among the plurality of interconnect layers.
  • (5) A method for manufacturing a semiconductor device, which comprises, in the metallization of each of a plurality of interconnect layers formed over a wafer:
      • (a) depositing a first insulating film over a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and
      • (d) depositing a second insulating film over the first insulating film and the interconnect, wherein:
      • in the metallization formation step of the interconnect layer relatively near the main surface of the wafer among the plurality of interconnect layers, the method further has, between the steps (c) and (d), a step of forming a step difference between a first surface of the second conductor film on a side on which the second insulating film is deposited and a second surface of the first insulating film on a side on which the second insulating film is to be deposited, and
      • in the metallization step of the interconnect layer relatively far from the main surface of the wafer among the plurality of interconnect layers, the second insulating film is deposited without forming the step difference.
  • (6) A method for manufacturing a semiconductor device, which comprises, in the metallization of at least two of a plurality of interconnect layers formed over a wafer:
      • (a) depositing a first insulating film over a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and
      • (d) forming a step difference between a first surface of the second conductor film on a side on which a second insulating film is to be deposited and a second surface of the first insulating film on a side on which the second insulating film is to be deposited, and
      • (e) depositing the second insulating film over the first insulating film and interconnect, wherein:
      • the step difference of the second conductor film in the interconnect of the interconnect layer relatively near the main surface of the wafer among the plurality of interconnect layers is formed greater than that of second conductor film in the interconnect of the interconnect layer relatively far from the main surface of the wafer.
  • (6-1) A method as described above in (6), wherein:
      • the step (d) is a step for selectively etching a surface layer of the first surface of the second conductor film to indent the first surface of the second conductor film relative to the second surface of the first insulating film in a direction of the main surface of the wafer.
  • (7) A method for manufacturing a semiconductor device, which comprises, in the metallization of each of a plurality of interconnect layers formed over a wafer:
      • (a) depositing a first insulating film over a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and
      • (d) depositing a second insulating film over the first insulating film and the interconnect, wherein:
      • in the metallization step of the interconnect layer relatively near the main surface of the wafer among the plurality of interconnect layers, the method further has, between the steps (c) and (d), a step of forming a step difference between a first surface of the first and second conductor films on a side on which the second insulating film is to be deposited and a second surface of the first insulating film on a side on which the second insulating film is to be deposited, and
      • in the metallization step of the interconnect layer relatively far from the main surface of the wafer among the plurality of interconnect layers, the second insulating film is deposited without forming the step difference.
  • (8) A method for manufacturing a semiconductor device, which comprises, in the metallization step of at least two of a plurality of interconnect layers formed over a wafer:
      • (a) depositing a first insulating film over a wafer,
      • (b) forming an interconnect opening in the first insulating film,
      • (c) forming, in the interconnect opening, an interconnect having a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper,
      • (d) forming a step difference between a first surface of the first and second conductor films on a side on which a second insulating film is to be deposited and a second surface of the first insulating film on a side on which the second insulating film is to be deposited, and
      • (e) depositing the second insulating film over the first insulating film and the interconnect, wherein:
      • the step difference in the interconnect of the interconnect layer relatively near the main surface of the wafer, among the plurality of interconnect layers, is formed greater than that in the interconnect of the interconnect layer relatively far from the main surface of the wafer among the plurality of interconnect layers.
  • (8-1) A method as described above in (8), wherein:
      • the step (c) is a step of selectively etching a surface layer from the first surface of the first and second conductor films to indent the first surface of the first and second conductor films relative to the polished surface of the first insulating film in a direction of the main surface of the wafer.
  • (8-2) A method as described above in (8), wherein:
      • the step (d) is a step of selectively etching the second surface of the first insulating film so that the first surface of the first and second conductor films protrude relative to the second surface of the first insulating film in a direction far from the main surface of the wafer.
  • (9) A semiconductor device comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a conductor film comprised mainly of copper and having a taper at a corner of the conductor film on the opening side of the interconnect opening, and
      • (c) a second insulating film deposited over the first insulating film and the interconnect.
  • (10) A semiconductor device comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and having a taper at a corner of the second conductor film on the opening side of the interconnect opening, and
      • (c) a second insulating film deposited over the first insulating film and the interconnect.
  • (11) A semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and
      • (c) a second insulating film deposited over the first insulating film and the interconnect, wherein:
      • in the interconnect layer relatively near the main surface of the semiconductor substrate, among the plurality of interconnect layers, an interconnect equipped with a taper at a corner of the second conductor film on the opening side of the interconnect opening is formed; and
      • in the interconnect layer relatively far from the main surface of the semiconductor substrate, among the plurality of interconnect layers, the taper is not formed on the second conductor film and an interconnect is formed so that the first surface level of the second conductor film on a side on which the second insulating film is to be deposited is formed substantially equal to the second surface level of the first insulating film on a side on which the second insulating film is to be deposited.
  • (12) A semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and having a taper at a corner of the second conductor film on the opening side of the interconnect opening, and
      • (c) a second insulating film deposited over the first insulating film and the interconnect, wherein:
      • the taper of the second conductor film in the interconnect of the interconnect layer relatively near the main surface of the wafer among the plurality of interconnect layers is greater than that of the second conductor film in the interconnect of the interconnect layer relatively far from the main surface of the wafer among the plurality of interconnect layers.
  • (13) A semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and
      • (c) a second insulating film deposited over the first insulating film and the interconnect, wherein:
      • in the interconnect of the interconnect layer relatively near the main surface of the semiconductor substrate among the plurality of interconnect layers, a step difference is formed between the first surface of the second conductor film on a side on which the second insulating film is to be deposited and the second surface of the first conductor film and the first insulating film on a side on which the second insulating film is to be deposited;
      • in the interconnect of the interconnect layer relatively far from the main surface of the semiconductor substrate among the plurality of interconnect layers, the first surface level of the second conductor film is formed substantially equal to the second surface level of the first conductor film and first insulating film.
  • (14) A semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and having a step difference between a first surface of the second conductor film on a side on which a second insulating film is to be deposited and a second surface of the first conductor film and the first insulating film on a side on which the second insulating film is to be deposited, and
      • (c) the second insulating film deposited over the first insulating film and the interconnect, wherein:
      • the step difference in the interconnect of the interconnect layer relatively near the main surface of the semiconductor substrate among the plurality of interconnect layers is greater than that in the interconnect of the interconnect layer relatively far from the main surface of the semiconductor substrate among the plurality of interconnect layers.
  • (14-1) A semiconductor device as described above in (14), wherein the step difference is formed by indenting the first surface of the second conductor film relative to the second surface of the first conductor film and the first insulating film in a direction of the main surface of the semiconductor substrate.
  • (15) A semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and
      • (c) a second insulating film deposited over the first insulating film and the interconnect, wherein:
      • in the interconnect of the interconnect layer relatively near the main surface of the semiconductor substrate among the plurality of interconnect layers, a step difference is disposed between a first surface of the first and second conductor films on a side on which the second insulating film is to be deposited and a second surface of the first insulating film on a side on which the second insulating film is to be deposited, and
      • in the interconnect of the interconnect layer relatively far from the main surface of the semiconductor substrate among the plurality of interconnect layers, a first surface level of the first and second conductor films is formed to be substantially equal to a second surface level of the first insulating film.
  • (16) A semiconductor device having a plurality of interconnect layers formed over a semiconductor substrate, at least two of the plurality of interconnect layers comprising:
      • (a) an interconnect opening formed in a first insulating film,
      • (b) an interconnect formed by embedding the interconnect opening with a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper, and having a step difference between a first surface of the first and second conductor films on a side on which a second insulating film is to be deposited and a second surface of the first insulating film on a side on which the second insulating film is to be deposited, and
      • (c) the second insulating film deposited over the first insulating film and the interconnect, wherein:
      • the step difference in the interconnect of the interconnect layer relatively near the main surface of the semiconductor substrate among the plurality of interconnect layers is greater than that in the interconnect of the interconnect layer relatively far from the main surface of the semiconductor substrate among the plurality of interconnect layers.
  • (16-1) A semiconductor as described above in (16), wherein the step difference is formed by indenting the first surface of the first and second conductor films relative to the second surface of the first insulating film in a direction of the main surface of the semiconductor substrate.
  • (16-2) A semiconductor device as described above in (16), wherein the step difference is formed by causing the first surface of the first and second conductor films to protrude relative to the second surface of the first insulating film in a direction far from the main surface of the semiconductor substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a plane view of a sample used for measuring a TDDB life of the embodiment of the present invention;
  • FIG. 2 is a cross-sectional view taken along a line B-B′ of FIG. 1;
  • FIG. 3 is a cross-sectional view taken along a line C-C′ of FIG. 1;
  • FIG. 4 is a schematic view illustrating the outline of the measurement when the sample of FIG. 1 is used;
  • FIG. 5 is a flowchart of a manufacturing step of a semiconductor device according to one embodiment of the present invention;
  • FIG. 6 is a fragmentary plane view of a semiconductor substrate during its manufacturing step according to one embodiment of the present invention;
  • FIG. 7 is a cross-sectional view taken along a line X1-X1 of FIG. 6;
  • FIG. 8 is a cross-sectional view of a portion corresponding to the line X1-X1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 7;
  • FIG. 9 is a cross-sectional view of the portion corresponding to the line X1-X1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 8;
  • FIG. 10 is a cross-sectional view of the portion corresponding to the line X1-X1 of FIG. 5 in the manufacturing process of a semiconductor device following FIG. 9;
  • FIG. 11 is a cross-sectional view of the portion corresponding to the line X1-X1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 10;
  • FIG. 12 is a fragmentary enlarged cross-sectional view of FIG. 11;
  • FIG. 13 is a cross-sectional view of the portion corresponding to the line X1-X1 of FIG. 5 in the manufacturing step of a semiconductor device following FIGS. 11 and 12;
  • FIG. 14 is a cross-sectional view of the portion corresponding to the line X1-X1 of FIG. 5 in the manufacturing step of a semiconductor device following FIG. 13;
  • FIG. 15 is a cross-sectional view of the portion corresponding to the line X1-X1 of FIG. 5 in the manufacturing process of a semiconductor device following FIG. 14;
  • FIG. 16 is a fragmentary plane view of the semiconductor device during its manufacturing step following FIG. 15;
  • FIG. 17 is a cross-sectional view taken along a line X2-X2 of FIG. 16;
  • FIG. 18 is a fragmentary enlarged cross-sectional view of FIG. 17;
  • FIG. 19 is a flowchart of the manufacturing step of a semiconductor device according to another embodiment of the present invention;
  • FIG. 20 is a fragmentary cross-sectional view of a semiconductor substrate during the manufacturing step according to a further embodiment of the present invention;
  • FIG. 21 is a fragmentary cross-sectional view of a semiconductor substrate during the manufacturing step according to a still further embodiment of the present invention;
  • FIG. 22 is a fragmentary cross-sectional view of a semiconductor substrate during the manufacturing step according to a still further embodiment of the present invention;
  • FIG. 23 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention;
  • FIG. 24 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention;
  • FIG. 26 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention; and
  • FIG. 27 is a fragmentary cross-sectional view schematically illustrating a semiconductor device according to a still further embodiment of the present invention;
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Prior to the detailed description of the invention of this application, the meaning of each of the terms used in this embodiment will next be described.
  • 1. The “TDDB (Time Dependence on Dielectric Breakdown) life” as used herein is a measure for objectively measuring time dependency of dielectric breakdown and the term means time (lifetime) determined by applying a relatively high voltage between electrodes under measuring conditions of a predetermined temperature (ex. 140° C.), drawing a graph wherein time from application of voltage to dielectric breakdown is plotted against applied electric field, and extrapolating the practical electric field strength (ex. 0.2 MV/cm) in the graph.
  • FIGS. 1 to 3 each illustrates a sample used in the present application for the measurement of the TDDB life, wherein FIG. 1 is a plane view, and FIGS. 2 and 3 are cross-sections taken along lines B-B′ and C-C′ of FIG. 1, respectively. This sample can be formed practically in a TEG (Test Equipment Group) region of a wafer. As illustrated, a pair of comb-like interconnects L are formed in a second-level interconnect M2 and are connected with pads P1, P2 of the uppermost layer, respectively. An electric current is measured by applying an electric field between these comb-like interconnects L. The pads 1,2 are measuring terminals. The width of the comb-like interconnect L, distance between any two adjacent interconnects and its thickness are each 0.5 μm. The facing length of the interconnect is formed to 1.58×105 μm.
  • FIG. 4 is a schematic view illustrating the outline of measurement. The sample is supported on a measuring stage S and a current-voltage measuring apparatus (I/V measuring apparatus) is connected between the pads P1 and P2. The sample stage S is heated by a heater H to adjust the temperature of the sample to 140° C. Although TDDB life is measured by either one of the constant voltage stress method and low current stress method, the former one wherein an average electric field applied to an insulating film shows a fixed value is employed in the present application. After application of voltage, the current density decreases with the passage of time and then, a drastic increase in the current (dielectric breakdown) is observed. Here, the time until the leak current density reaches 1 μA/cm2 is designated as TDDB life (the TDDB life at 5 MV/cm). The term “TDDB” as used herein means the breakdown time (lifetime) at 0.2 MV/cm unless otherwise specifically referred to, but in a broader sense, it is sometimes used as a time until breakdown at a preliminarily designated electric field strength. Unless otherwise specifically described, the TDDB life means that at the sample temperature of 140° C. The TDDB life is measured using the above-described comb-like interconnects L, but it is needless to say that it reflects the breakdown lifetime between actual interconnects.
  • 2. The term “plasma treatment” as used herein means treatment of exposing the surface of a substrate or, when a member such as insulating film or metal film is formed on the substrate, the surface of the member to the circumstance under plasma condition and giving chemical or mechanical (bombardment) action of the plasma to the surface. Plasma is usually formed by, while supplementing a specific gas (treatment gas) as needed in a reaction chamber purged with the gas, ionizing the gas by the action of high-frequency electric field or the like. In practice, however, it is impossible to completely purge the chamber with the treatment gas. In the below-described embodiment, therefore, the term “ammonia plasma” does not indicate complete ammonia plasma and existence of impurity gases (nitrogen, oxygen, carbon dioxide, water vapor and/or the like) contained in the plasma is permitted. It is needless to say that the plasma may contain another gas such as diluting gas or additive gas.
  • 3. The term “plasma of reducing atmosphere (reducing plasma)” as used herein means the plasma circumstance wherein reactive radicals, ions, atoms or molecules having reducing action, that is, oxygen pulling action, predominantly exist. Radicals and ions contain atomic or molecular radicals and ions. In the plasma circumstance, not only single reactive one but also plural reactive ones may be contained. For example, a hydrogen radical and NH3 radical may coexist in the circumstance.
  • 4. The term “made of copper” as used herein means that copper is used as a main component. High-purity copper inevitably contains impurities so that a member made of copper is permitted to contain additives or impurities. This will equally apply to, not only copper, but also another metal (titanium nitride, or the like).
  • 5. The term “chemical mechanical polishing (CMP)” usually means polishing of a surface to be polished by relatively moving a polishing pad, which is made of a relatively soft cloth-like sheet material, in a surface direction under the condition brought into contact with the polishing pad, while supplying a slurry. Embodiments of the present invention embrace, in addition, CML (Chemical Mechanical Lapping) wherein polishing is conducted by moving a surface to be polished relative to the surface of a hard abrasive, polishing using a fixed abrasive and abrasive free CMP which does not use an abrasive.
  • 6. The term “abrasive-free chemical mechanical polishing” means chemical mechanical polishing using a slurry having a weight concentration of abrasive grains less than 0.5%, while the term “abrasive-using chemical mechanical polishing” means chemical mechanical polishing using a slurry having a weight concentration of abrasive grains exceeding 0.5%. They are however relative naming. In the case where abrasive-using chemical mechanical polishing is conducted both in the first and the second steps, the polishing in the first step is sometimes called abrasive-free chemical mechanical polishing if the polishing concentration of the first step is smaller by at least single digit, desirably at least double digits, than that of the second step. The term “abrasive-free chemical mechanical polishing” as used herein embraces, as well as abrasive-free chemical mechanical polishing conducted for the whole unit planarization process of a metal film to be polished, use, in combination, of abrasive-free chemical mechanical polishing for the main process and abrasive-using chemical mechanical polishing for a secondary process.
  • 7. The term “polishing liquid (slurry)” usually means a suspension obtained by mixing abrasive grains in a chemical etchant, but in this application, it embraces a polishing liquid free of abrasive grains in consideration of the characteristics of the present invention.
  • 8. The term “anticorrosive” means a chemical for preventing or suppressing the progress of polishing by CMP by forming an anticorrosive and/or hydrophobic protecting film on the metal surface and benzotriazole (BTA) is usually employed as the chemical (refer to Japanese Patent Application Laid-Open No. 64594/1996, for further details).
  • 9. The term “scratch-free” as used herein means the state under which no defect exceeding a predetermined size is detected over the whole wafer surface to be polished by the above-described CMP or within a predetermined unit area. The “predetermined size” differs, depending on the generation or kind of a semiconductor device. In the embodiments of the present invention, however, it is determined that in an in-line comparison test on defects, defects of 0.3 μm or greater are not detected within a wafer polished surface of 200 mm in diameter.
  • 10. The term “conductive barrier film” usually means a conductive film formed relatively thin on the side surfaces or bottom surface of an inlaid interconnect and having diffusion barrier properties, thereby preventing diffusion of copper into an interlayer insulating film or underlying layer. Refractory metals such as titanium (Ti) and tantalum (Ta), and refractory metal nitrides such as titanium nitride (TiN) and tantalum nitride (TaN) are usually employed for it.
  • 11. The term “inlaid interconnect or inlaid metal interconnect” usually means an interconnect patterned by a metallization technique such as single damascene or dual damascene technique, more specifically, an interconnect formed by embedding a conductive film inside of an interconnect opening such as trench or hole in an insulating film and then removing unnecessary conductive films over the insulating film. Usually, the term “single damascene” means an inlaid interconnect forming process by which a plug metal and an interconnect metal are embedded in two steps, while the term “dual damascene” means an inlaid interconnect forming process by which a plug metal and an interconnect metal are embedded simultaneously. A copper inlaid interconnect tends to be used as a multilayer structure.
  • 12. The term “semiconductor device” as used herein means not only those particularly fabricated over a single crystal silicon substrate but also those fabricated on the other substrate such as SOI (Silicon On Insulator) substrate or a substrate for producing TFT (Thin Film Transistor) liquid crystal, unless otherwise specifically described.
  • 13. The term “wafer” means silicon or the other semiconductor single crystal substrate (generally, nearly in a flat disk form, semiconductor wafer) used in the manufacture of semiconductor integrated circuits, sapphire substrate, glass substrate, other insulation or semi-insulation, semiconductor substrate or a combined substrate thereof.
  • 14. The term “semiconductor integrated circuit chip or semiconductor chip (which will hereinafter be called “chip” simply) means a wafer completed of wafer process (or preliminary step) and divided into unit circuit groups.
  • 15. The term “silicon nitride or a silicon nitride film” means not only Si3N4 but also an insulating film containing a nitride of silicon and having a similar composition.
  • 16. The term “low dielectric constant insulating film (Low-K insulating film)” as used herein means, for example, an insulating film having a dielectric constant lower than that of a silicon oxide film (for example, TEOS (Tetraethoxysilane)oxide film) contained in a passivation film. A TEOS oxide film having a specific dielectric constant ε not greater than about 4.1 or 4.2 is called a low dielectric constant insulating film.
  • In the below-described embodiments, a description will be made after divided in plural sections or in plural embodiments if necessary for convenience's sake. These plural sections or embodiments are not independent each other, but in a relation such that one is a modification example, details or complementary description of a part or whole of the other one unless otherwise specifically indicated.
  • In the below-described embodiments, when a reference is made to the number of elements (including the number, value, amount and range), the number of elements is not limited to a specific number but can be not greater than or less than the specific number unless otherwise specifically indicated or in the case it is principally apparent that the number is limited to the specific number.
  • Moreover in the below-described embodiments, it is needless to say that the constituting elements (including element steps) are not always essential unless otherwise specifically indicated or in the case where it is principally apparent that they are essential.
  • Similarly, in the below-described embodiments, when a reference is made to the shape or positional relationship of the constituting elements, that substantially analogous or similar to it is also embraced. This also applies to the above-described value and range.
  • In all the drawings for describing the embodiments, like members of a function will be identified by like reference numerals and overlapping descriptions will be omitted.
  • In the drawings used in the embodiments of the present invention, hatching is sometimes added to facilitate understanding of even a plane view.
  • In the embodiments of the present invention, MIS•FET (Metal Insulator Semiconductor Field Effect Transistor) representing a field effect transistor is abbreviated as MIS, a p channel type MIS•FET is abbreviated as pMIS and an n channel type MIS•FET is abbreviated as nMIS.
  • The embodiments of the present invention will next be described specifically based on accompanying drawings.
  • Embodiment 1
  • In this Embodiment, based on FIGS. 6 to 18 in accordance with the production flowchart of FIG. 5, explanation is made on the case where the technical idea of the present invention is applied to a manufacturing method of a CMIS (Complimentary MIS)-LSI (Large Scale Integrated Circuit). The step encompassed with a dotted line in FIG. 5 shows the treatment in the same treating chamber.
  • FIG. 6 is a fragmentary plane view of a CMIS-LSI during its manufacturing step and FIG. 7 is a cross-sectional view taken along a line X1-X1 of FIG. 6. A semiconductor substrate 1 (which will hereinafter be called substrate” simply) constituting a wafer 1W is made of p-type single crystal silicon having a specific resistance of about 1 to 10 Ωcm. The semiconductor substrate 1 has, on the main surface (surface on which a device is to be formed) thereof, an isolating trench (SGI (Shallow Groove Isolation) or STI (Shallow Trench Isolation)) 2. This isolation trench 2 is formed by embedding, for example, a silicon oxide film in a trench formed on the main surface of the substrate 1S. Also on the main surface side of the substrate 1S, a p type well PWL and an n type well NWL are formed. To the p type well PWL, boron is introduced, while to the n type well NWL, phosphorus is introduced. In the active regions of the p type well PWL and n type well NWL encompassed by the isolation trench 2, nMISQn and pMISQp are formed, respectively.
  • The gate insulating film 3 of each of the nMISQn and the pMISQn has a thickness of about 6 nm. The term “film thickness” as used herein means film thickness in terms of silicon dioxide, which does not always coincide with the actual film thickness. The gate insulating film 3 may be formed of a silicon oxynitride film instead of the silicon oxide film. In other words, segregation of nitrogen may be caused at the interface between the gate insulating film 3 and the substrate 1S. By using a silicon oxynitride film, the gate insulating film 3 is able to have improved hot carrier resistance, leading to an improvement in dielectric breakdown strength. Moreover, a silicon oxynitride film does not permit easy penetration of impurities compared with the silicon oxide film so that use of the former makes it possible to suppress fluctuations of a threshold voltage resulting from diffusion, to the side of the substrate 1S, of impurities in a gate electrode material. This silicon oxynitride film may be formed, for example, by heat treating the substrate 1S in an atmosphere containing a nitrogen gas such as NO, NO2 or NH3.
  • The gate electrode 4 of each of nMISQn and pMISQp is formed by stacking, over a low-resistance polycrystalline silicon film, a titanium suicide (TiSix) layer or a cobalt suicide (CoSix) layer. The gate electrode structure is not limited to the above-described one, and it may be a so-called polymetal gate structure formed of a laminate film of a low-resistance polycrystalline silicon film, a WN (tungsten nitride) film and a W (tungsten) film. On both side surfaces of the gate electrode 4, a side wall 5 made of silicon oxide is formed.
  • The semiconductor regions 6 for the source and drain of nMISQn have an n type semiconductor region adjacent to a channel and an n+ type semiconductor region connected to the n type semiconductor region and disposed at a position apart from the channel by the space of the n type semiconductor region. Into the n type semiconductor region and n+ type semiconductor region, phosphorus or arsenic is introduced. On the other hand, the semiconductor regions 7 for the source and drain of pMISQp have a p type semiconductor region adjacent to the channel and a p+ type semiconductor region connected to the p type semiconductor region and disposed at a position apart from the channel by the space of the p type semiconductor region. Into the p type semiconductor region and p+ type semiconductor region, boron is introduced. On a part of the upper surface of these semiconductor regions 6,7, a silicide layer such as titanium silicide layer or cobalt silicide layer is formed.
  • An insulating film 8 is deposited over such a substrate 1S. This insulating film 8 is made of a film, for example, BPSG (Boron-doped Phospho Silicage Glass) film, having reflow properties high enough to fill therewith a narrow space in the gate electrodes 4,4. The insulating film 8 may be an SOG (Spin On Glass) film formed by spin coating. The insulating film 13 has a contact hole formed therein. From the bottom of the contact hole 9, the upper surface (surface of a silicide layer) of the semiconductor region 6 or 7 is partially exposed. In this contact hole 9, a plug 10 is formed. This plug 10 is formed by depositing a titanium nitride (TiN) film and a tungsten (W) film over the insulating film 8 including the insides of the contact hole 9 by CVD and removing the unnecessary titanium nitride film and tungsten film over the insulating film 8 by CMP or etchback, thereby leaving these films only inside of the contact hole 9.
  • A first-level interconnect L1 made, for example, of tungsten is formed on the insulating film 8. The first-level interconnect L1 is electrically connected to the semiconductor region 6 or 7 for source/drain or gate electrode 4 of the nMISQn or pMISQp through the plug 10. As the first-level interconnect L1, not only a tungsten film but also but various films are usable. For example, a film of a simple substance such as aluminum (Al) or aluminum alloy, or a laminated metal film formed by using a metal film such as titanium (Ti) or titanium nitride (TiN) as the upper or lower layer of the above-described film of a simple substance.
  • Over the insulating film 8, an insulating film 11 a is deposited to cover the first-level interconnect L1. This insulating film 11 a is made of a low dielectric constant material (so-called Low-K insulating film, Low-K material) such as organic polymer or organic silica glass. Examples of the organic polymer include “SiLK” (product of The Dow Chemical CO./USA, specific dielectric constant: 2.7, heat resistant temperature: 490° C. or greater, dielectric breakdown strength: 4.0 to 5.0 MV/Vm) and “FLARE”, a polyallyl ether (PAE) series material (product of Honeywell Electronic Materials/USA, specific dielectric constant: 2.8, heat resistant temperature: 400° C. or greater). This PAE material features high basic performance and excellent mechanical strength, thermal stability and cost performance. Examples of the organic silica glass (SiOC material) include “HSG-R7” (product of Hitachi Chemical Co., Ltd., specific dielectric constant: 2.8, heat resistant temperature: 650° C.), “Black Diamond” (product of Applied Materials, Inc./USA, specific dielectric constant: 3.0 to 2.4, heat resistant temperature: 450° C.) and “p-MTES” (product of Hitachi Kaihatsu, specific dielectric constant: 3.2). In addition, “CORAL” (product of Novellus Systems, Inc./USA, specific dielectric constant: 2.7 to 2.4, heat resistant temperature: 500° C.) and “Aurora 2.7” (product of Nippon ASM, specific dielectric constant: 2.7, heat resistant temperature: 450° C.) can be given as examples of another SiOC series material.
  • Also usable as the low dielectric constant material for the insulating film 11 a are FSG (SiOF series material), HSQ (hydrogen silsesquioxane) series materials, MSQ (methyl silsesquioxane) series materials, porous HSQ series materials, porous MSQ series materials and porous organic materials. Specific examples of the HSQ series materials include “OCD T-12” (product of Tokyo Ohka Kogyo Co., Ltd., specific dielectric constant: 3.4 to 2.9, heat resistant temperature 450° C.), “FOx” (product of Dow Corning Corp./USA, specific dielectric constant: 2.9) and “OCL T-32” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.5, heat resistant temperature: 450° C.). Examples of the MSQ series materials include “OCD T-9” (product of Tokyo Ohka Kogyo; specific dielectric constant: 2.7, heat resistant temperature: 600° C.), “LKD-T200” (product of JSR, specific dielectric constant: 2.7 to 2.5, heat resistant temperature: 450° C.), “HOSP” (product of Honeywell Electronic Materials/USA, specific dielectric constant: 2.5, heat resistant temperature: 550° C.), “HSG-RZ25” (product of Hitachi Chemical, specific dielectric constant: 2.5, heat resistant temperature: 650° C.), “OCL T-31” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.3, heat resistant temperature: 500° C.) and “LKD-T400” (product of JSR, specific dielectric constant: 2.2 to 2, heat resistant temperature: 450° C.). Examples of the porous HSQ series material include “XLK” (product of Dow Corning Corp/USA, specific dielectric constant: 2.5 to 2), “OCL T-72” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.2 to 1.9, heat resistant temperature: 450° C.), “Nanoglass” (product of Honeywell Electronic Materials/USA, specific dielectric constant: 2.2 to 1.8, heat resistant temperature: 500° C. or greater) and “MesoELK” (product of Air Products and Chemicals, Inc/USA, specific dielectric constant: 2 or less). Examples of the porous MSQ series material include “HSG-6211X” (product of Hitachi Chemical, specific dielectric constant: 2.4, heat resistant temperature: 650° C.), “ALCAP-S” (product of Asahi Kasei Kogyo, specific dielectric constant: 2.3 to 1.8, heat resistant temperature: 450° C.), “OCL T-77” (product of Tokyo Ohka Kogyo, specific dielectric constant: 2.2 to 1.9, heat resistant temperature: 600° C.), “HSG-6210X” (product of Hitachi Chemical, specific dielectric constant: 2.1, heat resistant temperature: 650° C.), and “silica aerogel” (product of Kobe Steel, specific dielectric constant: 1.4 to 1.1). Examples of the porous organic material include “PolyELK” (product of Air Products and Chemicals, Inc/USA, specific dielectric constant: 2 or less, heat resistant temperature: 490° C.). The above-described SiOC series materials or SiOF series materials are formed, for example, by CVD (Chemical Vapor Deposition). For example, the above-described “Black Diamond” is formed by CVD using a gas mixture of trimethylsilane and oxygen, while the above-described “p-MTES” is formed by CVD using a gas mixture of methyltriethoxysilane and N2O. The other low dielectric constant insulating materials are formed, for example, by coating method.
  • Over the insulating film 11 a made of such a Low-K material, an insulating film 12 a for Low-K capping is deposited. This insulating film 12 a is made of, for example, a silicon oxide (SiOx) film typified by silicon dioxide (SiO2) and has a function of maintaining the mechanical strength, protecting the surface and maintaining the moisture resistance of the insulating film 11 a upon chemical mechanical polishing (CMP). The insulating film 12 a is thinner than the insulating film 11 a and its thickness is, for example, about 25 to 100 nm, preferably about 50 nm. The insulating film 12 a is not limited to a silicon oxide film and various films are usable for it. For example, a silicon nitride (SixNy) film, silicon carbide (SiC) film or silicon carbonitride (SiCN) film is usable. These silicon nitride film, silicon carbide film and silicon carbonitride film can be formed, for example, by plasma CVD. “BLOk” (product of AMAT, specific dielectric constant: 4.3) is a silicon carbide film formed by plasma CVD. For its formation, a gas mixture of trimethylsilane and helium (or N2, or NH3) is employed. In these insulating films 11 a and 12 a, a through-hole 13 from which a part of the first-level interconnect L1 is exposed is made. In this through-hole 13, a plug 14 made of, for example, tungsten is embedded.
  • First, in this embodiment, an insulating film 15 a is deposited by plasma CVD over the above-described insulating film 12 a and plug 14. This insulating film 15 a is made of, for example, a silicon nitride film formed by plasma CVD and its film thickness is, for example, about 25 to 50 nm, preferably about 50 nm. Additional examples of the insulating film 15 a may include films of a single substance such as a silicon carbide film formed by plasma CVD, an SiCN film formed by plasma CVD, and a silicon oxynitride (SiON) film formed by plasma CVD. Use of these films can drastically lower a dielectric constant compared with the use of a silicon nitride film, thereby lowering an interconnect capacitance and improving the operation speed of a semiconductor device. As the silicon carbide film formed by plasma CVD, “BLOk” (product of AMAT) can be given as one example. The gas used for film formation is as described above. For the formation of the SiCN film, a gas mixture of helium (He), ammonia (NH3) and trimethylsilane (3MS) is used. As the silicon oxynitride film formed by plasma CVD, “PE-TMS” (product of Canon, specific dielectric constant: 3.9) can be given as an example. When the above-described silicon oxynitride film is employed, the film thickness is, for example, 25 nm to 50 nm, preferably about 50 nm. For its formation, a gas mixture of trimethoxysilane (TMS) and nitrogen oxide (N2O) is used.
  • Subsequently, an insulating film (first insulating film) 11 b and then an insulating film 12 b are deposited on the insulating film 15 a successively. The insulating film 11 b and the insulating film 11 a are made of insulating films equal in a dielectric constant. The insulating film 12 b over the insulating film 11 b is made of the same insulating film as used for the insulating film 12 a and similar thereto, it functions as a Low-K capping insulating film. With a photoresist film as a mask, the insulating films 11 b,12 b are preferentially removed by dry etching, whereby an interconnect trench (interconnect opening) 16 a is formed (Step 100 in FIG. 5). The interconnect trench 16 a is formed by adjusting the etching selectivity of the insulating films 11 b,12 b greater than that of the insulating film 15 a, thereby imparting the insulating film 15 a with a function of an etching stopper. Described specifically, etching is stopped once on the surface of the insulating film 15 a and then, the insulating film 15 a is removed by selective etching. This makes it possible to improve the precision of the depth upon formation of the interconnect trench 16 a and excessive etching of the interconnect trench 16 a can be prevented. Such an interconnect trench 16 a has, as shown in FIG. 6, a strip-like plane shape and from the bottom of the interconnect trench 16 a, the upper surface of the plug 14 is exposed.
  • FIG. 8 is a fragmentary cross-sectional view of a portion of the semiconductor device corresponding to a line X1-X1 of FIG. 6 in its manufacturing step following FIG. 7, while FIG. 9 is a fragmentary cross-sectional view of a portion of the semiconductor device corresponding to a line X1-X1 of FIG. 6 in its manufacturing step following FIG. 8.
  • As illustrated in FIG. 8, a thin conductive barrier film (first conductor film) 17 a made of, for example, titanium nitride (TiN) and having a thickness of about 50 nm is deposited all over the main surface of the substrate 1S by sputtering or the like method (Step 101 in FIG. 5). This conductive barrier film 17 a has a function of preventing diffusion of copper used for the formation of a conductor film which will be described later, a function of improving adhesion of the main conductor film to the insulating films 11 b, 12 a, 12 b and 15 a, and a function of improving wetness with copper upon reflow of the main conductor film. As such a conductive barrier film 17 a, use of, instead of titanium nitride, a refractory metal nitride such as tungsten nitride (WN) or tantalum nitride (TaN) which is a material almost unreactive with copper is preferred. In place of the titanium nitride, usable is a material of a refractory metal nitride added with silicon (Si) or a refractory metal such as tantalum (Ta), titanium (Ti), tungsten (W), titanium tungsten (TiW) alloy which does not easily react with copper. According to Embodiment 1, good TDDB characteristics are available even if the thickness of the conductive barrier film 17 a is decreased to, for example, 10 nm, or to 6 to 7 nm, or even to 5 nm or less.
  • Subsequently, a main conductor film (second conductor film) 18a made of copper which is relatively thick and has a thickness of, for example, about 800 to 1600 nm is deposited over the conductive barrier film 17 a (step 101 in FIG. 5). In this embodiment 1, the main conductor film 18 a is formed, for example, by plating method. The use of plating method makes it possible to form, at a low cost, the main conductor film 18 a having a good film quality and good filling property. In this case, a thin conductor film made of copper is first deposited on the conductive barrier film 17 a by sputtering, and then a comparatively thick conductor film made of copper is grown thereover by electroplating or electroless plating, whereby the main conductor film 22 a is deposited. For plating, a plating solution having copper sulfate as a main component is used. Alternatively, the main conductor film 18 a can be formed by sputtering. Upon sputtering for the formation of the conductive barrier film 17 a and conductor film 18 a, ordinarily-employed sputtering is usable, but sputtering with high directivity such as long throw sputtering or collimate sputtering is preferably employed in order to improve the filling property and film quality. The main conductor film 18 a can also be formed by CVD. Then, reflow of the conductor film 18 a is caused by heat treatment of the substrate 1S in a nonoxidizing atmosphere (for example, a hydrogen atmosphere) at about 475° C., whereby copper is filled closely in the interconnect trench 16 a.
  • Next, the main conductor film 18 a and conductive barrier film 17 a are polished by CMP (step 102 in FIG. 5). Ordinarily employed abrasive-using CMP treatment can be adopted here, but in Embodiment 1, CMP comprising two steps, that is, the above-described abrasive-free CMP (first step) and abrasive-using CMP (second step) is employed. This CMP treatment will next be described more specifically.
  • The first step is aims at preferential polishing of the main conductor film 18 a made of copper. The polishing solution (slurry) contains an anticorrosive for the formation of a protective film, an oxidizing agent of copper and an etching component of the oxide film of copper, but is substantially free of an abrasive. The abrasive content of the polishing solution is 0.5 wt. % or less, preferably 0.1 wt. % or less, especially 0.05 wt. % or less, still more preferably 0.01 wt. % or less. The abrasive can however be incorporated in an amount of about 3 to 4% based on the total amount of the polishing agent. As the polishing solution, that adjusted to a pH belong to the corrosion area of copper is used. In addition, it is adjusted to have a composition so that the polishing selectivity of the main conductor film 18 a relative to the conductive barrier film 17 a is, for example, at least 5. As such a polishing solution, a slurry containing an oxidizing agent and an organic acid can be given as an example. Examples of the oxidizing agent include hydrogen peroxide (H2O2), ammonium hydroxide, ammonium nitrate and ammonium chloride, while those of the organic acid include citric acid, malonic acid, fumaric acid, malic acid, adipic acid, benzoic acid, phthalic acid, tartaric acid, lactic acid, succinic acid and oxalic acid. Of these, hydrogen peroxide is an oxidizing agent suited for the polishing solution, because it is free of a metal component and is not a strong acid. Citric acid is an organic acid suitable for the polishing solution, because it is generally used as a food additive, has low toxicity, is not so harmful as a waste, does not emit an odor and has high solubility in water. In this embodiment, used is a polishing solution obtained by adding 5 vol. % of hydrogen peroxide and 0.03 wt. % of citric acid to pure water, thereby adjusting an abrasive content to less than 0.01 wt. %. As an anticorrosive, BTA is, for example, employed.
  • The abrasive-free CMP of the first step polishes the main conductor film 18 a mainly by a chemical factor while causing both protecting action and etching action of the main conductor film 18 a. When chemical mechanical polishing with the above-described polishing solution is conducted, the copper surface is first oxidized by an oxidizing agent and a thin oxide layer is formed on its surface. Then, a substance which water-solubilizes the oxide, whereby the oxide layer is dissolved and appears as an aqueous solution. The thickness of the oxide layer decreases. A thinned portion of the oxide layer is exposed to the oxidizing substance again and increases its thickness. Chemical mechanical polishing proceeds as the above-described reaction is repeated. Removal of the protecting film is effected by the contact with a polishing pad. Such chemical mechanical polishing with an abrasive-free polishing solution is described specifically in Japanese Patent Application Nos. 299937/1997 and 317233/1998 applied by the inventors of the present application.
  • The second step subsequent to the first step is aimed at preferential polishing of the conductive barrier film 17 a. This second step polishes the conductive barrier film 17 a mainly by a mechanical factor by the contact with the polishing pad. The polishing solution usable here contains the above-described anticorrosive, the above-described oxidizing agent, and an etching component of an oxide film and in addition, an abrasive. In Embodiment 1, a polishing solution obtained by adding, to pure water, 5 vol. % of hydrogen peroxide, 0.03 wt. % of citric acid and 0.5 to 0.8 wt. % of an abrasive is used, but not limited thereto. The amount of the abrasive is determined mainly so as not to etch the underlying insulating film 12 b. Its amount is set at, for example, 1 wt. % or less. As the abrasive, for example, colloidal silica (SiO2) is used. Use of colloidal silica can drastically reduce the CMP damage on the polished surface of the insulating film 12 b, thereby actualizing scratch-free polishing. Instead of colloidal silica, alumina (Al2O3) is usable as the abrasive. In this second step, the amount of the oxidizing agent is reduced compared with that in the first step, meaning a relative increase in the amount of an anticorrosive in the polishing solution. Polishing is conducted under the conditions in which polishing selectivity of the main conductor film 18 a relative to the conductive barrier film 17 a is lower than that in the above-described abrasive-free CMP, for example, at selectivity of 3 or less. Polishing under such conditions in the second step can reinforce protection of the main conductor film 18 a made of copper while suppressing its oxidation, making it possible to prevent excessive polishing of the main conductor film 18 a and suppress or prevent dishing or erosion of it. As a result, an increase or fluctuations of an interconnect resistance can be suppressed or prevented, leading to an improvement in the performance of a semiconductor device.
  • By such CMP treatment, an inlaid second-level interconnect (interconnect) L2 is formed in the interconnect trench 16 a as illustrated in FIG. 9. The inlaid second-level interconnect L2 has a relatively thin conductive barrier film 17 a and a relatively thick main conductor film 18 a and it is electrically connected with the first-level interconnect L1 through the plug 14. According to this Embodiment 1, use of the above-described CMP for polishing for the formation of the inlaid second-level interconnect L2 can drastically reduce the CMP damage on the polished surface of the insulating film 12 b, actualizing the above-described scratch-free polishing. In the above-described example, the insulation-capping insulating film 12 b is disposed over the insulating film 11 b made of a Low-K material. CMP according to this Embodiment can actualize scratch-free polishing so that the insulation-capping insulating film (for example, insulating film 12 b) is not necessarily disposed. In short, the insulating film 11 b may be exposed to CMP. In Japanese Patent Application No. 2001-316557 (filed on Oct. 15, 2001) applied by the inventors of the present application and etc., the Low-K barrierless technique is disclosed.
  • The surface of the substrate 1S after polishing is then, subjected to anticorrosion treatment. This anticorrosion treatment section is similar in the constitution to the polishing treatment section. In this anticorrosion treatment section, the main surface of the substrate 1 s is pressed against a polishing pad attached onto the surface of a platen. After mechanical removal of the polishing slurry, a chemical solution containing an anticorrosive such as benzotriazole (BTA) is fed to the main surface of the substrate 1S, whereby a hydrophobic protective film is formed on the surface portion of a copper interconnect formed on the main surface of the substrate 1S. The substrate 1S after anticorrosion treatment is stored temporarily in an immersion treatment section in order to prevent the surface from drying. This immersion treatment section maintains the surface of the resulting anticorrosive substrate 1S wet until post-cleaning. For example, this section is designed to store a predetermined number of substrates 1S in the form immersed in an immersion tank (storage tank) overflowing with pure water. At this time, the inlaid second-level interconnect L2 can be prevented from corrosion more surely by feeding the immersion tank with pure water cooled to a temperature low enough to substantially prevent progress of electrochemical corrosion reaction of the inlaid second-level interconnect L2. The method of preventing the substrate from drying is not limited to the above-described method, that is, storage in the immersion tank but, insofar as at least the surface of the substrate 1S can be maintained wet, another method, for example, feeding the substrate with pure-water shower can be employed. This immersion treatment section (substrate storage section) may be designed to have a light-blocking structure to prevent exposure of the surface of the substrate 1S during storage to an illuminated light. This makes it possible to avoid generation of a short-circuit current due to photovoltaic effect. The description of such a CMP treatment and apparatus can be found, for example, in Japanese Patent Application Nos. 226876/1999 and 2000-300853 applied by the present inventors.
  • Then, a post-CMP cleaning step is conducted while keeping the surface of the substrate 1S wet. First, the substrate 1S is subjected to alkali cleaning. This treatment is aimed at removing foreign matter such as slurry upon CMP treatment. The acid slurry adhered to the substrate 1S during CMP is neutralized to arrange, in one direction, the zeta potentials of the substrate 1, foreign matter and cleaning brush. In order to eliminate an adsorbing force acted among them, scrub cleaning (or brush cleaning) of the surface of the substrate 1 is conducted while supplying thereto a weak alkali agent solution, for example, of nearly pH 8 or greater.
  • FIG. 10 is a cross-sectional view of a portion of the semiconductor device corresponding to the line X1-X1 of FIG. 5 during its manufacturing step following FIG. 9. Here, the substrate 1S (particularly the CMP surface from which the inlaid second-level interconnect L2 is exposed) is subjected to reducing treatment. Described specifically, the substrate 1S (particularly, the CMP surface) is heat treated, for example, in a hydrogen gas atmosphere at 200 to 475° C., preferably 300° C., for 0.5 to 5 minutes, preferably about 2 minutes (hydrogen (H2) annealing treatment, Step 103 in FIG. 5). This treatment makes it possible to reduce, to copper, the copper oxide film on the surface of the inlaid second-level interconnect L2 formed upon CMP, thereby suppressing or preventing the etching of the inlaid second-level interconnect L2 which will otherwise occur by the subsequent acid cleaning. As a result, it is possible to suppress or prevent simultaneously an increase in an interconnect resistance, fluctuations in an interconnect resistance and the occurrence of a step difference and also the occurrence of etch corrosion. Without this reducing treatment, an organic matter such as BTA adhered to the surface of the substrate 1S upon CMP treatment may become a mask upon cleaning and disturb smooth etching of the surface layer of the insulating film 12 b. However, by the reducing treatment as in Embodiment 1, an organic matter such as BTA adhered upon CMP can be removed so that the surface layer of the insulating film 12 b can be removed sufficiently and uniformly. The TDDB life of the semiconductor device can be improved markedly by this reducing treatment. The above-described hydrogen annealing is particularly suited for use in the formation, by plating, of a copper-series main conductor film for inlaid interconnect. Such hydrogen annealing treatment can cause recrystallization of copper formed by plating so that an interconnect resistance can be reduced. In addition, hydrogen annealing treatment can suppress or prevent peeling of a capping film due to heat stress. In some cases, however, hydrogen annealing treatment is unnecessary. This hydrogen annealing treatment may be conducted after post-CMP cleaning treatment (including acid cleaning and drying which will be described later) but prior to reducing plasma treatment which will be described later.
  • Acid cleaning of the substrate 1S is then conducted. Its is aimed at improving the TDDB characteristics, removing the remaining metal, decreasing the dangling bonds on the surface of the insulating film 12 b, removing the unevenness on the surface of the insulating film 12 b and the like. A hydrofluoric acid solution is fed to the surface of the substrate 1S to remove foreign particles due to etching. The mere insertion of hydrofluoric acid cleaning can improve the TDDB characteristics, which is considered to result from an improvement in adhesion at the interface because the damaged layer is removed from the surface by acid treatment. The substrate 1S is then dried by a spin drier and provided for the subsequent step.
  • In the above-described example, description was made on the alkali cleaning treatment, reducing treatment and acid cleaning treatment conducted in the order of mention. This has attained an improvement in TDDB characteristics by about 2 figures compared with those of the alkali cleaning-acid cleaning sequence. The sequence of the treatments is not limited to the above-described one but can be varied. For example, CMP treatment and then reducing treatment are conducted, followed by alkali cleaning treatment and acid cleaning treatment in this order as post-cleaning treatment. Alternatively, a sequence of alkali cleaning treatment, reducing treatment and acid cleaning treatment may be employed. TDDB characteristics can be improved only by acid cleaning. Prior to or concurrently with the above-described post-CMP cleaning treatment, the surface of the substrate 1S may be subjected to pure-water scrub cleaning, pure-water ultrasonic cleaning, running pure-water cleaning or pure-water spin cleaning; or the back surface of the substrate 1S may be subjected to pure-water scrub cleaning. Cleaning methods, hydrogen annealing methods and action or effects of the sequence of these treatments are described in detail in Japanese Patent Application 2001-131941 (filed on Apr. 27, 2001) applied by the inventors of the present application.
  • The wafer 1W, after drying treatment, is then carried in a plasma enhanced CVD system for the formation of an interconnect capping insulating film. No particular limitation is imposed on the plasma enhanced CVD system, but in this Embodiment, a diode parallel plate plasma enhanced CVD system is employed.
  • In this Embodiment 1, the wafer 1W is supported in the treating chamber of the plasma enhanced CVD system and heat treated while a nitrogen gas (N2) is fed to the apparatus. In other words, the main surface (CMP surface) of the wafer 1W is subjected to annealing treatment in a nitrogen gas flow atmosphere. By this treatment, the upper surface (CMP surface, first surface) of the main conductor film 18 a of the inlaid second-level interconnect L2, particularly at the contact portion (upper corner) with the conductive barrier film 17 a is rounded (Step 104 in FIG. 5). FIG. 11 is a cross-sectional view of a portion of the semiconductor device corresponding to the line X1-X1 of FIG. 6 in its manufacturing step after such rounding treatment, while FIG. 12 is a fragmentary enlarged cross-sectional view of FIG. 11. According to Embodiment 1, the upper corner on the CMP surface side of the main conductor film 18 a in the inlaid second-level interconnect L2 is chamfered and a round taper is formed. In other words, the main conductor film 18 a on the CMP side is formed to have a cross-sectional shape having a greater distance from the conductive barrier film 17 a at the upper part in FIG. 11 or 12. The size of the round taper at the upper corner of the main conductor film 18 a is defined by the width and height of the interconnect trench 16 a (particularly defined by a gradient of a normal line). The width of the round taper (the width in the direction horizontal to the upper surface of the main conductor film 18 a) is greater than the thickness of the gate insulating film 3.
  • The temperature (almost the temperature of the wafer 1W) of a susceptor on which the wafer 1W is placed during such a heat treatment in a nitrogen gas atmosphere is about 360 to 400° C. Heat treatment is conducted, for example, about 1 minute. When the pressure during this heat treatment is set relatively high, the main conductor film 18 a can be rounded easily. A hydrogen gas can be used as a treatment gas, but use of a nitrogen gas makes it possible to form a good round taper at a relatively low temperature range. As illustrated in FIG. 12, a taper having a wider interconnect width in an upward direction is formed on the side walls of the inlaid second-level interconnect L2. The angle α formed between the side surface of the inlaid second-level interconnect L2 and the upper surface of the insulating film 11a falls within a range of from 80 to 90°, more specifically, about 88.7°. The width (upper side width of the interconnect trench 16 a) on the upper side of the inlaid second-level interconnect L2 and the distance, on the upper side, between two adjacent inlaid second-level interconnects (distance between the upper corners of the two adjacent inlaid second-level interconnects L2) is, for example, 0.25 μm or less, or 0.2 μm or less. The minimum pitch between two adjacent inlaid second-level interconnects L2 is, for example, 0.5 μm or less. The interconnect trench 16 a has an aspect ratio of about 1.
  • The nitrogen gas is then discharged from the treating chamber of the plasma enhanced CVD system and the plasma supply is ceased by powering-off. Then, the wafer 1W is subjected to reducing plasma treatment as described below (Step 105 in FIG. 5). FIGS. 13 and 14 are each a cross-sectional view of a portion of the wafer 1W corresponding to the line X1-X1 of FIG. 5 during the reducing plasma treatment.
  • After a hydrogen gas is fed to the treating chamber of the plasma enhanced CVD system, the substrate 1S (particularly, the CMP surface from which the inlaid second-level interconnect L2 is exposed) is subjected to hydrogen plasma treatment as illustrated in FIG. 13 by applying plasma power. The hydrogen plasma treatment, for example, of the substrate 1S having a diameter of 8 inches (about 200 mm), is conducted under the following conditions: treatment pressure of 5.0 Torr (=6.6661×102 Pa), radio frequency (RF) power of 600 W, substrate temperature of 400° C., hydrogen gas flow rate of 500 cm3/min and treatment time of from 10 to 30 seconds. The electrode-to-electrode distance is set at 600 mils (15.24 mm). For the treatment, a gas composed alone of hydrogen (H) or a gas mixture of hydrogen (H) and nitrogen (N) is used.
  • As described in Japanese Patent Application Nos. 226876/1999 and 2000-300853 applied by the present inventors, such a hydrogen plasma treatment has a high removing capacity of an organic substance (high as compared with ammonia plasma treatment which will be described later) so that it can almost completely remove BTA and slurry component contained in the slurry upon CMP, the organic acid used for post-CMP cleaning and an organic residue generated during the process, thereby decreasing a leak current at the interface. As a result, the TDDB life can be improved further.
  • After discharge of the hydrogen gas from the treating chamber of the plasma enhanced CVD system and turning-off of the plasma power, the surface of the substrate 1S (the CMP surface from which the inlaid second-level interconnect L2 is exposed) is subjected to ammonia (NH3) plasma treatment by feeding an ammonia gas to the treating chamber of the plasma enhanced CVD system without release of the chamber to the air and applying plasma power. The ammonia plasma treatment of the substrate 1S having, for example, a diameter of 8 inches (about 200 mm), is conducted under the following conditions: treatment pressure of about 0.5 to 1.0 Torr (=66.6612 to 133.332 Pa), power applied to the upper electrode of the plasma treatment apparatus of about 500 to 1000 W, power applied to the lower electrode of the plasma treatment apparatus of about 0 to 1000 W (preferably, 0), substrate temperature of about 300 to 400° C., ammonia gas flow rate of about 500 to 1500 cm3/min, and treating time of about 5- to 60 seconds. The electrode-to-electrode distance is set at 300 to 600 mils (7.62 to 15.24 mm).
  • By such ammonia plasma treatment, copper oxide (CuO, CuO2) on the surface of the copper interconnect oxidized upon CMP is reduced to copper (Cu), and in addition, a copper nitride (CuN) layer for preventing silicidation of copper upon set flow is formed on the surface (markedly shallow region) of the inlaid second-level interconnect L2. On the upper surface (markedly shallow region) of the insulating film 12 b between interconnects, the formation of SiN or SiH proceeds to compensate for the dangling bonds on the surface of the insulating film 12 b, which can also improve the adhesion between the capping insulating film which will be described later and the inlaid second-level interconnect L2 or insulating film 12 b, and reduce the leak current through the interface. This also brings about improvement in the TDDB life.
  • By carrying out hydrogen plasma treatment and ammonia plasma treatment in this order, it is possible to reduce the surface of the inlaid second-level interconnect L2 composed mainly of copper, form a silicide barrier layer, clean the interface of the insulating film 12 b and bring about SiH or SiN effects. Thus, further improvement in reliability can be achieved. It has been revealed by the present inventors that a sample obtained by forming an interlayer insulating film by depositing a silicon nitride film, which has been formed by plasma CVD, over a silicon oxide film, which has been formed by plasma CVD using, for example, a TEOS (Tetraethoxysilane) gas, and then conducting hydrogen plasma treatment and ammonia plasma treatment in combination, shows about a double digit improvement in TDDB life as compared to a sample subjected to only ammonia plasma treatment. The test by the present inventors have also revealed that even if the above-described SiLK is employed as an interlayer insulating film, sufficient reliability can be accomplished under operation environment at about 0.13 to 0.17 Mv/cm for 10 years when hydrogen plasma and ammonia plasma are employed in combination.
  • It is to be noted that the reducing plasma treatment conditions are, of course, not limited to the above-described ones. According to the investigation by the present inventors, plasma damage can be decreased with an increase in the pressure, while the fluctuations of the TDDB life in the substrate can be reduced and the TDDB life can be extended with an increase in the substrate temperature. Also, there have obtained the finding that hillocks are caused more readily on the surface of copper as the substrate temperature, RF power and treatment time increase. Considering these findings and fluctuations in the conditions depending on the structure of the device, the reducing plasma treatment conditions can be set as follows: treatment pressure of from 0.5 to 6 Torr (=0.66661×102 to 7.99932×102 Pa), RF power of from 300 to 600 W, substrate temperature of 350 to 450° C., hydrogen gas flow rate of from 50 to 1000 cm3/min, ammonia gas flow rate of 20 to 500 cm3/min, treatment time of from 5 to 180 seconds, and electrode-to-electrode distance of from 150 to 1000 mils (3.81-25.4 mm).
  • In the above-described example, hydrogen plasma treatment is followed by ammonia plasma treatment. The order is not limited to it but various modifications can be employed. For example, after ammonia plasma treatment, it is possible to continuously carry out hydrogen plasma treatment while maintaining vacuum state. Alternatively, only ammonia plasma treatment may be conducted as reducing treatment. In such cases, the TDDB life can be improved.
  • In the above-described example, the round taper forming step was followed by the reducing plasma treatment. These treatments were conducted in this order to maintain the stabilizing effects, by the reducing plasma treatment, on the surface of the main conductor film 18 a. Alternatively, the round taper may be formed after the reducing plasma treatment. Or, the round taper may be formed after hydrogen plasma treatment, followed by ammonia plasma treatment. Reducing treatment and round taper formation may be conducted simultaneously. The temperature of the susceptor (roughly meaning the temperature of the wafer 1W) when the reducing treatment and round taper formation are conducted simultaneously is, for example, about 350 to 400° C. and treatment time is, for example, 1 to 3 minutes, preferably about 2 minutes.
  • After discharge of an ammonia gas from the treating chamber of the plasma enhanced CVD system and turning-off of plasma power, set flow (Step 106 in FIG. 5) is conducted and then, an interconnect capping insulating film is deposited over the main surface of the wafer 1W by plasma CVD (Step 107 in FIG. 5). FIG. 15 is a cross-sectional view of a portion of the wafer 1W corresponding to the line X1-X1 of FIG. 5 after deposition of the interconnect capping insulating film (second insulating film, which will also be a first insulating film later). The insulating film 15 b is similar in raw material and thickness to the insulating film 15 a.
  • The above-described set flow is also called “stabilization” and it is a preparatory step mainly for heightening the film forming stability prior to the formation of the interconnect capping insulating film. The film formation follows this set flow. Described specifically, several tens of seconds after a carrier gas is fed to the treating chamber of a plasma enhanced CVD system, a treatment gas is also fed to the treating chamber without stopping the flow of the carrier gas. After maintaining this state for several seconds (set flow), film forming treatment is started by applying plasma power. For the formation of the insulating film 15 b from a silicon nitride film, a nitrogen gas is employed as the carrier gas upon set flow and an ammonia gas (NH3) and a silane gas (SiH4) are employed as the treatment gas. For the formation of the insulating film 15 b from a silicon carbide film, a helium gas (He) is employed as the carrier gas upon set flow and a trimethylsilane gas (3MS) is used as the treatment gas. For the formation of the insulating film 15 b from SiCN, a helium gas (He) is employed as the carrier gas upon set flow and an ammonia gas (NH3) and a trimethylsilane gas (3MS) are employed as the treatment gas. For the formation of the insulating film 15 b from a silicon oxynitride gas, a trimethoxysilane gas (TMS) and nitrogen oxide (N2O) are employed as the treatment gas upon set flow.
  • FIG. 16 is a fragmentary plane view of the semiconductor device during the manufacturing step following FIG. 15, while FIG. 17 is a cross-sectional view taken along a line X2-X2 of FIG. 16. Here, an inlaid third-level interconnect (interconnect) L3 is illustrated. Over the interconnect capping insulating film 15 b, an insulating film (first insulating film) 11 c is deposited. The insulating film 11 c is similar in material and forming method to the insulating films 11 a,11 b using the above-described Low-K material. Over this insulating film 11 c, an insulating film (first insulating film) 12 c is deposited. The insulating material 12 c is similar in material, forming method and function to the insulating films 12 a,12 b. Over this insulating film 12 c, an insulating film 15 c is deposited. The insulating film 15 c is similar in material, forming method and function to the insulating films 15 a,15 b. Over this insulating film 15 c, an insulating film (first insulating film) 11 d is deposited. This insulating film 11 d is similar in material and forming method to the insulating films 11 a to 11 c using the above-described Low-K material. Over this insulating film 11 d, an insulating film (first insulating film) 12 c is deposited. The insulating film 12 d is similar in material, forming method and function to the insulating films 12 a to 12 c. According to this Embodiment 1, by forming a plurality of insulating films of interconnect layers from a material having a low dielectric constant as described above, the whole interconnect capacitance can be reduced, making it possible to improve operation speed of the semiconductor device having a copper series inlaid interconnect structure.
  • In these insulating films 15 c,11 d,12 d, an interconnect trench (interconnect opening) 16 b which is in the strip form when viewed from the top is formed. In this interconnect trench 16 b, a conductive barrier film 17 b and a main conductor film 18 b are embedded and they constitute the inlaid third-level interconnect L3. In the insulating films 15 b,11 c,12 c, formed is a through-hole (interconnect opening) 19 which is a substantially circular shape when viewed from the top and extends from the bottom surface of the interconnect trench 16 b to the upper surface of the inlaid second-level interconnect L2. The inlaid third-level interconnect L3 is electrically connected to the inlaid second-level interconnect L2 via the conductive barrier film 17 b and main conductor film 18 b embedded in the through-hole 19. This inlaid third-level interconnect L3 is formed by the dual damascene method. Described specifically, after formation of the interconnect trench 16 b in the insulating films 15 c,11 d,12 d and through-hole 19 in the insulating films 15 b,11 c,12 c, the conductive barrier film 17 b and main conductor film (second conductor film) 18 b are deposited successively. In other words, the interconnect trench 16 b and through-hole 19 are embedded simultaneously with the conductive barrier film 17 b and main conductor film 18 b. These conductive barrier film 17 b and main conductor film 18 b are deposited in a similar manner to that employed for the conductive barrier film 17 a and main conductor film 18 a of the inlaid second-level interconnect. The conductive barrier film 17 b and main conductor film 18 b are also similar in material to the conductive barrier film 17 a and main conductor film 18 a. After polishing these conductive barrier film 17 b and main conductor film 18 b by CMP as in the formation of the inlaid second-level interconnect L2, steps similar to those employed for the formation of the inlaid second-level interconnect L2 are conducted to form the inlaid third-level interconnect L3. Similar to the inlaid second-level interconnect L2, the inlaid third-level interconnect L3 has, at the upper corner thereof, a round taper. Over these insulating film 12 d and inlaid third-level interconnect L3, an interconnect capping insulating film (second insulating film, which may be a first insulating film later) 15 d is deposited. This insulating film 15 d is similar to the insulating films 15 a,15 b.
  • FIG. 18 is a fragmentary enlarged cross-sectional view of the inlaid second-level interconnect L2 or inlaid third-level interconnect L3. According to this Embodiment, the main conductor film 18 a or 18 b of the inlaid second-level interconnect L2 or inlaid third-level interconnect L3 has, at the upper corner thereof, a round taper. This makes it possible to relax an electric field applied to a portion of the main conductor film 18 a or 18 b corresponding to its upper corner (in the vicinity of the conductive barrier film 17 a or 17 b). Based on the investigation of the present inventors, a ratio of an electric field E1 of a portion corresponding to the upper corner of the main conductor film 18 a or 18 b to an electric field E2 of the upper corner portion of the conductive barrier film 17 a or 17 b can be controlled to 1:2. In other words, the electric field intensity of a portion corresponding to the upper corner of the main conductor film 18 a or 18 b can be reduced to half of the electric field intensity of the upper corner of the conductive barrier film 17 a or 17 b. In addition, the main conductor film 18 a or 18 b made of copper having a high diffusion coefficient can be kept at a distance from the CMP surface (second surface) of the insulating film 12 b or 12 d in which a leak path tends to be formed. This makes it possible to suppress or prevent formation of a leak path between the two adjacent inlaid second-level interconnects L2,L2 or two adjacent inlaid third-level interconnects L3,L3. As a result, the TDDB life can be improved.
  • Embodiment 2
  • FIG. 19 is a flowchart of the manufacturing step of the semiconductor device according to another embodiment of the present invention. In this Embodiment 2, as illustrated in FIG. 19, the round taper of the main conductor film of the inlaid interconnect is formed in the set flow step 106. Described specifically, when the interconnect capping insulating film is made of a silicon nitride film, a silicon carbide film, an SiCN film or a silicon oxynitride film, a carrier gas such as nitrogen or helium is fed prior to feeding of a treatment gas upon set flow. While the time from the starting of feeding with the carrier gas until the starting of feeding with the treatment gas is set longer than that of the ordinary set flow, annealing is conducted during the introduction term of only the carrier gas, and stage temperature is set at a temperature as described in Embodiment 1, the wafer 1W is subjected to annealing treatment similar to that described in the round formation step of Embodiment 1, whereby a round taper is formed at the upper corner of the main conductor film 18 a or 18 b of the inlaid interconnect. In this case, a round taper forming step is not added so that simplification of the manufacturing process and shortening of the manufacturing time can be promoted. This embodiment is similar to Embodiment 1 except the above-described step.
  • Embodiment 3
  • In Embodiment 3, a description will be made on the formation of an interconnect capping insulating film as a multilevel film. The insulating film is formed as a multilevel film in order to dissolve the problem discovered for the first time by the present inventor that when an SiON film such as the above-described “PE-TMS” (product of Canon) is employed as an interconnect capping insulating film, the conductive barrier film is inevitably oxidized upon formation of the insulating film. FIG. 20 is a fragmentary cross-sectional view of a semiconductor device, during its manufacturing process, according to a further embodiment of the present invention.
  • Upon deposition of an interconnect capping insulating film (second insulating film) 15 b by CVD over the upper surface of the inlaid second-level interconnect L2 and insulating film 12 b after the above-described ammonia plasma treatment without release of the chamber to the air, the insulating film 15 b is deposited in order to prevent the oxidation of the exposed portion of the conductive barrier film 17 a of the inlaid second-level interconnect L2. For this purpose, continuously after deposition, over the insulating film 12 b and inlaid second-level interconnect L2, an oxidation barrier insulating film (third insulating film) 15 b 1 for suppressing or preventing oxidation of the conductive barrier film 17 a, that is, for protecting it from oxidation, an insulating film (fourth insulating film) 15 b 2 made of silicon oxynitride (SiON), for example, “PE-TMS” (product of Canon, dielectric constant: 3.9) is deposited over the insulating film 15 b 1 by plasma CVD using a gas mixture of a trimethoxysilane (TMS, chemical formula: SiH(OCH3)2) gas and a nitrogen oxide (N2O) gas while maintaining the vacuum state without releasing the chamber to the air. By this insulating film, the oxidation of the conductive barrier film 17 a can be suppressed or prevented upon deposition of the silicon oxynitride (SiON) film. This makes it possible to suppress or prevent unfavorable copper diffusion in the main conductor film 18 a which will otherwise occur owing to the oxidation of the conductive barrier film 17 a, thereby improving the TDDB life. Moreover, the most part or the whole part of the interconnect capping insulating film 15 b is formed from a material having a dielectric constant lower than that of a silicon nitride film so that an interconnect capacitance can be reduced, thereby improving the operation speed of the semiconductor device. Furthermore, PE-TMS having excellent moisture resistance is usable as an interconnect capping insulating film of the inlaid-second-level interconnect so that the reliability of the semiconductor device can be improved. Such an antioxidant film can be formed in the following manner.
  • The first method is to form an oxidation barrier insulating film 15 b 1, for example, from an insulating film having an inhibitory or preventive function of copper diffusion, such as a silicon nitride (SiC) film, silicon carbide (SiCN) film or a silicon carbonitride film. The oxidation barrier insulating film 15 b 1 has a thickness of, for example, 1 nm or greater and it is formed thinner than the insulating film 15 b 2 because lowering of the whole dielectric constant of the interconnect structure is desired. The insulating film 15 b 2 has a thickness of about 50 nm or less. A nitrogen content of the insulating film 15 b 2 is about 1 to 8%. The pressure in the chamber upon formation of the insulating film 15 b 2 is, for example, about 0.5 to 1.0 Torr (=66.6612 to 133.332 Pa), the flow rate of a trimethoxysilane gas is, for example, about 100 to 150 cm3/min, the flow rate of an N2O gas is, for example, 4000 cm3/min or less, the power applied to the upper or lower electrode of the plasma-enhanced CVD system is, for example, about 500 to 1000 W. Use of a silicon carbide or silicon carbonitride film as the oxidation barrier insulating film 15 b 1 makes it possible to reduce a dielectric constant as compared with that of the insulating film 15 b 1 formed from a silicon nitride film, thereby lowering an interconnect capacitance. As a result, the operation speed of the semiconductor device can be improved.
  • The second method is to form the oxidation barrier insulating film 15 b 1 from a silicon oxynitride (SiON) film such as “PE-TMS” (product of Canon, dielectric constant: 3.9) deposited by plasma-enhanced CVD under oxygen-free gas conditions, particularly, under conditions free from an N2O gas having a high oxidizing property. Also in this case, the insulating film 15 b 1 has a suppressive or preventive function of copper diffusion.
  • Under oxygen-free gas conditions, a gas mixture of a trimethoxysilane (TMS) gas and an ammonia (NH3) gas or a trimethoxysilane (TMS) gas and a nitrogen (N2) gas is usable. In this case, the oxidation barrier insulating film 15 b 1 has a thickness of about 1 to 10 nm. The insulating film 15 b 2 lying thereover has a thickness as described in the first step. A nitrogen content in the insulating film 15 b 1 or 15 b 2 is for example about 1 to 8%. The pressure in the chamber upon formation of the insulating film 15 b 1 is, for example, about 0.5 to 1.0 Torr (=66.6612 to 133.332 Pa), the flow rate of a trimethoxysilane gas is, for example, about 100 to 150 cm3/min, the flow rate of an N2O gas is, for example, 0 cm3/min or less, the flow rate of an N2 gas, if it is used, is, for example, about 4000 cm3/min or less, the flow rate of an NH3 gas, if it is used, is for example about 1500 cm3/min, the power applied to the upper or lower electrode of the plasma-enhanced CVD system is similar to that of the first method. The film forming conditions of the insulating film 15 b 2 are similar to those described in the first method. Since in this second method, both the insulating films 15 b 1,15 b 2 can be formed from a silicon oxynitride film, such as “PE-TMS” having a low dielectric constant, this second method can reduce an interconnect capacitance and improve the operation speed of a semiconductor device compared with the first method. Moreover, by forming the whole insulating film 15 b (insulating films 15 b 1 and 15 b 2) from a silicon oxynitride film such as “PE-TMS” excellent in moisture resistance, the reliability of the semiconductor device can be improved.
  • The third method is to form the oxidation barrier insulating film 15 b 1 from a silicon oxynitride film such as the above-described “PE-TMS” deposited by plasma CVD using, upon film formation, a gas containing N2/O2 having a low oxidizing property and being reduced in the content of oxygen (particularly N2O having a high oxidizing property). Also in this case, the insulating film 15 b 1 has a function of suppressing or preventing copper diffusion. To reduce the oxygen content to satisfy the above-described condition, a mixture of trimethoxysilane gas, N2 gas and O2 gas, a mixture of trimethoxysilane gas, NH3 gas and O2 gas, and a mixture of trimethoxysilane gas, NH3 gas, N2O gas and O2 gas, or a gas mixture of trimethoxysilane gas, N2O gas and NH3 gas is usable. In this case, the N2 gas or NH3 gas serves as a diluting gas in a gas mixture.
  • The thickness and nitrogen content of the insulating films 15 b 1 and 15 b 2 are similar to those as described in the second method. The pressure in the chamber upon formation of the insulating film 15 b 1, and powers applied to the upper electrode and lower electrode of the plasma-enhanced CVD system are similar to those described in the first or second method. When a mixture of a trimethoxysilane gas, N2 gas and O2 gas is used as the treatment gas upon film formation, the flow rates of the trimethoxysilane gas, N2 gas, and O2 gas are, for example, about 75 to 150 cm3/min, about 4000 cm3/min or less and about 4000 cm3/min or less, respectively. When a mixture of a trimethoxysilane gas, NH3 gas and O2 gas is used, the flow rates of the trimethoxysilane gas, NH3 gas, and O2 gas are, for example, about 75 to 150 cm3/min, about 1500 cm3/min and about 4000 cm3/min, respectively. When a mixture of a trimethoxysilane gas, NH3 gas, N2 gas and O2 gas is used, the flow rates of the trimethoxysilane gas, NH3 gas, N2 gas, and O2 gas are about 75 to 150 cm3/min, about 1500 cm3/min or less, about 4000 cm3/min, and about 4000 cm3/min, respectively. When a mixture of a trimethoxysilane gas, N2O gas and NH3 gas is used, the flow rates of the trimethoxysilane gas, N2O gas, and NH3 gas are, for example, about 75 to 150 cm3/min, about 4000 cm3/min, and about 1500 cm3/min, respectively. The conditions of forming the insulating film 15 b 2 are similar to those of the first or second method. As one application of this third method, the whole insulating film 15 b may be formed by the third method. Described specifically, the whole insulating film 15 b may be formed from a film composed solely of a silicon oxynitride film deposited by the plasma-enhanced CVD under the oxygen-reduced gas conditions. In this case, the insulation capping insulating film can be formed easily and film formation time can be shortened because change of the kind of a gas and control therefor are not required.
  • In the above description, a trimethoxysilane gas was employed for the formation of a silicon oxynitride film (SiON, nitrogen content: about 1 to 8%). The gas is not limited to it but another gas can be employed. For the formation of the insulating film 15 b 2 in the first method or the insulating films 15 b 1,15 b 2 in the second method, a mixture of a gas selected from monosilane, disilane and TEOS, an ammonia gas and an oxygen gas (or N2O or ozone (O3)), or this gas mixture having nitrogen introduced therein may be employed. Alternatively, usable is a mixture of a gas selected from a trimethylsilane (3MS) gas and a tetramethylsilane (4MS) gas, and a nitrogen oxide (N2O) gas (or a nitrogen oxide gas and an ammonia (NH3) gas) or this gas mixture having, added thereto, a nitrogen (N2) gas, a mixture of a nitrogen (N2) gas and an oxygen (O2) gas, or a mixture of a nitrogen gas, an oxygen gas and an ammonia gas. In such a case, since oxidation of the exposed portion of the conductive barrier film 17 a can be suppressed or prevented, copper diffusion can be suppressed or prevented, leading to an improvement in the TDDB life. The oxidation of a conductive barrier film and a countermeasure against this problem are disclosed in Japanese Patent Application No. 2001-341339 (filed on Nov. 7, 2001) applied by the inventors of the present application.
  • Embodiment 4
  • In Embodiment 4, an insulation capping insulating film as described in Embodiment 1 is not disposed. FIG. 21 is a fragmentary cross-sectional view of a semiconductor device during its manufacturing step according to a further embodiment of the present invention. Over the upper surface (CMP surface, second surface) of the insulating film 11 b made of a Low-K material, an interconnect capping insulating film 15 b is directly deposited without an insulation capping insulating film. The interconnect capping insulating film 15 b may have a multilevel structure as in Embodiment 3. In this Embodiment 4, as well as an improvement in the TDDB life, a reduction in an interconnect capacitance can be attained, because no insulation capping insulating film is disposed. As a result, the operation speed of the semiconductor device can be improved.
  • Embodiment 5
  • In Embodiment 5, an inlaid interconnect structure from which a conductive barrier film is eliminated (barrierless inlaid interconnect structure) is described. FIG. 22 is a fragmentary cross-sectional view of a semiconductor device during its fabrication step according to a still further embodiment of the present invention. The main conductor film 18 a composed of copper is in direct contact with the insulating films 11 b,12 a,12 b,15 a in the interconnect trench 16a. Also in Embodiment 5, an insulation capping insulating film may be omitted as in Embodiment 4. According to Embodiment 5, an interconnect resistance of the inlaid second-level interconnect L2 can be drastically decreased. Moreover, interconnects of different levels can be connected directly without a conductive barrier film so that the contact resistance between these different-level interconnects can be reduced considerably and resistance at minute through-holes can be reduced. Accordingly, even if the interconnect trench 16 or through-hole 9 are miniaturized, the performance of the semiconductor device can be improved. As a result, miniaturization of interconnects constituting the semiconductor device can be promoted.
  • In Embodiment 5, a round taper is formed at an upper corner of the main conductor film 18 a, and the upper corner of the main conductor film 18 a made of copper is disposed apart from the upper surface (CMP surface, second surface) of the insulating film 12 b adjacent thereto. As in Embodiments 1 to 4, these features make it possible to suppress or prevent formation of a leak path in the two adjacent inlaid second-level interconnects L2,L3, thereby improving the TDDB life. Such a barrierless inlaid interconnect structure is disclosed, for example, in Japanese Patent Application No. 2000-104015, 2000-300853 or 2001-131941 (filed on Apr. 27, 2001).
  • Embodiment 6
  • In Embodiment 6, the inlaid interconnect as described above is used property for each of the interconnect layers. In the interconnect layers of a semiconductor device, the distance between two adjacent interconnects tend to be narrower at the lower interconnect layers near minute elements formed over the substrate and this tendency seems to be accelerated in future. In such a case, a deterioration in the TDDB life due to copper diffusion is apt to become obvious. The distance between two adjacent interconnects is relatively wide at the upper interconnect layers which are relatively apart from the above-described elements and there is a certain degree of a margin for the deterioration of the TDDB life due to copper diffusion. In the manufacturing process of a semiconductor device, an increase in the number of steps, addition of a heat treatment step or addition of a step to cause step difference is not desired so there are process-wise limitations.
  • In this Embodiment 6, therefore, an inlaid interconnect structure as described in Embodiment 1 is adopted for a lower interconnect layer having a small margin, while an ordinarily employed inlaid interconnect structure is applied to the upper interconnect layer having a large margin. FIG. 23 is a fragmentary cross-sectional view which schematically illustrates the semiconductor device of Embodiment 6. An interconnect layer N1 is, for example, a lower interconnect layer such as first-level or second-level interconnect layer which is relatively small in the interconnect width or distance between interconnects, while an interconnect layer Nx is, for example, an upper interconnect layer such as fifth-level or sixth-level interconnect layer which is relatively large in the interconnect width or distance between interconnects. As an explanation is made with the 0.13 micron generation as an example, the interconnect width and interconnect-to-interconnect distance of an inlaid interconnect (interconnect) are, for example, about 0.18 μm, supposing that the interconnect layer N1 is the first-level interconnect layer, while those of an inlaid interconnect (interconnect) are, for example, about 0.36 μm, supposing that the interconnect layer Nx is the fifth-level interconnect layer. As an explanation is made with the 0.1 micron generation as an example, the interconnect width and interconnect-to-interconnect distance of an inlaid interconnect (interconnect) are, for example, about 0.14 μm, supposing that the interconnect layer N1 is the first-level interconnect layer, while those of an inlaid interconnect (interconnect) are, for example, about 0.28 μm, supposing that the interconnect layer Nx is the fifth-level interconnect layer.
  • In FIG. 23, the inlaid interconnect Ln of the lower interconnect layer N1 is formed to have a similar structure to that of Embodiment 1, that is, to have a round taper formed at an upper corner of the main conductor film 18 a. The inlaid interconnect Ln of an upper interconnect layer Nn is, on the other hand, formed to have an ordinarily employed structure. Described specifically, no taper is formed at an upper corner of the main conductor film 18 a and the upper surface (first surface) level of the main conductor film 18 a almost coincides with the upper surface (MP surface, second surface) level of the insulating film 12 b. The above-described structure contributes to an improvement in the TDDB life. Furthermore, since the process-wise limitations are satisfied, a highly reliable semiconductor device on the whole can be provided.
  • Embodiment 7
  • In Embodiment 7, described is a technique of changing the round taper state of an inlaid interconnect according to interconnect layers. FIG. 24 is a fragmentary cross-sectional view schematically illustrating the semiconductor device of Embodiment 7. In this Embodiment 7, all the inlaid interconnects Ln of the lower interconnect layer N1 and upper interconnect layer Nx are formed to have a similar structure as Embodiment 1, that is, to have a round taper at an upper corner of the main conductor film 18 a except that the depth d1 of the round taper of the main conductor film 18 a of the lower inlaid interconnect Ln is formed deeper than the depth d2 of the round taper of the main conductor film 18 a of the upper inlaid interconnect Ln. In other words, the round taper of an upper corner of the main conductor film 18 a is greater in the inlaid interconnect Ln of the lower interconnect layer N1. This structure is formed because, as described in Embodiment 6, the distance between two adjacent interconnects of the lower interconnect layer is narrow so that lowering in the TDDB life due to copper diffusion tends to appear, while the distance between two adjacent interconnects of the upper interconnect layer is wide and has a margin relatively. The reliability of the semiconductor device can be improved on the whole by extending the TDDB life by increasing the size of a round taper of the main conductor film 18 a in the inlaid interconnect Ln of the lower interconnect layer N1 in which copper diffusion tends to cause a problem; and by satisfying the process-wise limitations by decreasing the size of a round taper of the main conductor film 18 a in the inlaid interconnect Ln of the upper interconnect layer Nx which has a margin.
  • Embodiment 8
  • In Embodiment 8, described is a technique of changing a step difference state of inlaid interconnects according to interconnect layers. FIG. 25 is a fragmentary cross-sectional view schematically illustrating the semiconductor device of Embodiment 8. In Embodiment 8, the upper surface (first surface) level of the main conductor film 18 in the inlaid interconnect Ln of the lower interconnect layer N1 and upper interconnect layer Nx is formed lower than the upper surface (CMP surface, second surface) levels of the conductive barrier film 17 a and insulating film 12 b. By this structure, the distance between the main conductor film 18 a and the CMP surface and also the distance between the corner of the main conductor film 18 a and the site of the corner (corner of the conductive barrier film 17 a) of the interconnect layer Ln on which an electric field concentration occurs become greater, which suppresses or prevents the formation of a leak path, thereby improving the TDDB life.
  • Such a technique of forming a step difference on the upper surface of the main conductor film 18 a of the inlaid interconnect Ln is disclosed in Japanese Patent Application No. 2001-131941 (filed on Apr. 27, 2001) by the inventors of the present application. In Embodiment 8, however, the step difference d3 of the main conductor film 18 a in a lower inlaid interconnect Ln is formed greater than the step difference d4 of the main conductor film 18 a of an upper inlaid interconnect layer Ln because of the same reasons as described in Embodiment 6 or 7. According to this Embodiment 8, therefore, by forming a relatively large step difference on the upper surface of the main conductor film 18 a in the inlaid interconnect Ln of a lower interconnect layer N1 in which copper diffusion tends to be a problem, the TDDB life can be improved, while by forming a relatively small step difference on the upper surface of the main conductor film 18 a in the inlaid interconnect Ln of an upper interconnect layer Nx which has a margin, thereby suppressing or preventing occurrence of inconveniences due to the step difference, thus satisfying the process-wise limitations, the reliability of the semiconductor device can be improved on the whole.
  • As a modification example of Embodiment 8, the inlaid interconnect Ln of a lower interconnect layer N1 may be formed as an inlaid interconnect structure as described in Embodiment 1 or the like and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as illustrated in FIG. 25. As another modification example, the inlaid interconnect Ln of a lower interconnect layer N1 may be formed as illustrated in FIG. 25 and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed to have a structure as described in Embodiment 1 or the like or an ordinary structure employed in an upper interconnect layer Nx as illustrated in FIG. 23.
  • Embodiment 9
  • In Embodiment 9, another technique of changing the step difference state according to an interconnect layer is described. FIG. 26 is a fragmentary cross-sectional view schematically illustrating a semiconductor device of Embodiment 9. In this Embodiment 9, the upper surface (first surface) level of each of the main conductor film 18 a and conductive barrier film 17 a in the inlaid interconnect Ln of a lower interconnect layer N1 or an upper interconnect layer Nx is formed lower than the upper surface (CMP surface, second surface) level of the insulating film 12 b. The above-described structure in which the main conductor film 18 a is kept apart from the CMP surface can suppress or prevent the formation of a leak path, thereby improving the TDDB life.
  • Also a technique of having a step difference on the upper surface of an interconnect Ln is disclosed in Japanese Patent Application No. 2001-131941 (filed on Apr. 27, 2001) by the inventors of the present application. In this Embodiment, however, the step difference d5 of the main conductor film 18 a in the inlaid interconnect Ln of a lower interconnect layer N1 is formed greater than the step difference d6 of the main conductor film 18 a in the inlaid interconnect Ln of an upper interconnect layer Nx because of the same reasons as described in Embodiments 6 to 8. According to Embodiment 9, by forming a relatively large step difference on the upper surface of the main conductor film 18a in the inlaid interconnect Ln of a lower interconnect layer N1 in which copper diffusion tends to cause a problem, the TDDB life can be improved. On the other hand, by forming a relatively small step difference on the upper surface of the main conductor film 18 a in the inlaid interconnect Ln of an upper interconnect layer Nx which has a margin, thereby suppressing or preventing occurrence of inconveniences due to the step difference, thus satisfying the process-wise limitations, the reliability of the semiconductor device can be improved on the whole.
  • As a modification example of Embodiment 9, the inlaid interconnect Ln of a lower interconnect layer N1 may be formed as an inlaid interconnect structure as described in Embodiment 1 or the like and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as illustrated in FIG. 26. As another modification example, the inlaid interconnect Ln of a lower interconnect layer N1 may be formed as illustrated in FIG. 26 and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as an inlaid interconnect structure as described in Embodiment 1 or the like or an ordinary inlaid interconnect structure employed in the upper interconnect layer Nx of FIG. 23.
  • Embodiment 10
  • In this Embodiment 10, a description will next be made of a further technique of changing the step difference state of an inlaid interconnect according to the position of an interconnect layer. FIG. 27 is a fragmentary cross-sectional view schematically illustrating a semiconductor device of Embodiment 10. In Embodiment 10, the upper surface level (CMP surface, first surface) of each of the main conductor film 18 a and conductive barrier film 17 a of the inlaid interconnect Ln of a lower interconnect layer N1 and an upper interconnect layer Nx is protruded relative to the upper surface (second surface) of the insulating film 12 b. Such a structure makes it possible to keep the main conductor film 18 a away from the CMP surface, thereby suppressing or preventing the formation of a leak path. As a result, the TDDB life can be improved. In this case, as a structure free of an insulation capping insulating film, the above-described step difference can be formed by removing the upper surface of the insulating film 11b by etching upon the above-described reducing plasma treatment so that the manufacturing process can be simplified.
  • Such a technique of forming a step difference on the upper surface of an inlaid interconnect Ln is, for example, disclosed in Japanese Patent Application No. 2001-131941 (filed on Apr. 27, 2001) by the inventors of the present invention. In this Embodiment 10, because of similar reasons to those as described in Embodiments 6 to 9, the protruding step difference d7 of the inlaid interconnect Ln of a lower interconnect layer N1 is formed greater than the protruding step difference d8 in the inlaid interconnect Ln of an upper interconnect layer Nx. By forming a relatively large protruding step difference on the upper surface of the inlaid interconnect Ln of a lower interconnect layer N1 in which copper diffusion tends to pose a problem, the TDDB life can be improved, while by forming a relatively small protruding step difference on the upper surface of the inlaid interconnect Ln of an upper interconnect layer Nx which has a margin, thereby suppressing or preventing generation of inconveniences due to the step difference, thus satisfying the process-wise limitations, the reliability of the semiconductor device can be improved on the whole.
  • As a modification example of Embodiment 10, the inlaid interconnect Ln of a lower interconnect layer N1 may be formed as an inlaid interconnect structure as described in Embodiment 1, 8 or 9 and an inlaid interconnect Ln of an upper interconnect layer Nx may be formed as illustrated in FIG. 27. As another modification example, the inlaid interconnect Ln of a lower interconnect layer N1 may be formed as illustrated in FIG. 27 and the inlaid interconnect Ln of an upper interconnect layer Nx may be formed as an inlaid interconnect structure as described in Embodiment 1, 8 or 9 or an ordinary inlaid interconnect structure employed in an upper interconnect layer Nx of FIG. 23.
  • The present invention made by the present inventors was described specifically by some embodiments. It should however be borne in mind that the present invention is not limited to or by them, but can be changed within an extent not departing from the scope of the invention.
  • In Embodiments 1 to 10, a description was given of the formation of a capping film continuously after post treatment (plasma treatment) without vacuum break. Alternatively, vacuum break may be conducted once after post treatment, followed by the formation of the capping film. The present invention more effectively exhibits its advantages in the former case, but a thin nitride layer formed by the post ammonia plasma treatment can suppress the formation of an oxide layer even if a wafer is exposed to atmosphere after vacuum break. As a result, some advantages of the present invention can be offered even after vacuum break.
  • A method of forming a round taper on the main conductor film in an inlaid interconnect is not limited to those described in Embodiments 1 to 10, but can be modified. For example, a round taper may be formed at an upper corner of the main conductor film in an inlaid interconnect by maintaining the inside of the chamber in a plasma-enhanced CVD system under vacuum condition and heat treating the wafer without feeding a gas to the chamber.
  • In the above Embodiments, a round taper was formed on the upper surface of an inlaid interconnect in a film forming apparatus used for the formation of an insulation capping insulating film. Alternatively, the round taper may be formed on the upper surface of an inlaid interconnect by heat treatment in a hydrogen gas atmosphere or a nitrogen gas atmosphere outside of the film forming apparatus. More specifically, the temperature or time upon low-temperature hydrogen annealing is set higher or longer than that of the above-described embodiment. In such a case, the temperature is preferably about 300 to 400° C., while treating time is preferably about 30 seconds to 15 minutes.
  • Application of the present invention made by the present inventors to a manufacturing technique of a semiconductor device having a CMIS circuit, a field becoming a background of the present invention, was so far described, but the present invention is not limited thereto, but can be applied to a manufacturing method of various semiconductor devices such as semiconductor devices having a memory circuit such as DRAM (Dynamic Random Access Memory), SRAM (Static Random Access Memory), flash memory (EEPROM; Electric Erasable Programmable Read Only Memory and FRAM (Ferro electric Random Access Memory), semiconductor devices having a logic circuit such as microprocessor, and mixed type semiconductor devices having, on one semiconductor substrate, the above-described memory circuit and logic circuit. The present invention can be applied to at least a manufacturing method of a semiconductor device, semiconductor integrated circuit device, electronic circuit device, electronic device or micromachine having an inlaid copper interconnect structure.
  • Advantages available from the typical inventions, of the inventions disclosed by the present application, will next be described briefly.
  • A dielectric breakdown strength between interconnects, each having a main conductor film composed of copper, can be improved by keeping a portion of the main conductor film on which an electric field concentration occurs apart from the polished surface of the surrounding insulating film.

Claims (10)

1-25. (canceled)
26. A method for manufacturing a semiconductor device, comprising, in the metallization of each of a plurality of interconnect layers formed over a wafer:
(a) depositing a first insulating film over a wafer;
(b) forming an interconnect opening in said first insulating film;
(c) forming, in said interconnect opening, an interconnect including a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper; and
(d) depositing a second insulating film over said first insulating film and said interconnect,
wherein in the metallization step of the interconnect layers relatively near the main surface of said wafer among said plurality of interconnect layers, said method further comprises, between said steps (c) and (d), a step of forming a taper at a corner of said second conductor film on the opening side of said interconnect opening, and
wherein in the metallization step of the interconnect layers relatively far from the main surface of said wafer among said plurality of interconnect layers, said second insulating film is deposited without forming said taper.
27. A method for manufacturing a semiconductor device, comprising, in the metallization of at least two of a plurality of interconnect layers formed over a wafer:
(a) depositing a first insulating film over a wafer;
(b) forming an interconnect opening in said first insulating film;
(c) forming, in said interconnect opening, an interconnect including a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper;
(d) forming a taper at a corner of said second conductor film on the opening side of said interconnect opening; and
(e) depositing a second insulating film over said first insulating film and said interconnect,
wherein said taper of said second conductor film in the interconnect of the interconnect layer relatively near the main surface of said wafer, among said plurality of interconnect layers, is formed greater than said taper of said second conductor film in the interconnect of the interconnect layer relatively far from the main surface of said wafer among said plurality of interconnect layers.
28. A method for manufacturing a semiconductor device, comprising, in the metallization of each of a plurality of interconnect layers formed over a wafer:
(a) depositing a first insulating film over a wafer;
(b) forming an interconnect opening in said first insulating film;
(c) forming, in said interconnect opening, an interconnect including a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper; and
(d) depositing a second insulating film over said first insulating film and said interconnect,
wherein in the metallization formation step of the interconnect layer relatively near the main surface of said wafer among said plurality of interconnect layers, the method further includes, between said steps (c) and (d), a step of forming a step difference between a first surface of said second conductor film on a side on which said second insulating film is deposited and a second surface of said first insulating film on a side on which said second insulating film is to be deposited, and
wherein in the metallization step of the interconnect layer relatively far from the main surface of said wafer among said plurality of interconnect layers, said second insulating film is deposited without the step of forming said step difference.
29. A method for manufacturing a semiconductor device, comprising, in the metallization of at least two of a plurality of interconnect layers formed over a wafer:
(a) depositing a first insulating film over a wafer;
(b) forming an interconnect opening in said first insulating film;
(c) forming, in said interconnect opening, an interconnect including a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper;
(d) forming a step difference between a first surface of said second conductor film on a side on which a second insulating film is to be deposited and a second surface of said first insulating film on a side on which said second insulating film is to be deposited; and
(e) depositing said second insulating film over said first insulating film and interconnect,
wherein said step difference of said second conductor film in the interconnect of the interconnect layer relatively near the main surface of said wafer among said plurality of interconnect layers is formed greater than said difference of second conductor film in the interconnect of the interconnect layer relatively far from the main surface of said wafer.
30. A method according to claim 29,
wherein said step (d) is a step for selectively etching a surface layer of the first surface of said second conductor film to indent the first surface of said second conductor film relative to the second surface of said first insulating film in a direction of the main surface of said wafer.
31. A method for manufacturing a semiconductor device, comprising, in the metallization of each of a plurality of interconnect layers formed over a wafer:
(a) depositing a first insulating film over a wafer;
(b) forming an interconnect opening in said first insulating film;
(c) forming, in said interconnect opening, an interconnect including a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper; and
(d) depositing a second insulating film over said first insulating film and said interconnect,
wherein in the metallization step of the interconnect layer relatively near the main surface of said wafer among said plurality of interconnect layers, the method further includes, between said steps (c) and (d), a step of forming a step difference between a first surface of said first and second conductor films on a side on which said second insulating film is to be deposited and a second surface of said first insulating film on a side on which said second insulating film is to be deposited, and
wherein in the metallization step of the interconnect layer relatively far from the main surface of said wafer among said plurality of interconnect layers, said second insulating film is deposited without forming said step difference.
32. A method for manufacturing a semiconductor device, comprising, in the metallization step of at least two of a plurality of interconnect layers formed over a wafer:
(a) depositing a first insulating film over a wafer;
(b) forming an interconnect opening in said first insulating film;
(c) forming, in said interconnect opening, an interconnect including a first conductor film having barrier properties against copper diffusion and a second conductor film comprised mainly of copper;
(d) forming a step difference between a first surface of said first and second conductor films on a side on which a second insulating film is to be deposited and a second surface of said first insulating film on a side on which said second insulating film is to be deposited; and
(e) depositing said second insulating film over said first insulating film and said interconnect,
wherein said step difference in the interconnect of the interconnect layer relatively near the main surface of said wafer, among said plurality of interconnect layers, is formed greater than said step difference in the interconnect of the interconnect layer relatively far from the main surface of said wafer among said plurality of interconnect layers.
33. A method according to claim 32,
wherein said step (d) is a step of selectively etching a surface layer on the first surface of said first and second conductor films to indent the first surface of said first and second conductor films relative to the polished surface of said first insulating film in a direction of the main surface of said wafer.
34. A manufacturing method according to claim 32,
wherein said step (d) is a step of selectively etching the second surface of said first insulating film so that the first surface of said first and second conductor films protrude relative to the second surface of said first insulating film in a direction far from the main surface of said wafer.
US11/116,452 2001-12-18 2005-04-28 Method for manufacturing semiconductor integrated circuit device Abandoned US20050196954A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/116,452 US20050196954A1 (en) 2001-12-18 2005-04-28 Method for manufacturing semiconductor integrated circuit device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2001384535A JP2003188254A (en) 2001-12-18 2001-12-18 Semiconductor device and manufacturing method therefor
JP2001-384535 2001-12-18
US10/293,442 US6890846B2 (en) 2001-12-18 2002-11-14 Method for manufacturing semiconductor integrated circuit device
US11/116,452 US20050196954A1 (en) 2001-12-18 2005-04-28 Method for manufacturing semiconductor integrated circuit device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/293,442 Division US6890846B2 (en) 2001-12-18 2002-11-14 Method for manufacturing semiconductor integrated circuit device

Publications (1)

Publication Number Publication Date
US20050196954A1 true US20050196954A1 (en) 2005-09-08

Family

ID=19187735

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/293,442 Expired - Fee Related US6890846B2 (en) 2001-12-18 2002-11-14 Method for manufacturing semiconductor integrated circuit device
US11/116,452 Abandoned US20050196954A1 (en) 2001-12-18 2005-04-28 Method for manufacturing semiconductor integrated circuit device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/293,442 Expired - Fee Related US6890846B2 (en) 2001-12-18 2002-11-14 Method for manufacturing semiconductor integrated circuit device

Country Status (4)

Country Link
US (2) US6890846B2 (en)
JP (1) JP2003188254A (en)
KR (1) KR20030051359A (en)
TW (1) TW200305951A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060099804A1 (en) * 2004-11-10 2006-05-11 Texas Instruments Inc. Post-polish treatment for inhibiting copper corrosion
US20060175296A1 (en) * 2005-02-10 2006-08-10 Dai Fukushima Method of manufacturing semiconductor device
US20070111458A1 (en) * 2005-06-02 2007-05-17 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US20080119116A1 (en) * 2006-11-17 2008-05-22 Chih-Min Wen Method and apparatus for chemical mechanical polishing
US20100025395A1 (en) * 2008-07-29 2010-02-04 Ivoclar Vivadent Ag Apparatus for the heating of molding, in particular dental-ceramic moldings
US20190267284A1 (en) * 2018-02-26 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure and semiconductor device and method of forming the same

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3810718B2 (en) * 2002-08-30 2006-08-16 富士通株式会社 Manufacturing method of semiconductor device
KR100483290B1 (en) * 2002-12-14 2005-04-15 동부아남반도체 주식회사 Method For Manufacturing Semiconductor Devices
JP4638140B2 (en) * 2003-07-09 2011-02-23 マグナチップセミコンダクター有限会社 Method for forming copper wiring of semiconductor element
US20050048768A1 (en) * 2003-08-26 2005-03-03 Hiroaki Inoue Apparatus and method for forming interconnects
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
JP2005142369A (en) 2003-11-06 2005-06-02 Renesas Technology Corp Method for manufacturing semiconductor device
US7205235B2 (en) * 2003-12-15 2007-04-17 Freescale Semiconductor, Inc. Method for reducing corrosion of metal surfaces during semiconductor processing
JP2005203476A (en) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd Interconnection structure of semiconductor device and its manufacturing method
US6897147B1 (en) * 2004-01-15 2005-05-24 Taiwan Semiconductor Manufacturing Company Solution for copper hillock induced by thermal strain with buffer zone for strain relaxation
JP3810411B2 (en) * 2004-01-23 2006-08-16 Necエレクトロニクス株式会社 Integrated circuit device
KR100593737B1 (en) * 2004-01-28 2006-06-28 삼성전자주식회사 Wiring Method and Wiring Structure of Semiconductor Device
JP2005217262A (en) * 2004-01-30 2005-08-11 Matsushita Electric Ind Co Ltd Method for manufacturing compound semiconductor device
KR100520683B1 (en) * 2004-02-06 2005-10-11 매그나칩 반도체 유한회사 Method of forming a metal wiring in a semiconductor device
US7700477B2 (en) * 2004-02-24 2010-04-20 Panasonic Corporation Method for fabricating semiconductor device
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
JP4493444B2 (en) * 2004-08-26 2010-06-30 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JP4956919B2 (en) 2005-06-08 2012-06-20 株式会社日立製作所 Semiconductor device and manufacturing method thereof
KR20080015027A (en) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
KR100628244B1 (en) * 2005-06-15 2006-09-26 동부일렉트로닉스 주식회사 A method for fabricating a semiconductor
US7348672B2 (en) * 2005-07-07 2008-03-25 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with improved reliability
JP4548280B2 (en) * 2005-08-31 2010-09-22 ソニー株式会社 Manufacturing method of semiconductor device
US7572741B2 (en) * 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
JP2007134592A (en) * 2005-11-11 2007-05-31 Renesas Technology Corp Forming method of copper wiring
US20070155186A1 (en) * 2005-11-22 2007-07-05 International Business Machines Corporation OPTIMIZED SiCN CAPPING LAYER
US8921193B2 (en) * 2006-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-gate dielectric process using hydrogen annealing
US20070184652A1 (en) * 2006-02-07 2007-08-09 Texas Instruments, Incorporated Method for preparing a metal feature surface prior to electroless metal deposition
US20070218214A1 (en) * 2006-03-14 2007-09-20 Kuo-Chih Lai Method of improving adhesion property of dielectric layer and interconnect process
US7531384B2 (en) * 2006-10-11 2009-05-12 International Business Machines Corporation Enhanced interconnect structure
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
JP5010265B2 (en) * 2006-12-18 2012-08-29 株式会社東芝 Manufacturing method of semiconductor device
KR100897826B1 (en) * 2007-08-31 2009-05-18 주식회사 동부하이텍 Method for fabricating semiconductor device
US8648444B2 (en) * 2007-11-29 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer scribe line structure for improving IC reliability
US20090176367A1 (en) * 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
US20090189282A1 (en) * 2008-01-10 2009-07-30 Rohm Co., Ltd. Semiconductor device
CN102689265B (en) * 2011-03-22 2015-04-29 中芯国际集成电路制造(上海)有限公司 Chemical mechanical polishing method
JP2012060148A (en) * 2011-11-14 2012-03-22 Renesas Electronics Corp Manufacturing method of semiconductor integrated circuit device
KR101728288B1 (en) 2011-12-30 2017-04-18 인텔 코포레이션 Self-enclosed asymmetric interconnect structures
US8772938B2 (en) * 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9209272B2 (en) 2013-09-11 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation and etching post metal gate CMP
US10090396B2 (en) 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
US10770395B2 (en) * 2018-11-01 2020-09-08 International Business Machines Corporation Silicon carbide and silicon nitride interconnects
KR20200061192A (en) * 2018-11-23 2020-06-02 삼성전자주식회사 Method for Wafer Planarization and Image Sensor by the Same
CN112071803A (en) * 2020-09-17 2020-12-11 长江存储科技有限责任公司 Semiconductor structure and manufacturing method thereof
CN112909037A (en) * 2021-01-28 2021-06-04 上海华力集成电路制造有限公司 Method for improving random telegraph noise and image non-uniformity of image sensor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350488A (en) * 1992-12-10 1994-09-27 Applied Materials, Inc. Process for etching high copper content aluminum films
US6261953B1 (en) * 2000-01-25 2001-07-17 Kabushiki Kaisha Toshiba Method of forming a copper oxide film to etch a copper surface evenly
US6287954B1 (en) * 1997-05-30 2001-09-11 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6309970B1 (en) * 1998-08-31 2001-10-30 Nec Corporation Method of forming multi-level copper interconnect with formation of copper oxide on exposed copper surface
US6794304B1 (en) * 2003-07-31 2004-09-21 Lsi Logic Corporation Method and apparatus for reducing microtrenching for borderless vias created in a dual damascene process

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5244534A (en) 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
JPH1050632A (en) 1996-07-30 1998-02-20 Matsushita Electric Ind Co Ltd Method of manufacturing semiconductor device
JPH11111843A (en) 1997-10-01 1999-04-23 Hitachi Ltd Semiconductor integrated circuit device and its manufacture
JP2000277612A (en) 1999-03-29 2000-10-06 Nec Corp Manufacture of semiconductor device
JP2000323479A (en) 1999-05-14 2000-11-24 Sony Corp Semiconductor device and its manufacture

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350488A (en) * 1992-12-10 1994-09-27 Applied Materials, Inc. Process for etching high copper content aluminum films
US6287954B1 (en) * 1997-05-30 2001-09-11 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6309970B1 (en) * 1998-08-31 2001-10-30 Nec Corporation Method of forming multi-level copper interconnect with formation of copper oxide on exposed copper surface
US6261953B1 (en) * 2000-01-25 2001-07-17 Kabushiki Kaisha Toshiba Method of forming a copper oxide film to etch a copper surface evenly
US20010034125A1 (en) * 2000-01-25 2001-10-25 Kabushiki Kaisha Toshiba Method of forming copper oxide film, method of etching copper film, method of fabricating semiconductor device, semiconductor manufacturing apparatus, and semiconductor device
US20030001271A1 (en) * 2000-01-25 2003-01-02 Kabushiki Kaisha Toshiba Method of forming copper oxide film, method of etching copper film, method of fabricating semiconductor device, semiconductor manufacturing apparatus, and semiconductor device
US6794304B1 (en) * 2003-07-31 2004-09-21 Lsi Logic Corporation Method and apparatus for reducing microtrenching for borderless vias created in a dual damascene process

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7268073B2 (en) * 2004-11-10 2007-09-11 Texas Instruments Incorporated Post-polish treatment for inhibiting copper corrosion
US20060099804A1 (en) * 2004-11-10 2006-05-11 Texas Instruments Inc. Post-polish treatment for inhibiting copper corrosion
US20060175296A1 (en) * 2005-02-10 2006-08-10 Dai Fukushima Method of manufacturing semiconductor device
US7465668B2 (en) * 2005-02-10 2008-12-16 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US8375892B2 (en) 2005-06-02 2013-02-19 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US20070141856A1 (en) * 2005-06-02 2007-06-21 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US7902050B2 (en) * 2005-06-02 2011-03-08 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US7913645B2 (en) 2005-06-02 2011-03-29 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US20110168093A1 (en) * 2005-06-02 2011-07-14 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US20070111458A1 (en) * 2005-06-02 2007-05-17 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US8658522B2 (en) 2005-06-02 2014-02-25 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US20080119116A1 (en) * 2006-11-17 2008-05-22 Chih-Min Wen Method and apparatus for chemical mechanical polishing
US7799689B2 (en) * 2006-11-17 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for chemical mechanical polishing including first and second polishing
US20100025395A1 (en) * 2008-07-29 2010-02-04 Ivoclar Vivadent Ag Apparatus for the heating of molding, in particular dental-ceramic moldings
US20190267284A1 (en) * 2018-02-26 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure and semiconductor device and method of forming the same
US10867851B2 (en) * 2018-02-26 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and semiconductor device and method of forming the same

Also Published As

Publication number Publication date
US6890846B2 (en) 2005-05-10
KR20030051359A (en) 2003-06-25
US20030114000A1 (en) 2003-06-19
TW200305951A (en) 2003-11-01
JP2003188254A (en) 2003-07-04

Similar Documents

Publication Publication Date Title
US6890846B2 (en) Method for manufacturing semiconductor integrated circuit device
US6838772B2 (en) Semiconductor device
US6730594B2 (en) Method for manufacturing semiconductor device
US7084063B2 (en) Fabrication method of semiconductor integrated circuit device
US20030032292A1 (en) Fabrication method of semiconductor integrated circuit device
US6815330B2 (en) Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
KR20010095332A (en) Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device
US10497614B2 (en) Semiconductor structure and fabrication method thereof
JP2006179948A (en) Semiconductor device and method of manufacturing device
JP2003347299A (en) Method for manufacturing semiconductor integrated circuit device
JP4535505B2 (en) Manufacturing method of semiconductor device
JP2002329780A (en) Fabrication method of semiconductor device and semiconductor device
JP2003124311A (en) Method for manufacturing semiconductor device and semiconductor device
JP2006156592A (en) Method for manufacturing semiconductor device
WO2003079429A1 (en) Production method for semiconductor integrated circuit device
JP2011254049A (en) Semiconductor device manufacturing method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION