US20050139160A1 - Clamshell and small volume chamber with fixed substrate support - Google Patents

Clamshell and small volume chamber with fixed substrate support Download PDF

Info

Publication number
US20050139160A1
US20050139160A1 US11/059,846 US5984605A US2005139160A1 US 20050139160 A1 US20050139160 A1 US 20050139160A1 US 5984605 A US5984605 A US 5984605A US 2005139160 A1 US2005139160 A1 US 2005139160A1
Authority
US
United States
Prior art keywords
substrate support
assembly
processing chamber
gas
gas distribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/059,846
Inventor
Lawrence Lei
Alfred Mak
Gwo-Chuan Tzu
Avi Tepman
Ming Xi
Walter Glenn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/059,846 priority Critical patent/US20050139160A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAK, ALFRED W., LEI, LAWRENCE C., GLENN, BENJAMIN, XI, MING, TEPMAN, AVI, TZU, GWO-CHUAN
Publication of US20050139160A1 publication Critical patent/US20050139160A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Definitions

  • Embodiments of the present invention generally relate to a clamshell and small volume chamber with a fixed substrate support.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • the multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.
  • the widths of vias, contacts, and other features, as well as the dielectric materials between them decrease to sub-micron dimensions (e.g., less than 0.20 micrometers or less), whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increase.
  • Many traditional deposition processes have difficulty filling sub-micron structures where the aspect ratio exceeds 4:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free and seam-free sub-micron features having high aspect ratios.
  • FIG. 1 is a schematic cross-sectional view of a prior art processing chamber 100 defining a processing region 150 .
  • An opening 112 in the chamber 100 provides access for a robot (not shown) to deliver and retrieve substrates 122 from the chamber 100 .
  • a substrate support 124 supports the substrate 122 on a substrate receiving surface 126 in the chamber 100 .
  • the substrate support 124 is mounted to a lift motor 130 to raise and lower the substrate support 124 .
  • the lift motor 130 lowers the substrate support 124 to a substrate transferring position in which the substrate receiving surface 126 is below the opening 112 so that substrates 122 may be transferred to or from the substrate support 124 .
  • the lift motor 130 raises the substrate support 124 to a deposition position in which the substrate 122 is in close proximity to a showerhead 140 .
  • the showerhead 140 has a central gas inlet 144 for the injection of gases and has a plurality of holes 142 to accommodate the flow of gases therethrough to the substrate 122 disposed on the substrate support 124 .
  • chamber 100 aligning the substrate support 124 within the chamber 100 .
  • the substrate support 124 may require removal so that the area under the substrate support 124 can be cleaned during routine maintenance. Reinstallation of the substrate support 124 requires aligning the substrate support 124 within the chamber 100 . Misalignment of the substrate support 124 may cause non-uniformity of processes performed in the chamber.
  • Embodiments of the present invention generally relate to a clamshell and small volume chamber with a fixed substrate support.
  • a processing chamber includes a fixed substrate support having a substrate receiving surface, a pumping ring disposed around a perimeter of the substrate receiving surface, and a gas distribution assembly disposed over the fixed substrate support.
  • the pumping ring forms at least a portion of a pumping channel and has one or more apertures formed therethrough.
  • the chamber may further include a gas-flow diffuser disposed radially inward of the apertures of the pumping ring.
  • a processing chamber includes a first assembly comprising a fixed substrate support and a second assembly comprising a gas distribution assembly.
  • the first assembly includes a first assembly body that is shaped and sized so that at least a portion of the first assembly body is below the substrate receiving surface of the substrate support.
  • a hinge assembly couples the first assembly and the second assembly. The first assembly and the second assembly can be selectively positioned between an open position and a closed position.
  • FIG. 1 is a schematic cross-sectional view of a prior art processing chamber.
  • FIG. 2 is a schematic perspective view of one embodiment of a chamber of the invention in an open position.
  • FIG. 3 is a schematic perspective view of the chamber of FIG. 2 in a closed position.
  • FIG. 4 is a schematic cross-sectional view of the bottom assembly of the chamber of FIG. 2 .
  • FIG. 5 is a schematic cross-sectional view of one embodiment of a gas distribution assembly.
  • FIG. 6 is a schematic cross-sectional view of another embodiment of a gas distribution assembly.
  • FIG. 7 is a schematic cross-sectional view of another embodiment of a gas distribution assembly.
  • FIG. 7A is a top cross-sectional view of the gas distribution assembly of FIG. 7 .
  • FIG. 8 is a schematic cross-sectional view of another embodiment of a gas distribution assembly.
  • FIG. 9 is a schematic cross-sectional view of the top assembly and the bottom assembly in a closed position.
  • FIG. 2 is a schematic perspective view of one embodiment of a chamber 200 comprising a top assembly 210 and a bottom assembly 240 in an open position.
  • the bottom assembly 240 includes a fixed substrate support 242 having a substrate receiving surface 244 to support a substrate thereon.
  • the term “fixed substrate support” as used herein is defined to refer to a substrate support which is substantially non-moving vertically (i.e., a fixed elevation) during processing of substrates within the chamber. In some embodiments, the fixed substrate support may rotate and/or may move horizontally during processing of substrates. It is understood that a “fixed substrate support” may be repositioned, removed, or replaced from the chamber when substrate are not being processed within the chamber.
  • the top assembly 210 includes a gas distribution assembly 212 to provide process gases (i.e. reaction gases, purge gases, and/or carrier gases) to the substrate support 242 .
  • the top assembly 210 and the bottom assembly 240 act as a “clamshell pair” which may be selectively moved between an open position and a closed position.
  • An open position provides access for cleaning or replacing of interior components of the chamber 200 .
  • the gas distribution assembly 210 is disposed over the substrate receiving surface 244 of the substrate support 242 for processing of substrates through the chamber 200 .
  • a processing zone is defined between the substrate support 242 and the gas distribution assembly 212 and between the sidewall of the chamber 200 .
  • the top assembly 210 and the bottom assembly 240 are coupled together with a hinge assembly 220 .
  • the top assembly 240 includes a handle 222 to assist in moving the chamber 200 between an open position and a closed position.
  • the top assembly 210 includes a partial sidewall 236 and the bottom assembly 240 includes a partial sidewall 238 .
  • the partial sidewall 236 of the top assembly 210 and the partial sidewall 238 of the bottom assembly 240 together form the sidewall of the chamber 200 .
  • the partial sidewall 238 of the bottom assembly 240 permits access below the substrate support 242 without having to remove the substrate support 242 and, thus, allows for simplified cleaning of areas underneath the substrate support 242 .
  • FIG. 3 is a schematic perspective view of the top assembly 210 and the bottom assembly 240 of FIG. 2 in a closed position.
  • the top assembly 210 may include one or more valves 230 , such as electronically controlled valves, pneumatically controlled valves, or other suitable valves, to deliver gases to the gas distribution system 212 (as shown in FIG. 2 ).
  • the valves are three-port valves adapted to receive a flow of a reactant gas from a first port, adapted to receive a flow of a purge gas from a second port, and adapted to deliver the purge gas alone and in combination with the reactant gas to a third port.
  • the valves 230 are mounted to or in close proximity to a top surface of the top assembly 210 and may be mounted in any position (i.e., vertically, horizontally, or any position in between).
  • the top assembly 210 may further include a gate valve 232 having an inlet adapted to be in fluid communication with a remote plasma source 234 .
  • the remote plasma source 234 is adapted to provide a plasma to the gas distribution assembly 212 (as shown in FIG. 2 ) to clean chamber components.
  • a remote plasma source is an ASTRONTM remote plasma source available from by ASTeX of Woburn, Mass.
  • FIG. 4 is a schematic cross-sectional view of the bottom assembly 240 of FIG. 2 .
  • the upper surface of the body 241 of the bottom assembly 240 is angled so that one portion of the body 241 a is above a plane of the substrate receiving surface 244 and one portion of the body 241 b is below the plane of the substrate receiving surface 244 .
  • the portion of the body 241 a above the plane of the substrate receiving surface 244 forms the partial sidewall 238 .
  • the portion of the body 241 b below the plane of the substrate receiving surface permits access below the substrate support 242 by removing a pumping ring 270 , which is discussed in greater detail below. Since the area underneath the substrate support 242 may be accessed without having to remove the substrate support 242 , cleaning of this area is simplified.
  • the bottom assembly 240 may include a slit valve 266 located in the portion of the body 241 a above the plane of the substrate receiving surface 244 to provide access for a robot to deliver and retrieve substrates from the chamber.
  • the top assembly 210 may include a slit valve.
  • the slit valve 266 is preferably adapted to provide access for a thin wrist robot so that the volume of the processing zone defined between the substrate support 242 and the gas distribution assembly 212 may be reduced.
  • Lift pins 252 are movably disposed through the substrate support 242 to raise and lower a substrate over the substrate receiving surface 244 .
  • a lift plate 254 connected to a lift motor 256 may be mounted to the bottom assembly 240 to raise and lower the lift pins 252 .
  • the substrate support 242 may be adapted to secure a substrate thereon using a vacuum chuck.
  • the substrate receiving surface 244 may include raised areas 246 (i.e., bumps) adapted to support a substrate thereon and may include recessed areas 248 (i.e., grooves) adapted to support a low pressure region via fluid communication with a vacuum supply from a vacuum introduced through a port 250 .
  • the port 250 may provide a backside gas to enhance thermal conduction between the substrate support 242 and a substrate disposed thereon.
  • the substrate support may also be adapted to hold a substrate thereon, by other techniques.
  • the substrate support may include an electrostatic chuck.
  • the substrate support 242 may be heated using an embedded heated element 258 to heat a substrate disposed thereon.
  • the substrate support may also be heated using other heating sources, such as heating lamps disposed above and/or below the substrate.
  • a purge member 260 such as a purge ring, may be positioned on or adjacent the substrate support 242 to form an annular purge gas channel 262 .
  • a purge gas conduit 264 is formed through the substrate support 242 and the stem 243 of the substrate support 242 .
  • the purge gas conduit 264 is in fluid communication with a purge gas supply to provide a purge gas to the annular purge gas channel 262 .
  • a purge gap 263 between the purge member 260 and the substrate support 242 directs the purge gas to a perimeter portion of the substrate supporting surface 242 to help prevent deposition at the edge and/or backside of the substrate.
  • the bottom assembly 240 may further include a pumping ring 270 which defines an upper surface of a pumping channel 272 .
  • the pumping ring 270 may be an annular member or any other shape depending on the shape of the substrate receiving surface 244 .
  • the pumping channel 272 is in fluid communication with a pumping port 276 coupled to a vacuum source 278 .
  • the pumping port 276 is located adjacent one side of the chamber 200 .
  • the pumping ring 270 includes a plurality of apertures 274 formed therethrough for the flow of gases from the processing zone to the pumping channel 272 and then, from the pumping channel 272 to the pumping port 276 exiting the chamber 200 .
  • the upper surface of the pumping channel 272 is disposed below a plane of the substrate receiving surface 244 .
  • the apertures are uniformly sized and uniformly spaced around the pumping ring 270 .
  • the size, the number, and the position of the apertures 274 in the pumping ring 270 may vary depending on the desired flow pattern of gases across the substrate receiving surface 244 .
  • the apertures 274 may be adapted to help provide a uniform pressure drop around the perimeter of the substrate receiving surface 244 .
  • the size of the apertures 274 a in close proximity to the pumping port 276 may be smaller than the size of the apertures 274 b farther from the pumping port 276 .
  • the apertures 274 are uniformly size and are positioned in greater number farther from the pumping port 276 .
  • the diameter of each aperture 274 is preferably greater than the depth of the aperture 274 so that the diameter of each aperture 274 controls restriction of gas flow therethrough rather than the depth of the aperture 274 .
  • the total cross-sectional area of the apertures 274 is less than the cross-sectional area 277 of the pumping port 276 so that apertures 274 choke the flow of gas flow therethrough to the pumping port 276 .
  • the total cross-sectional area of the apertures 274 is between about ⁇ fraction (1/10) ⁇ and about 1 ⁇ 3 the cross-sectional area 277 of the pumping port 276 .
  • the total cross-sectional area of the apertures 274 for a chamber operated at a low pressure is greater than the total cross-sectional area of apertures 274 for a chamber operated at a high pressure.
  • a gas-flow diffuser 280 may be disposed on the pumping ring 270 radially inward of the apertures 274 to change the flow path of gases to the apertures 274 .
  • the gas-flow diffuser 280 extends partially around the substrate receiving surface 244 and is tapered from its highest height proximate apertures 274 a adjacent the pumping port 276 .
  • the gas-flow diffuser 280 extends partially around the substrate receiving surface 244 to allow for transport of a substrate between the slit valve 266 and the lift pins 252 .
  • the gas-flow diffuser 280 may extend entirely around the substrate receiving surface 244 .
  • the height of the gas-flow diffuser 280 may vary along its length in steps and/or in tapered segments. Alternatively, the gas-flow diffuser may have a uniform height. At least a portion of the gas-flow diffuser 280 extends above a plane defined by the substrate receiving surface 244 . Not wishing to be bound by theory, it is believed that the gas-flow diffuser 280 helps provide a uniform pressure drop around the substrate receiving surface 244 .
  • the substrate support 242 is sized and shaped to provide a gap 284 between the substrate support 242 and the pumping ring 270 .
  • the width of the gap 284 may be selected to control heat transfer between the substrate support 242 and the pumping ring 270 , to control the flow of purge gas between the substrate support 242 and pumping ring 270 , and/or to allow for thermal expansion of the substrate support 242 .
  • the width of the gap 284 is between about 0.03 inches and about 0.12 inches.
  • a purge gas port 286 may be disposed below the substrate support 242 to provide a bottom purge gas which flows through the gap 284 to the apertures 274 to prevent the flow of process gases below the substrate support 242 and prevent gases from entering and depositing in the area below the substrate support 242 .
  • the purge gas port 286 is adapted to provide a bottom purge gas to a higher pressure than the pressure in the processing zone defined between the substrate support 242 and the gas distribution assembly 212 .
  • FIG. 5 is a schematic cross-sectional view of one embodiment of gas distribution assembly 212 A.
  • the gas distribution system illustrated in FIG. 5 is more fully described in U.S. patent application (Ser. No. 10/032,293) entitled “Chamber Hardware Design For Titanium Nitride Atomic Layer Deposition” to Nguyen et al. filed on Dec. 21, 2001, which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • Gas distribution assembly 212 A comprises a lid plate. 522 and a distribution plate 530 disposed below the lid plate 522 which provide one or more isolated zones/flow paths therethrough.
  • a first flow path is provided through an outlet gas channel 554 A formed through the lid plate 554 and through centrally located openings 531 A and 531 B formed through the distribution plate 530 to the processing zone.
  • An inner diameter of the gas channel 554 A gradually increases within the lid plate 522 to decrease the velocity of the flow of gas therethrough.
  • a dispersion plate 532 is also disposed adjacent the openings 531 A, 531 B to prevent the flow of gas therethrough from impinging directly on the substrate surface by slowing and re-directing the velocity profile of the flowing gases.
  • a second flow path is provided through an outlet gas channel 554 B formed through the lid plate 554 , through a cavity 556 formed between the lid plate 554 and distribution plate 530 , and through apertures 533 formed in the distribution plate 530 .
  • the position of the apertures 533 may vary along the cavity 556 .
  • Different valves are coupled to the outlet gas channel 554 A and the outlet gas channel 554 B to provide a first gas through the first flow path and to provide a second gas through the second flow path.
  • the lid plate 522 and the distribution plate 530 may be adapted to provide one flow path or more than two flow paths.
  • FIG. 6 is a schematic cross-sectional view of another embodiment of a gas distribution system 212 B.
  • the gas distribution system 212 B is shown and described in U.S. patent application Ser. No. 10/016,300 entitled “Lid Assembly For A Processing System To Facilitate Sequential Deposition Techniques,” filed on Dec. 12, 2001, which claims priority to U.S. Provisional Application Ser. No. 60/305,970 filed on Jul. 16, 2001, which are both incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.
  • the gas distribution system 212 B includes a lid 621 and a process fluid injection assembly 630 to deliver reactive gases (i.e. precursor, reductant, oxidant), carrier gases, purge gases, cleaning gases and/or other fluids into the processing chamber.
  • the fluid injection assembly 630 includes a gas manifold 634 mounting a plurality of control valves 632 (one is shown in FIG. 6 ), and a baffle plate 636 .
  • Each valve 632 is fluidly coupled to a separate trio of gas channels 671 a , 671 b , 673 (one trio is shown in FIG. 6 ) of the gas manifold 634 .
  • Gas channel 671 a provides passage of gases through the gas manifold 634 to the valve 632 .
  • Gas channel 671 b delivers gases from the valve 632 through the gas manifold 634 and into a gas channel 673 .
  • Channel 673 is fluidly coupled to a respective inlet passage 686 disposed through the lid 621 . Gases flowing through the inlet passages 686 flow into a plenum or region 688 defined between the lid 621 and the baffle plate 636 before entering the processing zone.
  • the baffle plate 636 is utilized to prevent gases injected into the processing zone from blowing off gases adsorbed onto the surface of the substrate.
  • the baffle plate 636 may include a mixing lip 684 to re-direct gases toward the center of the plenum 688 and into the process chamber.
  • FIG. 7 is a schematic cross-sectional view of another embodiment of a gas distribution system 212 C.
  • the gas distribution system 212 C is shown and described in U.S. patent application Ser. No. 10/032,284 entitled “Gas Delivery Apparatus and Method for Atomic Layer Deposition,” filed on Dec. 21, 2001, which claims benefit of U.S. provisional Patent Application Ser. No. 60/346,086, entitled “Method and Apparatus for ALD Deposition,” filed Oct. 26, 2001, which are both incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.
  • the gas distribution system 212 C comprises a chamber lid 732 .
  • the chamber lid 732 includes an expanding channel 734 extending from a central portion of the chamber lid 732 and a bottom surface 760 extending from the expanding channel 734 to a peripheral portion of the chamber lid 732 .
  • the bottom surface 760 is sized and shaped to substantially cover a substrate disposed on the substrate support.
  • the expanding channel 734 has gas inlets 736 A, 736 B to provide gas flows from two similar valves.
  • the gas inlets 736 A, 736 B are located adjacent the upper portion 737 of the expanding channel 734 . In other embodiments, one or more gas inlets may be located along the length of the expanding channel 734 between the upper portion 737 and a lower portion 735 .
  • Each gas conduit 750 A, 750 B and gas inlet 736 A, 736 B may be positioned horizontally normal to the longitudinal axis 790 or may be angled downwardly at an angle + ⁇ or may be angled upwardly at an angle ⁇ to the longitudinal axis 790 .
  • the expanding channel 734 comprises a channel which has an inner diameter which increases from an upper portion 737 to the lower portion 735 of the expanding channel 734 adjacent the bottom surface 760 of the chamber lid 732 .
  • a gas is provided toward the walls of the expanding channel 734 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 734 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants adsorbed on the surface of the substrate.
  • FIG. 7A is a top cross-sectional view of one embodiment of the expanding channel of the chamber lid of FIG. 7 .
  • Each gas conduit 750 A, 750 B may be positioned at an angle a from a center line of the gas conduit 750 A, 750 B and from a radius line from the center of the expanding channel 734 .
  • Entry of a gas through the gas conduit 750 A, 750 B preferably positioned at an angle a (i.e., when ⁇ >0°) causes the gas to flow in a circular direction as shown by arrows.
  • At least a portion of the bottom surface 760 of the chamber lid 732 may be tapered from the expanding channel 734 to a peripheral portion of the chamber lid 732 to help provide an improved velocity profile of a gas flow from the expanding channel 734 across the surface of the substrate (i.e., from the center of the substrate to the edge of the substrate).
  • the bottom surface 760 is tapered in the shape of a funnel.
  • the bottom surface 760 is downwardly sloping to help reduce the variation in the velocity of the gases as it travels between the bottom surface 760 of the chamber lid 732 and the substrate to help provide uniform exposure of the surface of the substrate to a reactant gas.
  • FIG. 8 is a schematic cross-section view of another embodiment of a gas distribution system 212 D.
  • the gas distribution system 212 D is shown and described in U.S. patent application Ser. No. 10/118,664 (APPM/6422), which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • Gas distribution system 212 comprises a gas box 832 , a top shower plate 860 positioned below the gas box 832 , and a bottom shower plate 870 positioned below the top shower plate 860 .
  • the gas distribution system 830 is adapted to provide gas flows to the substrate.
  • the gas box 832 comprises a central gas channel 837 and a plurality of outer gas channels 843 .
  • the central gas channel 837 provides one discrete path for the flow of one or more gases through the gas box 832 while the outer channels 843 provides another discrete path for the flow of one or more gases through the gas box 832 .
  • the central gas channel 837 is coupled to a first gas source through a first valve.
  • the central gas channel 837 has a first gas outlet 838 and is adapted to deliver a first gas from the first gas source 835 to a gas conduit 810 .
  • gas as used herein is intended to mean a single gas or a gas mixture.
  • the outer gas channels 843 are coupled to a second gas source through a second valve 842 .
  • the outer gas channels 843 have second gas outlets 844 and are adapted to deliver a second gas from the second gas source 841 to the top shower plate 860 .
  • the second gas outlets 844 of the outer gas channels 843 are adapted to deliver the second gas proximate a central portion of the top shower plate.
  • the top shower plate 860 has a plurality of holes 862 to accommodate a gas flow therethrough from the outer gas channels 843 of the gas box 832 to the bottom shower plate 870 .
  • the gas conduit 810 is disposed through an aperture 863 in the top shower plate 860 and is disposed on the bottom shower plate 870 .
  • the bottom shower plate 870 comprises a first piece 872 connected to a second piece 880 .
  • the first piece 872 has a plurality of holes 874 to provide a flow of a gas therethrough.
  • the second piece 880 comprises a plurality of columns 882 having column holes 883 formed therethrough and a plurality of grooves 884 having groove holes 885 formed therethrough.
  • the top surface of the columns 882 are connected to the bottom surface of the first piece 872 so that the column holes 883 align with the holes 874 of the first piece 872 . Therefore, one discrete passageway is provided through the holes of the first piece 872 and through the column holes 883 of the columns 882 to deliver a gas flow from the top shower plate 860 to the substrate.
  • An aperture 875 is formed through the first piece 872 and aligns with the grooves on the second piece 880 . Therefore, another discrete passageway is provided through the aperture 875 of the first piece 872 and through the grooves 884 and groove holes 885 of the second piece 880 to deliver a gas flow from the gas conduit 810 .
  • FIG. 9 is a schematic cross-sectional view of the top assembly 210 and the bottom assembly 240 of chamber 200 in a closed position.
  • the top assembly 210 includes a gas distribution system 212 , such as the gas distribution systems described in reference to FIGS. 5-8 or any other suitable gas distribution system.
  • a gas distribution system 212 such as the gas distribution systems described in reference to FIGS. 5-8 or any other suitable gas distribution system.
  • the chamber provides easy access underneath the substrate support 242 . Therefore, the chamber may be cleaned without removing and realigning the substrate support 242 .
  • reactant gases flow from the gas distribution system 212 to a processing zone defined between the substrate support 242 of the bottom assembly 240 and the gas distribution assembly 212 of the top assembly 210 .
  • the spacing between the gas distribution assembly 212 and the substrate support 242 is about 0.75 inches or less to minimize the volume of the processing zone.
  • the bottom purge gas flowing through the gap 284 between the substrate support 242 and the pumping ring 270 prevents the flow of process gases below the substrate support 242 .
  • a smaller amount of reactant gases and/or purge gases are required to be provided to the chamber 200 through the gas distribution assembly 212 since reactant gases/purge gases from the gas distribution assembly 212 do not fill the volume below the substrate support 242 .
  • the throughput of the chamber 200 is greater and waste may be minimized due to the smaller amount of gases used.
  • the time duration of pulses of a compound may be reduced.
  • the time duration required to purge the chamber of a compound may be reduced.
  • the chamber 200 as shown and described in reference to FIGS. 2-9 may be used to form any suitable material, such as aluminum oxide, other metal oxides, tantalum nitride, tantalum, tantalum silicon nitride, copper, copper aluminum, titanium nitride, titanium, titanium silicon nitride, tungsten nitride, tungsten, tungsten silicon nitride, organosilanes or organosiloxanes, other refractory metals, other refractory metal nitrides, other refractory metal compounds, other metals, other metal alloys, other high dielectric constant materials, other low dielectric constant materials, and other materials.
  • suitable material such as aluminum oxide, other metal oxides, tantalum nitride, tantalum, tantalum silicon nitride, copper, copper aluminum, titanium nitride, titanium, titanium silicon nitride, tungsten nitride, tungsten, tungsten silicon nitride,
  • the chamber 200 may be used to perform any suitable deposition technique, such as chemical vapor deposition, atomic layer deposition, cyclical layer deposition, and other suitable deposition techniques. Preferably, the chamber 200 is particularly advantageous in performing cyclical layer deposition.
  • cyclical layer deposition refers to the sequential introduction of pulses of one or more compounds to deposit a thin layer of material on a substrate.
  • Compounds can be reactants, reductants, precursors, catalysts, and mixtures thereof. Sequentially providing pulses of compounds may result in the formation of thin layers of material over a substrate structure. Each thin layer of material may be less than a monolayer, a monolayer, or more than a monolayer of material.
  • a method of depositing an aluminum oxide layer in chamber 200 over a substrate includes introducing an aluminum-containing compound, such as trimethyl aluminum, and an oxidizing compound through the gas distribution system 212 .
  • the aluminum containing compound and the oxidizing compound may be introduced as a cycle of pulses through the gas distribution system 212 .
  • a purge gas may be used to at least partially separate pulses of the aluminum containing compound and the oxidizing compound.
  • the pulses of the aluminum containing compound and the oxidizing compound are dosed into a continuous flow of a purge gas.
  • pulses of a purge gas are introduced through the gas distribution system 212 .
  • the process may further include one or more annealing sequences and/or oxidizing sequences performed at various times during the aluminum oxide deposition cycle. For example, an annealing step may be performed after every deposition cycle or after any number of cycles are performed. As an example, an annealing step may be performed every third cycle, every four cycle, etc. or at a midpoint during the deposition process.. Other deposition processes of aluminum oxide are also possible.
  • Simulations were conducted of the flow of gases in regards to chambers, such as a chamber described in reference to FIG. 2 and FIG. 4 , having gas-flow diffusers of different heights.
  • An uniform top flow of gases was provided to the substrate.
  • Each chamber included a pumping ring having 24 apertures and a gas-flow diffuser extending between about 60% and about 70% around the perimeter of the substrate receiving surface 244 .
  • the gas-flow diffuser had a tapered height with a maximum height of about 0.8 inches.
  • the gas-flow diffuser had a tapered height with a maximum height of about 0.7 inches.
  • the simulations estimated the velocity of gases 0.1 inch above a substrate positioned on a substrate support of the chambers.
  • the simulations of Example 1 and Example 2 showed that the flow of gases were substantially uniform across the surface of the substrate.

Abstract

Embodiments of the present invention generally relate to a small volume chamber with a substrate support. One embodiment of a processing chamber includes a first assembly having a substrate support, a pumping ring disposed around a perimeter of the substrate receiving surface, and a gas distribution assembly disposed over the substrate support. The chamber may further include a gas distribution assembly disposed over the substrate support. The first assembly and the gas distribution assembly can be selectively positioned between an open position and a closed position.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 10/302,774, filed Nov. 21, 2002, which claims benefit of U.S. provisional patent application Ser. No. 60/352,190, filed Jan. 26, 2002. Each of the aforementioned related patent applications is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to a clamshell and small volume chamber with a fixed substrate support.
  • 2. Description of the Related Art
  • Reliably producing sub-micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, as the fringes of circuit technology are pressed, the shrinking dimensions of interconnects in VLSI and ULSI technology have placed additional demands on the processing capabilities. The multilevel interconnects that lie at the heart of this technology require precise processing of high aspect ratio features, such as vias and other interconnects. Reliable formation of these interconnects is very important to VLSI and ULSI success and to the continued effort to increase circuit density and quality of individual substrates.
  • As circuit densities increase, the widths of vias, contacts, and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions (e.g., less than 0.20 micrometers or less), whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increase. Many traditional deposition processes have difficulty filling sub-micron structures where the aspect ratio exceeds 4:1. Therefore, there is a great amount of ongoing effort being directed at the formation of substantially void-free and seam-free sub-micron features having high aspect ratios.
  • FIG. 1 is a schematic cross-sectional view of a prior art processing chamber 100 defining a processing region 150. An opening 112 in the chamber 100 provides access for a robot (not shown) to deliver and retrieve substrates 122 from the chamber 100. A substrate support 124 supports the substrate 122 on a substrate receiving surface 126 in the chamber 100. The substrate support 124 is mounted to a lift motor 130 to raise and lower the substrate support 124. In one aspect, the lift motor 130 lowers the substrate support 124 to a substrate transferring position in which the substrate receiving surface 126 is below the opening 112 so that substrates 122 may be transferred to or from the substrate support 124. In another aspect, the lift motor 130 raises the substrate support 124 to a deposition position in which the substrate 122 is in close proximity to a showerhead 140. The showerhead 140 has a central gas inlet 144 for the injection of gases and has a plurality of holes 142 to accommodate the flow of gases therethrough to the substrate 122 disposed on the substrate support 124.
  • One problem with the use of chamber 100 is aligning the substrate support 124 within the chamber 100. The substrate support 124 may require removal so that the area under the substrate support 124 can be cleaned during routine maintenance. Reinstallation of the substrate support 124 requires aligning the substrate support 124 within the chamber 100. Misalignment of the substrate support 124 may cause non-uniformity of processes performed in the chamber.
  • Thus, there is a need for an improved processing chamber useful for deposition processes such as atomic layer deposition and cyclical layer deposition.
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention generally relate to a clamshell and small volume chamber with a fixed substrate support. One embodiment of a processing chamber includes a fixed substrate support having a substrate receiving surface, a pumping ring disposed around a perimeter of the substrate receiving surface, and a gas distribution assembly disposed over the fixed substrate support. The pumping ring forms at least a portion of a pumping channel and has one or more apertures formed therethrough. The chamber may further include a gas-flow diffuser disposed radially inward of the apertures of the pumping ring.
  • Another embodiment of a processing chamber includes a first assembly comprising a fixed substrate support and a second assembly comprising a gas distribution assembly. The first assembly includes a first assembly body that is shaped and sized so that at least a portion of the first assembly body is below the substrate receiving surface of the substrate support. A hinge assembly couples the first assembly and the second assembly. The first assembly and the second assembly can be selectively positioned between an open position and a closed position.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic cross-sectional view of a prior art processing chamber.
  • FIG. 2 is a schematic perspective view of one embodiment of a chamber of the invention in an open position.
  • FIG. 3 is a schematic perspective view of the chamber of FIG. 2 in a closed position.
  • FIG. 4 is a schematic cross-sectional view of the bottom assembly of the chamber of FIG. 2.
  • FIG. 5 is a schematic cross-sectional view of one embodiment of a gas distribution assembly.
  • FIG. 6 is a schematic cross-sectional view of another embodiment of a gas distribution assembly.
  • FIG. 7 is a schematic cross-sectional view of another embodiment of a gas distribution assembly.
  • FIG. 7A is a top cross-sectional view of the gas distribution assembly of FIG. 7.
  • FIG. 8 is a schematic cross-sectional view of another embodiment of a gas distribution assembly.
  • FIG. 9 is a schematic cross-sectional view of the top assembly and the bottom assembly in a closed position.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 2 is a schematic perspective view of one embodiment of a chamber 200 comprising a top assembly 210 and a bottom assembly 240 in an open position. The bottom assembly 240 includes a fixed substrate support 242 having a substrate receiving surface 244 to support a substrate thereon. The term “fixed substrate support” as used herein is defined to refer to a substrate support which is substantially non-moving vertically (i.e., a fixed elevation) during processing of substrates within the chamber. In some embodiments, the fixed substrate support may rotate and/or may move horizontally during processing of substrates. It is understood that a “fixed substrate support” may be repositioned, removed, or replaced from the chamber when substrate are not being processed within the chamber. The top assembly 210 includes a gas distribution assembly 212 to provide process gases (i.e. reaction gases, purge gases, and/or carrier gases) to the substrate support 242.
  • The top assembly 210 and the bottom assembly 240 act as a “clamshell pair” which may be selectively moved between an open position and a closed position. An open position provides access for cleaning or replacing of interior components of the chamber 200. In a closed position, the gas distribution assembly 210 is disposed over the substrate receiving surface 244 of the substrate support 242 for processing of substrates through the chamber 200. In a closed position, a processing zone is defined between the substrate support 242 and the gas distribution assembly 212 and between the sidewall of the chamber 200. The top assembly 210 and the bottom assembly 240 are coupled together with a hinge assembly 220. The top assembly 240 includes a handle 222 to assist in moving the chamber 200 between an open position and a closed position.
  • As shown in this embodiment, the top assembly 210 includes a partial sidewall 236 and the bottom assembly 240 includes a partial sidewall 238. The partial sidewall 236 of the top assembly 210 and the partial sidewall 238 of the bottom assembly 240 together form the sidewall of the chamber 200. In one aspect when the chamber 200 is in an open position, the partial sidewall 238 of the bottom assembly 240 permits access below the substrate support 242 without having to remove the substrate support 242 and, thus, allows for simplified cleaning of areas underneath the substrate support 242.
  • FIG. 3 is a schematic perspective view of the top assembly 210 and the bottom assembly 240 of FIG. 2 in a closed position. The top assembly 210 may include one or more valves 230, such as electronically controlled valves, pneumatically controlled valves, or other suitable valves, to deliver gases to the gas distribution system 212 (as shown in FIG. 2). Preferably, the valves are three-port valves adapted to receive a flow of a reactant gas from a first port, adapted to receive a flow of a purge gas from a second port, and adapted to deliver the purge gas alone and in combination with the reactant gas to a third port. Preferably, the valves 230 are mounted to or in close proximity to a top surface of the top assembly 210 and may be mounted in any position (i.e., vertically, horizontally, or any position in between). The top assembly 210 may further include a gate valve 232 having an inlet adapted to be in fluid communication with a remote plasma source 234. In one embodiment, the remote plasma source 234 is adapted to provide a plasma to the gas distribution assembly 212 (as shown in FIG. 2) to clean chamber components. One example of a remote plasma source is an ASTRON™ remote plasma source available from by ASTeX of Woburn, Mass.
  • FIG. 4 is a schematic cross-sectional view of the bottom assembly 240 of FIG. 2. The upper surface of the body 241 of the bottom assembly 240 is angled so that one portion of the body 241 a is above a plane of the substrate receiving surface 244 and one portion of the body 241 b is below the plane of the substrate receiving surface 244. The portion of the body 241 a above the plane of the substrate receiving surface 244 forms the partial sidewall 238. In one aspect, the portion of the body 241 b below the plane of the substrate receiving surface permits access below the substrate support 242 by removing a pumping ring 270, which is discussed in greater detail below. Since the area underneath the substrate support 242 may be accessed without having to remove the substrate support 242, cleaning of this area is simplified.
  • The bottom assembly 240 may include a slit valve 266 located in the portion of the body 241 a above the plane of the substrate receiving surface 244 to provide access for a robot to deliver and retrieve substrates from the chamber. Alternatively, the top assembly 210 may include a slit valve. In either case, the slit valve 266 is preferably adapted to provide access for a thin wrist robot so that the volume of the processing zone defined between the substrate support 242 and the gas distribution assembly 212 may be reduced.
  • Lift pins 252 are movably disposed through the substrate support 242 to raise and lower a substrate over the substrate receiving surface 244. A lift plate 254 connected to a lift motor 256 may be mounted to the bottom assembly 240 to raise and lower the lift pins 252. The substrate support 242 may be adapted to secure a substrate thereon using a vacuum chuck. For example, the substrate receiving surface 244 may include raised areas 246 (i.e., bumps) adapted to support a substrate thereon and may include recessed areas 248 (i.e., grooves) adapted to support a low pressure region via fluid communication with a vacuum supply from a vacuum introduced through a port 250. Alternatively or in addition, the port 250 may provide a backside gas to enhance thermal conduction between the substrate support 242 and a substrate disposed thereon. The substrate support may also be adapted to hold a substrate thereon, by other techniques. For example, the substrate support may include an electrostatic chuck. The substrate support 242 may be heated using an embedded heated element 258 to heat a substrate disposed thereon. The substrate support may also be heated using other heating sources, such as heating lamps disposed above and/or below the substrate. A purge member 260, such as a purge ring, may be positioned on or adjacent the substrate support 242 to form an annular purge gas channel 262. A purge gas conduit 264 is formed through the substrate support 242 and the stem 243 of the substrate support 242. The purge gas conduit 264 is in fluid communication with a purge gas supply to provide a purge gas to the annular purge gas channel 262. A purge gap 263 between the purge member 260 and the substrate support 242 directs the purge gas to a perimeter portion of the substrate supporting surface 242 to help prevent deposition at the edge and/or backside of the substrate.
  • The bottom assembly 240 may further include a pumping ring 270 which defines an upper surface of a pumping channel 272. The pumping ring 270 may be an annular member or any other shape depending on the shape of the substrate receiving surface 244. The pumping channel 272 is in fluid communication with a pumping port 276 coupled to a vacuum source 278. In one embodiment, the pumping port 276 is located adjacent one side of the chamber 200. The pumping ring 270 includes a plurality of apertures 274 formed therethrough for the flow of gases from the processing zone to the pumping channel 272 and then, from the pumping channel 272 to the pumping port 276 exiting the chamber 200. Preferably, the upper surface of the pumping channel 272 is disposed below a plane of the substrate receiving surface 244. As shown in this embodiment, the apertures are uniformly sized and uniformly spaced around the pumping ring 270. In other embodiments, the size, the number, and the position of the apertures 274 in the pumping ring 270 may vary depending on the desired flow pattern of gases across the substrate receiving surface 244. For example, the apertures 274 may be adapted to help provide a uniform pressure drop around the perimeter of the substrate receiving surface 244. In one example, the size of the apertures 274 a in close proximity to the pumping port 276 may be smaller than the size of the apertures 274 b farther from the pumping port 276. In another example, the apertures 274 are uniformly size and are positioned in greater number farther from the pumping port 276.
  • In one aspect, the diameter of each aperture 274 is preferably greater than the depth of the aperture 274 so that the diameter of each aperture 274 controls restriction of gas flow therethrough rather than the depth of the aperture 274. In another aspect, the total cross-sectional area of the apertures 274 is less than the cross-sectional area 277 of the pumping port 276 so that apertures 274 choke the flow of gas flow therethrough to the pumping port 276. Preferably, the total cross-sectional area of the apertures 274 is between about {fraction (1/10)} and about ⅓ the cross-sectional area 277 of the pumping port 276. In general, the total cross-sectional area of the apertures 274 for a chamber operated at a low pressure is greater than the total cross-sectional area of apertures 274 for a chamber operated at a high pressure.
  • A gas-flow diffuser 280 may be disposed on the pumping ring 270 radially inward of the apertures 274 to change the flow path of gases to the apertures 274. As shown in FIG. 2 and FIG. 4, the gas-flow diffuser 280 extends partially around the substrate receiving surface 244 and is tapered from its highest height proximate apertures 274 a adjacent the pumping port 276. In one aspect, the gas-flow diffuser 280 extends partially around the substrate receiving surface 244 to allow for transport of a substrate between the slit valve 266 and the lift pins 252. In other embodiments, the gas-flow diffuser 280 may extend entirely around the substrate receiving surface 244. In addition, the height of the gas-flow diffuser 280 may vary along its length in steps and/or in tapered segments. Alternatively, the gas-flow diffuser may have a uniform height. At least a portion of the gas-flow diffuser 280 extends above a plane defined by the substrate receiving surface 244. Not wishing to be bound by theory, it is believed that the gas-flow diffuser 280 helps provide a uniform pressure drop around the substrate receiving surface 244.
  • In one embodiment, the substrate support 242 is sized and shaped to provide a gap 284 between the substrate support 242 and the pumping ring 270. The width of the gap 284 may be selected to control heat transfer between the substrate support 242 and the pumping ring 270, to control the flow of purge gas between the substrate support 242 and pumping ring 270, and/or to allow for thermal expansion of the substrate support 242. In one embodiment, the width of the gap 284 is between about 0.03 inches and about 0.12 inches. A purge gas port 286 may be disposed below the substrate support 242 to provide a bottom purge gas which flows through the gap 284 to the apertures 274 to prevent the flow of process gases below the substrate support 242 and prevent gases from entering and depositing in the area below the substrate support 242. In one embodiment, the purge gas port 286 is adapted to provide a bottom purge gas to a higher pressure than the pressure in the processing zone defined between the substrate support 242 and the gas distribution assembly 212.
  • In reference to FIG. 2, the bottom surface of the body 211 of the top assembly 210 is angled to match the angled upper surface of the body 241 of the bottom assembly 240. The gas distribution assembly 212 may be any suitable gas distribution apparatus or showerhead. FIG. 5 is a schematic cross-sectional view of one embodiment of gas distribution assembly 212A. The gas distribution system illustrated in FIG. 5 is more fully described in U.S. patent application (Ser. No. 10/032,293) entitled “Chamber Hardware Design For Titanium Nitride Atomic Layer Deposition” to Nguyen et al. filed on Dec. 21, 2001, which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • Gas distribution assembly 212A comprises a lid plate. 522 and a distribution plate 530 disposed below the lid plate 522 which provide one or more isolated zones/flow paths therethrough. As shown in FIG. 5, a first flow path is provided through an outlet gas channel 554A formed through the lid plate 554 and through centrally located openings 531A and 531B formed through the distribution plate 530 to the processing zone. An inner diameter of the gas channel 554A gradually increases within the lid plate 522 to decrease the velocity of the flow of gas therethrough. A dispersion plate 532 is also disposed adjacent the openings 531A, 531B to prevent the flow of gas therethrough from impinging directly on the substrate surface by slowing and re-directing the velocity profile of the flowing gases. Without this re-direction, the force asserted on the substrate by the flow of gas through the first flow path may prevent deposition because the kinetic energy of the impinging gas may sweep away reactive molecules already disposed on the substrate surface. A second flow path is provided through an outlet gas channel 554B formed through the lid plate 554, through a cavity 556 formed between the lid plate 554 and distribution plate 530, and through apertures 533 formed in the distribution plate 530. The position of the apertures 533 may vary along the cavity 556. Different valves are coupled to the outlet gas channel 554A and the outlet gas channel 554B to provide a first gas through the first flow path and to provide a second gas through the second flow path. In other embodiments, the lid plate 522 and the distribution plate 530 may be adapted to provide one flow path or more than two flow paths.
  • FIG. 6 is a schematic cross-sectional view of another embodiment of a gas distribution system 212B. The gas distribution system 212B is shown and described in U.S. patent application Ser. No. 10/016,300 entitled “Lid Assembly For A Processing System To Facilitate Sequential Deposition Techniques,” filed on Dec. 12, 2001, which claims priority to U.S. Provisional Application Ser. No. 60/305,970 filed on Jul. 16, 2001, which are both incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.
  • The gas distribution system 212B includes a lid 621 and a process fluid injection assembly 630 to deliver reactive gases (i.e. precursor, reductant, oxidant), carrier gases, purge gases, cleaning gases and/or other fluids into the processing chamber. The fluid injection assembly 630 includes a gas manifold 634 mounting a plurality of control valves 632 (one is shown in FIG. 6), and a baffle plate 636. Each valve 632 is fluidly coupled to a separate trio of gas channels 671 a, 671 b, 673 (one trio is shown in FIG. 6) of the gas manifold 634. Gas channel 671 a provides passage of gases through the gas manifold 634 to the valve 632. Gas channel 671 b delivers gases from the valve 632 through the gas manifold 634 and into a gas channel 673. Channel 673 is fluidly coupled to a respective inlet passage 686 disposed through the lid 621. Gases flowing through the inlet passages 686 flow into a plenum or region 688 defined between the lid 621 and the baffle plate 636 before entering the processing zone. The baffle plate 636 is utilized to prevent gases injected into the processing zone from blowing off gases adsorbed onto the surface of the substrate. The baffle plate 636 may include a mixing lip 684 to re-direct gases toward the center of the plenum 688 and into the process chamber.
  • FIG. 7 is a schematic cross-sectional view of another embodiment of a gas distribution system 212C. The gas distribution system 212C is shown and described in U.S. patent application Ser. No. 10/032,284 entitled “Gas Delivery Apparatus and Method for Atomic Layer Deposition,” filed on Dec. 21, 2001, which claims benefit of U.S. provisional Patent Application Ser. No. 60/346,086, entitled “Method and Apparatus for ALD Deposition,” filed Oct. 26, 2001, which are both incorporated by reference in their entirety to the extent not inconsistent with the present disclosure.
  • The gas distribution system 212C comprises a chamber lid 732. The chamber lid 732 includes an expanding channel 734 extending from a central portion of the chamber lid 732 and a bottom surface 760 extending from the expanding channel 734 to a peripheral portion of the chamber lid 732. The bottom surface 760 is sized and shaped to substantially cover a substrate disposed on the substrate support. The expanding channel 734 has gas inlets 736A, 736B to provide gas flows from two similar valves. The gas inlets 736A, 736B are located adjacent the upper portion 737 of the expanding channel 734. In other embodiments, one or more gas inlets may be located along the length of the expanding channel 734 between the upper portion 737 and a lower portion 735. Each gas conduit 750A, 750B and gas inlet 736A, 736B may be positioned horizontally normal to the longitudinal axis 790 or may be angled downwardly at an angle +β or may be angled upwardly at an angle −β to the longitudinal axis 790.
  • The expanding channel 734 comprises a channel which has an inner diameter which increases from an upper portion 737 to the lower portion 735 of the expanding channel 734 adjacent the bottom surface 760 of the chamber lid 732. Whether a gas is provided toward the walls of the expanding channel 734 or directly downward towards the substrate, the velocity of the gas flow decreases as the gas flow travels through the expanding channel 734 due to the expansion of the gas. The reduction of the velocity of the gas flow helps reduce the likelihood the gas flow will blow off reactants adsorbed on the surface of the substrate.
  • FIG. 7A is a top cross-sectional view of one embodiment of the expanding channel of the chamber lid of FIG. 7. Each gas conduit 750A, 750B may be positioned at an angle a from a center line of the gas conduit 750A, 750B and from a radius line from the center of the expanding channel 734. Entry of a gas through the gas conduit 750A, 750B preferably positioned at an angle a (i.e., when α>0°) causes the gas to flow in a circular direction as shown by arrows. Providing gas at an angle a as opposed to directly straight-on to the walls of the expanding channel (i.e. when α=0°) helps to provide a more laminar flow through the expanding channel 734 rather than a turbulent flow.
  • At least a portion of the bottom surface 760 of the chamber lid 732 may be tapered from the expanding channel 734 to a peripheral portion of the chamber lid 732 to help provide an improved velocity profile of a gas flow from the expanding channel 734 across the surface of the substrate (i.e., from the center of the substrate to the edge of the substrate). In one embodiment, the bottom surface 760 is tapered in the shape of a funnel. Not wishing to be bound by theory, in one aspect, the bottom surface 760 is downwardly sloping to help reduce the variation in the velocity of the gases as it travels between the bottom surface 760 of the chamber lid 732 and the substrate to help provide uniform exposure of the surface of the substrate to a reactant gas.
  • FIG. 8 is a schematic cross-section view of another embodiment of a gas distribution system 212D. The gas distribution system 212D is shown and described in U.S. patent application Ser. No. 10/118,664 (APPM/6422), which is incorporated by reference in its entirety to the extent not inconsistent with the present disclosure.
  • Gas distribution system 212 comprises a gas box 832, a top shower plate 860 positioned below the gas box 832, and a bottom shower plate 870 positioned below the top shower plate 860. The gas distribution system 830 is adapted to provide gas flows to the substrate. The gas box 832 comprises a central gas channel 837 and a plurality of outer gas channels 843. The central gas channel 837 provides one discrete path for the flow of one or more gases through the gas box 832 while the outer channels 843 provides another discrete path for the flow of one or more gases through the gas box 832. The central gas channel 837 is coupled to a first gas source through a first valve. The central gas channel 837 has a first gas outlet 838 and is adapted to deliver a first gas from the first gas source 835 to a gas conduit 810. The term “gas” as used herein is intended to mean a single gas or a gas mixture. The outer gas channels 843 are coupled to a second gas source through a second valve 842. The outer gas channels 843 have second gas outlets 844 and are adapted to deliver a second gas from the second gas source 841 to the top shower plate 860. Preferably, the second gas outlets 844 of the outer gas channels 843 are adapted to deliver the second gas proximate a central portion of the top shower plate.
  • The top shower plate 860 has a plurality of holes 862 to accommodate a gas flow therethrough from the outer gas channels 843 of the gas box 832 to the bottom shower plate 870. The gas conduit 810 is disposed through an aperture 863 in the top shower plate 860 and is disposed on the bottom shower plate 870.
  • The bottom shower plate 870 comprises a first piece 872 connected to a second piece 880. The first piece 872 has a plurality of holes 874 to provide a flow of a gas therethrough. The second piece 880 comprises a plurality of columns 882 having column holes 883 formed therethrough and a plurality of grooves 884 having groove holes 885 formed therethrough. The top surface of the columns 882 are connected to the bottom surface of the first piece 872 so that the column holes 883 align with the holes 874 of the first piece 872. Therefore, one discrete passageway is provided through the holes of the first piece 872 and through the column holes 883 of the columns 882 to deliver a gas flow from the top shower plate 860 to the substrate. An aperture 875 is formed through the first piece 872 and aligns with the grooves on the second piece 880. Therefore, another discrete passageway is provided through the aperture 875 of the first piece 872 and through the grooves 884 and groove holes 885 of the second piece 880 to deliver a gas flow from the gas conduit 810.
  • FIG. 9 is a schematic cross-sectional view of the top assembly 210 and the bottom assembly 240 of chamber 200 in a closed position. The top assembly 210 includes a gas distribution system 212, such as the gas distribution systems described in reference to FIGS. 5-8 or any other suitable gas distribution system. In one aspect, since the substrate support 242 is fixed, there is a smaller volume below the substrate support 242 since the volume does not have to take into account vertical movement of the substrate support 242. In another aspect, the chamber provides easy access underneath the substrate support 242. Therefore, the chamber may be cleaned without removing and realigning the substrate support 242.
  • In one aspect, reactant gases flow from the gas distribution system 212 to a processing zone defined between the substrate support 242 of the bottom assembly 240 and the gas distribution assembly 212 of the top assembly 210. In one embodiment, the spacing between the gas distribution assembly 212 and the substrate support 242 is about 0.75 inches or less to minimize the volume of the processing zone. The bottom purge gas flowing through the gap 284 between the substrate support 242 and the pumping ring 270 prevents the flow of process gases below the substrate support 242. A smaller amount of reactant gases and/or purge gases are required to be provided to the chamber 200 through the gas distribution assembly 212 since reactant gases/purge gases from the gas distribution assembly 212 do not fill the volume below the substrate support 242. For example, a smaller amount of reactant gases are required for a certain exposure of the substrate to the reactant gases. In addition, a smaller amount of purge gas is required to be provided through the gas distribution assembly 212 to remove the reactant gases from the chamber 200 since the purge gas does not need to remove reactant gases from the volume below the substrate support 242. Therefore, the throughput of the chamber 200 is greater and waste may be minimized due to the smaller amount of gases used. For example, the time duration of pulses of a compound may be reduced. In addition, the time duration required to purge the chamber of a compound may be reduced.
  • The chamber 200 as shown and described in reference to FIGS. 2-9 may be used to form any suitable material, such as aluminum oxide, other metal oxides, tantalum nitride, tantalum, tantalum silicon nitride, copper, copper aluminum, titanium nitride, titanium, titanium silicon nitride, tungsten nitride, tungsten, tungsten silicon nitride, organosilanes or organosiloxanes, other refractory metals, other refractory metal nitrides, other refractory metal compounds, other metals, other metal alloys, other high dielectric constant materials, other low dielectric constant materials, and other materials. The chamber 200 may be used to perform any suitable deposition technique, such as chemical vapor deposition, atomic layer deposition, cyclical layer deposition, and other suitable deposition techniques. Preferably, the chamber 200 is particularly advantageous in performing cyclical layer deposition. The term “cyclical layer deposition” as used herein refers to the sequential introduction of pulses of one or more compounds to deposit a thin layer of material on a substrate. Compounds can be reactants, reductants, precursors, catalysts, and mixtures thereof. Sequentially providing pulses of compounds may result in the formation of thin layers of material over a substrate structure. Each thin layer of material may be less than a monolayer, a monolayer, or more than a monolayer of material. The sequential introduction of pulses of compounds may be repeated to deposit a plurality of thin layers forming a conformal layer to a desired thickness. For simplicity and ease of description, however, a process for depositing an aluminum oxide film using chamber 200 is described in more detail below. In one embodiment, a method of depositing an aluminum oxide layer in chamber 200 over a substrate includes introducing an aluminum-containing compound, such as trimethyl aluminum, and an oxidizing compound through the gas distribution system 212. The aluminum containing compound and the oxidizing compound may be introduced as a cycle of pulses through the gas distribution system 212. A purge gas may be used to at least partially separate pulses of the aluminum containing compound and the oxidizing compound. In one embodiment, the pulses of the aluminum containing compound and the oxidizing compound are dosed into a continuous flow of a purge gas. In another embodiment, pulses of a purge gas are introduced through the gas distribution system 212. The process may further include one or more annealing sequences and/or oxidizing sequences performed at various times during the aluminum oxide deposition cycle. For example, an annealing step may be performed after every deposition cycle or after any number of cycles are performed. As an example, an annealing step may be performed every third cycle, every four cycle, etc. or at a midpoint during the deposition process.. Other deposition processes of aluminum oxide are also possible.
  • EXAMPLES
  • The following examples will now reveal additional details and features concerning embodiments of the processing chamber. The following examples should not be construed to limit the scope of the invention unless expressly set forth in the claims.
  • Simulations were conducted of the flow of gases in regards to chambers, such as a chamber described in reference to FIG. 2 and FIG. 4, having gas-flow diffusers of different heights. An uniform top flow of gases was provided to the substrate. Each chamber included a pumping ring having 24 apertures and a gas-flow diffuser extending between about 60% and about 70% around the perimeter of the substrate receiving surface 244. In Example 1, the gas-flow diffuser had a tapered height with a maximum height of about 0.8 inches. In Example 2, the gas-flow diffuser had a tapered height with a maximum height of about 0.7 inches. The simulations estimated the velocity of gases 0.1 inch above a substrate positioned on a substrate support of the chambers. The simulations of Example 1 and Example 2 showed that the flow of gases were substantially uniform across the surface of the substrate.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. For example, many dimensions depend on the quantity of gas flow through the chamber.

Claims (22)

1. A processing chamber adapted for cyclical layer deposition, comprising:
a first assembly having a substrate support, the substrate support having a substrate receiving surface;
a gas distribution assembly disposed over the substrate support, the gas distribution assembly having a lid plate and an expanding channel; and
a hinge assembly coupling the first assembly to the gas distribution assembly.
2. The processing chamber of claim 1, wherein the expanding channel has an upper portion extending to a lower portion with an increasing diameter.
3. The processing chamber of claim 1, wherein the lid plate has a lower surface coupled to the expanding channel, at least a portion of the lower surface tapering from the expanding channel to a peripheral portion of the lid plate.
4. The processing chamber of claim 1, wherein the expanding channel has at least one gas inlet positioned substantially horizontally to a longitudinal axis of the lid plate.
5. The processing chamber of claim 1, wherein the gas distribution assembly further comprises a fluid injection assembly.
6. The processing chamber of claim 1, the first assembly further comprising:
a pumping ring disposed around a perimeter of the substrate receiving surface, the pumping ring forming at least a portion of a pumping channel and having one or more apertures formed therethrough, wherein the one or more apertures provide fluid communication between the pumping channel and a processing zone between the substrate support and the gas distribution assembly.
7. The processing chamber of claim 6, wherein the pumping ring is shaped and sized so that the one or more apertures are positioned below a plane defined by the substrate receiving surface.
8. The processing chamber of claim 6, wherein the apertures are distributed evenly around the pumping ring.
9. The processing chamber of claim 1, further comprising a gas port below the substrate support, the gas port adapted to provide a bottom purge gas through the gap between the substrate support and the pumping channel.
10. The processing chamber of claim 1, further comprising a resistive heating element disposed in the substrate support.
11. A processing chamber adapted for cyclical layer deposition, comprising:
a first assembly having a substrate support, the substrate support having a substrate receiving surface; and
a pumping ring disposed around a perimeter of the substrate receiving surface, the pumping ring forming at least a portion of a pumping channel and having one or more apertures formed therethrough;
a gas distribution assembly disposed over the substrate support, the gas distribution assembly having a lid plate and an expanding channel, wherein the expanding channel has an upper portion extending to a lower portion with an increasing diameter; and
a hinge assembly coupling the first assembly to the gas distribution assembly.
12. The processing chamber of claim 11, wherein the lid plate has a lower surface coupled to the expanding channel, at least a portion of the lower surface tapering from the expanding channel to a peripheral portion of the lid plate.
13. The processing chamber of claim 11, wherein the expanding channel has at least one gas inlet positioned substantially horizontally to a longitudinal axis of the lid plate.
14. The processing chamber of claim 11, wherein the gas distribution assembly further comprises a fluid injection assembly.
15. The processing chamber of claim 11, wherein the one or more apertures provide fluid communication between the pumping channel and a processing zone between the substrate support and the gas distribution assembly.
16. The processing chamber of claim 11, wherein the pumping ring is shaped and sized so that the one or more apertures are positioned below a plane defined by the substrate receiving surface.
18. The processing chamber of claim 11, wherein the apertures are distributed evenly around the pumping ring.
19. The processing chamber of claim 11, further comprising a resistive heating element disposed in the substrate support.
20. A processing chamber adapted for cyclical layer deposition, comprising:
a first assembly having a substrate support, the substrate support having a substrate receiving surface;
a pumping ring disposed around a perimeter of the substrate receiving surface, the pumping ring forming at least a portion of a pumping channel and having one or more apertures formed therethrough;
a gas distribution assembly disposed over the substrate support, the gas distribution assembly having a lid plate with a lower surface coupled to an expanding channel, at least a portion of the lower surface tapering from the expanding channel to a peripheral portion of the lid plate; and
a hinge assembly coupling the first assembly to the gas distribution assembly.
21. The processing chamber of claim 20, wherein the expanding channel has at least one gas inlet positioned substantially horizontally to a longitudinal axis of the lid plate.
22. The processing chamber of claim 20, wherein the gas distribution assembly further comprises a fluid injection assembly.
23. The processing chamber of claim 20, further comprising a resistive heating element disposed in the substrate support.
US11/059,846 2002-01-26 2005-02-16 Clamshell and small volume chamber with fixed substrate support Abandoned US20050139160A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/059,846 US20050139160A1 (en) 2002-01-26 2005-02-16 Clamshell and small volume chamber with fixed substrate support

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35219002P 2002-01-26 2002-01-26
US10/302,774 US6866746B2 (en) 2002-01-26 2002-11-21 Clamshell and small volume chamber with fixed substrate support
US11/059,846 US20050139160A1 (en) 2002-01-26 2005-02-16 Clamshell and small volume chamber with fixed substrate support

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/302,774 Continuation US6866746B2 (en) 2002-01-26 2002-11-21 Clamshell and small volume chamber with fixed substrate support

Publications (1)

Publication Number Publication Date
US20050139160A1 true US20050139160A1 (en) 2005-06-30

Family

ID=29586526

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/302,774 Expired - Lifetime US6866746B2 (en) 2002-01-26 2002-11-21 Clamshell and small volume chamber with fixed substrate support
US11/059,846 Abandoned US20050139160A1 (en) 2002-01-26 2005-02-16 Clamshell and small volume chamber with fixed substrate support

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/302,774 Expired - Lifetime US6866746B2 (en) 2002-01-26 2002-11-21 Clamshell and small volume chamber with fixed substrate support

Country Status (1)

Country Link
US (2) US6866746B2 (en)

Cited By (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115578A1 (en) * 2004-11-08 2006-06-01 Brand Gary J Device for coating the outer edge of a substrate during microelectronics manufacturing
US20070003698A1 (en) * 2001-10-26 2007-01-04 Ling Chen Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20070117414A1 (en) * 2005-10-05 2007-05-24 Stephen Moffatt Methods and apparatus for epitaxial film formation
WO2007076195A3 (en) * 2005-11-22 2008-01-24 Genus Inc Small volume symmetric flow single wafer ald apparatus
US20080041313A1 (en) * 2001-10-26 2008-02-21 Ling Chen Gas delivery apparatus for atomic layer deposition
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080107809A1 (en) * 2001-10-26 2008-05-08 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20100086382A1 (en) * 2005-02-04 2010-04-08 Advanced Display Process Engineering Co., Ltd Vacuum processing apparatus
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20100294199A1 (en) * 2009-04-21 2010-11-25 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20120070581A1 (en) * 2004-06-28 2012-03-22 Cambridge Nano Tech Inc. Vapor deposition systems and methods
US20130126092A1 (en) * 2011-11-21 2013-05-23 Lam Research Corporation Plasma Processing Assemblies Including Hinge Assemblies
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US9324594B2 (en) 2010-12-22 2016-04-26 Brooks Automation, Inc. Workpiece handling modules
WO2016172085A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
CN108695204A (en) * 2017-03-31 2018-10-23 技术发现者联合有限公司 Equipped with the semiconducter engineering device of Cavity unit
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11732355B2 (en) * 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Families Citing this family (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
KR100516844B1 (en) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 Device and method for treatment
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
KR100522727B1 (en) * 2003-03-31 2005-10-20 주식회사 아이피에스 Reactor for depositing thin film on wafer
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
JP4420380B2 (en) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 Substrate processing equipment
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7292427B1 (en) * 2004-10-12 2007-11-06 Kla-Tencor Technologies Corporation Pin lift chuck assembly for warped substrates
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7846499B2 (en) * 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR101046520B1 (en) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Source gas flow path control in pecvd system to control a by-product film deposition on inside chamber
US20090107955A1 (en) * 2007-10-26 2009-04-30 Tiner Robin L Offset liner for chamber evacuation
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
KR101606736B1 (en) 2008-07-07 2016-03-28 램 리써치 코포레이션 Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
KR101588482B1 (en) * 2008-07-07 2016-01-25 램 리써치 코포레이션 Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
FI123539B (en) * 2009-02-09 2013-06-28 Beneq Oy ALD reactor, procedure for charging ALD reactor and production line
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
KR101136728B1 (en) * 2010-10-18 2012-04-20 주성엔지니어링(주) Apparatus for treating substrate and method of disassembling and assembling the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US11004663B2 (en) 2013-03-15 2021-05-11 Applied Materials, Inc. Chamber design for semiconductor processing
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017200696A1 (en) * 2016-05-20 2017-11-23 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR20190092154A (en) * 2018-01-30 2019-08-07 삼성전자주식회사 Sealing device and Gas flow control device of semiconductor equipment
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021072200A1 (en) * 2019-10-12 2021-04-15 Applied Materials, Inc. Wafer heater with backside and integrated bevel purge
US11367594B2 (en) * 2019-11-27 2022-06-21 Applied Materials, Inc. Multizone flow gasbox for processing chamber
FI129610B (en) * 2020-01-10 2022-05-31 Picosun Oy Substrate processing apparatus and method
US11515176B2 (en) 2020-04-14 2022-11-29 Applied Materials, Inc. Thermally controlled lid stack components
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20220108891A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Modular zone control for a processing chamber
CN114293174A (en) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
US20230069317A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Thermal choke plate

Citations (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3649336A (en) * 1967-09-28 1972-03-14 Agfa Gevaert Nv Plural coated sheet material
US3649339A (en) * 1969-09-05 1972-03-14 Eugene C Smith Apparatus and method for securing a high vacuum for particle coating process
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4729306A (en) * 1986-05-16 1988-03-08 American Screen Printing Equipment Company Screen seal system
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5027746A (en) * 1988-03-22 1991-07-02 U.S. Philips Corporation Epitaxial reactor having a wall which is protected from deposits
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5383971A (en) * 1990-10-12 1995-01-24 Genus, Inc. Differential pressure CVD chuck
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6085690A (en) * 1996-11-15 2000-07-11 Anelva Corporation Chemical vapor deposition apparatus
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6179920B1 (en) * 1998-04-07 2001-01-30 Mitsubishi Denki Kabushiki Kaisha CVD apparatus for forming thin film having high dielectric constant
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010000196A1 (en) * 1999-04-23 2001-04-12 Rexair, Inc. Filter for vacuum cleaner
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6578287B2 (en) * 1997-07-11 2003-06-17 Asm America, Inc. Substrate cooling system and method
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6593484B2 (en) * 2000-12-25 2003-07-15 Kabushikikaisha Kojundokagaku Kenkyusho Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for mocvd using the same, and a method of forming a tantalum nitride film using the same
US20030132319A1 (en) * 2002-01-15 2003-07-17 Hytros Mark M. Showerhead assembly for a processing chamber
US20030143747A1 (en) * 2002-01-30 2003-07-31 Niklas Bondestam Active pulse monitoring in a chemical reactor
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) * 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US3973665A (en) * 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
FI57975C (en) * 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
JPS5898917A (en) 1981-12-09 1983-06-13 Seiko Epson Corp Atomic layer epitaxial device
DE3721637A1 (en) * 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
JPH0824191B2 (en) * 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JP2680202B2 (en) 1991-03-20 1997-11-19 国際電気株式会社 Vapor phase growth method and apparatus
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
JPH0547666A (en) 1991-08-13 1993-02-26 Fujitsu Ltd Vapor growth apparatus
JPH05234899A (en) 1991-09-17 1993-09-10 Hitachi Ltd Atomic layer epitaxy apparatus
JP3126787B2 (en) 1992-01-30 2001-01-22 理化学研究所 Film forming method and film forming apparatus
JP3103186B2 (en) 1992-03-19 2000-10-23 富士通株式会社 Atomic layer epitaxy apparatus and atomic layer epitaxy method
JP2662365B2 (en) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド Single-substrate vacuum processing apparatus with improved discharge system
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5835677A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
FI972874A0 (en) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
KR100274603B1 (en) * 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
NL1009327C2 (en) * 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100331544B1 (en) * 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6539891B1 (en) 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
KR100319494B1 (en) * 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
KR100330749B1 (en) * 1999-12-17 2002-04-03 서성기 Thin film deposition apparatus for semiconductor
FI118343B (en) * 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
KR100378871B1 (en) * 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
FI117980B (en) * 2000-04-14 2007-05-15 Asm Int A method of constructing a thin film on a substrate
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
FI118805B (en) * 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
FI20001694A0 (en) 2000-07-20 2000-07-20 Asm Microchemistry Oy A method for growing a thin film on a substrate
US6776848B2 (en) * 2002-01-17 2004-08-17 Applied Materials, Inc. Motorized chamber lid
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3649336A (en) * 1967-09-28 1972-03-14 Agfa Gevaert Nv Plural coated sheet material
US3649339A (en) * 1969-09-05 1972-03-14 Eugene C Smith Apparatus and method for securing a high vacuum for particle coating process
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4729306A (en) * 1986-05-16 1988-03-08 American Screen Printing Equipment Company Screen seal system
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5027746A (en) * 1988-03-22 1991-07-02 U.S. Philips Corporation Epitaxial reactor having a wall which is protected from deposits
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5383971A (en) * 1990-10-12 1995-01-24 Genus, Inc. Differential pressure CVD chuck
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5611865A (en) * 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US6572705B1 (en) * 1994-11-28 2003-06-03 Asm America, Inc. Method and apparatus for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6085690A (en) * 1996-11-15 2000-07-11 Anelva Corporation Chemical vapor deposition apparatus
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6578287B2 (en) * 1997-07-11 2003-06-17 Asm America, Inc. Substrate cooling system and method
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6179920B1 (en) * 1998-04-07 2001-01-30 Mitsubishi Denki Kabushiki Kaisha CVD apparatus for forming thin film having high dielectric constant
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010000196A1 (en) * 1999-04-23 2001-04-12 Rexair, Inc. Filter for vacuum cleaner
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20030101927A1 (en) * 1999-09-08 2003-06-05 Ivo Raaijmakers Apparatus and method for growth of a thin film
US20030089308A1 (en) * 1999-09-08 2003-05-15 Ivo Raaijmakers Apparatus and method for growth of a thin film
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20030140854A1 (en) * 1999-12-28 2003-07-31 Vaino Kilpi Apparatus for growing thin films
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20030121469A1 (en) * 2000-04-14 2003-07-03 Sven Lindfors Method and apparatus of growing a thin film
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US6579372B2 (en) * 2000-06-24 2003-06-17 Ips, Ltd. Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US6593484B2 (en) * 2000-12-25 2003-07-15 Kabushikikaisha Kojundokagaku Kenkyusho Tantalum tertiary amylimido tris (dimethylamide), a process for producing the same, a solution of starting material for mocvd using the same, and a method of forming a tantalum nitride film using the same
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US20030121608A1 (en) * 2001-10-26 2003-07-03 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030132319A1 (en) * 2002-01-15 2003-07-17 Hytros Mark M. Showerhead assembly for a processing chamber
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20030143747A1 (en) * 2002-01-30 2003-07-31 Niklas Bondestam Active pulse monitoring in a chemical reactor
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Cited By (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8114789B2 (en) 2001-02-02 2012-02-14 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9012334B2 (en) 2001-02-02 2015-04-21 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20080107809A1 (en) * 2001-10-26 2008-05-08 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080038463A1 (en) * 2001-10-26 2008-02-14 Applied Materials, Inc. Atomic layer deposition process
US7699023B2 (en) 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US8318266B2 (en) 2001-10-26 2012-11-27 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US8293328B2 (en) 2001-10-26 2012-10-23 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20070026147A1 (en) * 2001-10-26 2007-02-01 Ling Chen Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20080041313A1 (en) * 2001-10-26 2008-02-21 Ling Chen Gas delivery apparatus for atomic layer deposition
US20070003698A1 (en) * 2001-10-26 2007-01-04 Ling Chen Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20120070581A1 (en) * 2004-06-28 2012-03-22 Cambridge Nano Tech Inc. Vapor deposition systems and methods
US9556519B2 (en) * 2004-06-28 2017-01-31 Ultratech Inc. Vapor deposition systems and methods
US20100012024A1 (en) * 2004-11-08 2010-01-21 Brand Gary J Device for coating the outer edge of a substrate during microelectronics manufacturing
US8408222B2 (en) 2004-11-08 2013-04-02 Brewer Science Inc. Device for coating the outer edge of a substrate during microelectronics manufacturing
US7579044B2 (en) 2004-11-08 2009-08-25 Brewer Science Inc. Process and device for coating the outer edge of a substrate during microelectronics manufacture
US20060115578A1 (en) * 2004-11-08 2006-06-01 Brand Gary J Device for coating the outer edge of a substrate during microelectronics manufacturing
US8187384B2 (en) 2005-02-04 2012-05-29 Advanced Display Process Engineering Co. Ltd. Vacuum processing apparatus
US20100086381A1 (en) * 2005-02-04 2010-04-08 Advanced Display Process Engineering Co., Ltd. Vacuum processing apparatus
US20100086382A1 (en) * 2005-02-04 2010-04-08 Advanced Display Process Engineering Co., Ltd Vacuum processing apparatus
US20100089531A1 (en) * 2005-02-04 2010-04-15 Advanced Display Process Engineering, Co., Ltd. Vacuum processing apparatus
US8349082B2 (en) 2005-02-04 2013-01-08 Advanced Display Process Engineering Co., Ltd. Vacuum processing apparatus
US8152926B2 (en) * 2005-02-04 2012-04-10 Advanced Display Process Engineering Co. Ltd. Vacuum processing apparatus
US20070117414A1 (en) * 2005-10-05 2007-05-24 Stephen Moffatt Methods and apparatus for epitaxial film formation
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
WO2007076195A3 (en) * 2005-11-22 2008-01-24 Genus Inc Small volume symmetric flow single wafer ald apparatus
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8747556B2 (en) * 2008-07-03 2014-06-10 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9017776B2 (en) 2008-07-03 2015-04-28 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100003406A1 (en) * 2008-07-03 2010-01-07 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8293015B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20120000422A1 (en) * 2008-07-03 2012-01-05 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20130008984A1 (en) * 2008-07-03 2013-01-10 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9312154B2 (en) * 2009-04-21 2016-04-12 Applied Materials, Inc. CVD apparatus for improved film thickness non-uniformity and particle performance
US20100294199A1 (en) * 2009-04-21 2010-11-25 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
TWI499688B (en) * 2009-04-21 2015-09-11 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US10418261B2 (en) 2010-12-22 2019-09-17 Brooks Automation, Inc. Workpiece handling modules
US9324594B2 (en) 2010-12-22 2016-04-26 Brooks Automation, Inc. Workpiece handling modules
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US20130126092A1 (en) * 2011-11-21 2013-05-23 Lam Research Corporation Plasma Processing Assemblies Including Hinge Assemblies
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11932939B2 (en) 2015-04-22 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
IL254759A (en) * 2015-04-22 2017-12-31 Applied Materials Inc Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
IL254759B2 (en) * 2015-04-22 2023-05-01 Applied Materials Inc Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
WO2016172085A1 (en) * 2015-04-22 2016-10-27 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) * 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10741424B2 (en) * 2017-03-31 2020-08-11 Allied Techfinders Co., Ltd Semiconductor processing device equipped with process chamber
CN108695204A (en) * 2017-03-31 2018-10-23 技术发现者联合有限公司 Equipped with the semiconducter engineering device of Cavity unit
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11732355B2 (en) * 2018-12-20 2023-08-22 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
US20030221780A1 (en) 2003-12-04
US6866746B2 (en) 2005-03-15

Similar Documents

Publication Publication Date Title
US6866746B2 (en) Clamshell and small volume chamber with fixed substrate support
US20210246552A1 (en) Lids and lid assembly kits for atomic layer deposition chambers
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
EP2545197B1 (en) Atomic layer deposition chamber with multi inject
EP1444380B1 (en) Gas delivery apparatus for atomic layer deposition
US7905959B2 (en) Lid assembly for a processing system to facilitate sequential deposition techniques
US7780788B2 (en) Gas delivery apparatus for atomic layer deposition
CN212542358U (en) Spray head
JP7401560B2 (en) Heat treatment chamber lid with backside pumping
KR100369859B1 (en) Apparatus for Atomic Layer Deposition
TW202339551A (en) Showerhead assembly and substrate processing systems for improving deposition thickness uniformity

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEI, LAWRENCE C.;MAK, ALFRED W.;TZU, GWO-CHUAN;AND OTHERS;REEL/FRAME:016310/0850;SIGNING DATES FROM 20030430 TO 20030519

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION