US20050109460A1 - Adjustable gas distribution system - Google Patents

Adjustable gas distribution system Download PDF

Info

Publication number
US20050109460A1
US20050109460A1 US10/856,584 US85658404A US2005109460A1 US 20050109460 A1 US20050109460 A1 US 20050109460A1 US 85658404 A US85658404 A US 85658404A US 2005109460 A1 US2005109460 A1 US 2005109460A1
Authority
US
United States
Prior art keywords
insert
passageway
outlet
gas distribution
outlets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/856,584
Inventor
Jay DeDontney
Jack Yao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/856,584 priority Critical patent/US20050109460A1/en
Publication of US20050109460A1 publication Critical patent/US20050109460A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Definitions

  • the present invention relates generally to the field of semiconductor equipment and processing. More specifically, the present invention relates to an adjustable gas distribution apparatus useful in semiconductor fabrication.
  • Wafer processing reactor systems and methods are widely used in the manufacture of semiconductors and integrated circuits.
  • One particular type of wafer processing system utilizes chemical vapor deposition (CVD) to deposit films or layers on the surface of a substrate as a step in the manufacture of semiconductors and integrated circuits.
  • CVD chemical vapor deposition
  • it is often required to modify a gas dispersion device from a simple basic design that imparts changes necessary to achieve a desired end result. Examples of such systems are shown for instance in U.S. Pat. Nos. 6,415,736, 6,410,089, 6,284,673, 6,050,506, 6,184,986 and 6,126,753, among others.
  • Atomic layer deposition is an alternative to CVD processes to deposit very thin films.
  • ALD can be performed at comparatively lower temperatures which is compatible with the industry's trend toward lower temperatures, has high precursor utilization efficiency, and can produce conformal thin film layers. More advantageously, ALD can control film thickness on an atomic scale, and can be used to “nano-engineer” complex thin films.
  • each reactant gas is introduced independently into a reaction chamber through, for example a showerhead, so that no gas phase intermixing occurs.
  • a monolayer of a first reactant is physi- or chemisorbed onto a substrate surface. Excess first reactant is evacuated from the reaction chamber preferably with the aid of an inert purge gas.
  • a second reactant is then introduced to the reaction chamber and reacted with the first reactant to form a monolayer of the desired thin film via a self-limiting surface reaction.
  • the self-limiting reaction stops once the initially adsorbed first reactant fully reacts with the second reactant.
  • Excess second reactant is evacuated, preferably with the aid of an inert purge gas.
  • a desired film thickness is obtained by repeating the deposition cycle as necessary. The film thickness can be controlled to atomic layer accuracy by simply counting the number of deposition cycles.
  • adjustable gas distribution apparatus useful in semiconductor fabrication.
  • the adjustable gas distribution apparatus of the present invention allows one to alter the size and/or direction of gases exiting the gas distribution apparatus and thus selectively tailor the configuration of the apparatus to achieve a desired end response.
  • the present invention provides a gas distribution apparatus comprising a plurality of outlets and at least one replaceable insert placed in at least one of the outlets.
  • the insert is provided with a passageway adapted to alter the size of and/or the direction of gases exiting the at least one of the outlets.
  • the insert can be placed in the outlet by threading. Alternatively, the insert can be placed in the outlet by press fit.
  • the insert is provided with a passageway that is substantially straight and cylindrical.
  • the passageway may have a first portion with a smaller diameter and a second portion with a larger diameter to selectively alter the size of the outlet passage in the gas distribution apparatus.
  • the insert is provided with a main passageway and plurality of secondary passageways branched and angled from the main passageway.
  • the angle between the main and branch passageways is in the range from about 10 to about 90 degrees. In one embodiment, the angle between the main and branch passageways is about 90 degrees.
  • the present invention provides a gas distribution apparatus comprising a unitary body having a surface facing a processing region and a plurality of outlet passages formed within the unitary body and extending through the surface for providing gases into the processing region. At least one replaceable insert is placed in at least one of the outlet passages to allow altering the size of at least one of the outlet passages and/or the direction of gases exiting the at least one of the outlet passages into the processing region.
  • FIG. 1 is external view of a showerhead showing a plurality of through outlet holes in which one or more replaceable inserts of the present invention can be installed.
  • FIG. 2 shows an internal gas distribution network within the showerhead as illustrated in FIG. 1 .
  • FIG. 3 is a front view of a screw type insert according to one embodiment of the present invention.
  • FIG. 4 is a top view of the screw type insert illustrated in FIG. 3 according to one embodiment of the present invention.
  • FIG. 5 is a cross-sectional view along line A-A of the screw type insert illustrated in FIG. 4 according to one embodiment of the present invention.
  • FIG. 6 is a partial cross-sectional view of a showerhead installed with the insert illustrated in FIGS. 3-5 according to one embodiment of the present invention.
  • FIG. 7 is a front view of a press-fit type insert having multiple branch passageways angled from a main passageway according to one embodiment of the present invention.
  • FIG. 8 is a top view of the press-fit type insert illustrated in FIG. 7 according to one embodiment of the present invention.
  • FIG. 9 is a cross-sectional view along line B-B of the press-fit type insert illustrated in FIG. 8 according to one embodiment of the present invention.
  • FIG. 10 is a partial cross-sectional view of a showerhead installed with the press-fit type insert illustrated in FIGS. 7-9 according to one embodiment of the present invention.
  • FIG. 11 is a front view of a press-fit type of insert having multiple branch passageways perpendicular to a main passageway according to one embodiment of the present invention.
  • FIG. 12 is a top view of the press-fit type insert illustrated in FIG. 11 according to one embodiment of the present invention.
  • FIG. 13 is a cross-sectional view along line C-C of the press-fit type insert illustrated in FIG. 12 according to one embodiment of the present invention.
  • FIG. 14 is a partial cross-sectional view of a showerhead installed with the press-fit type insert illustrated in FIGS. 11-13 according to one embodiment of the present invention.
  • FIG. 15 is a front view of a press-fit type insert showing details of press fit engagement region according to one embodiment of the present invention.
  • FIG. 16 is a top view of the press-fit type insert illustrated in FIG. 15 according to one embodiment of the present invention.
  • FIG. 17 is a cross-sectional view along line D-D of the press-fit type insert illustrated in FIG. 16 according to one embodiment of the present invention.
  • FIG. 18 is a partial cross-sectional view of a showerhead showing engagement details between the press-fit type insert illustrated in FIGS. 15-17 and the outlet in the showerhead according to one embodiment of the present invention.
  • FIG. 19 is a front view of a press-fit type insert showing details of press fit engagement region according to one embodiment of the present invention.
  • FIG. 20 is a top view of the press-fit type insert illustrated in FIG. 19 according to one embodiment of the present invention.
  • FIG. 21 is a front view of a screw type insert showing multiple passageways according to one embodiment of the present invention.
  • FIG. 22 is a top view of the screw type insert illustrated in FIG. 21 according to one embodiment of the present invention.
  • FIG. 23 is a cross-sectional view along line F-F of the screw type insert illustrated in FIG. 22 according to one embodiment of the present invention.
  • FIG. 24 is a partial cross-sectional view of a showerhead installed with the screw type insert illustrated in FIGS. 21-23 according to one embodiment of the present invention.
  • the adjustable gas distribution apparatus of the present invention comprises a plurality of gas outlets and one or more inserts installed in one or more of the outlets which allow altering of the size of the outlets and/or the direction of gases exiting the gas distribution apparatus and thus selectively tailoring the gas flow velocity and pattern to specific process requirements.
  • FIG. 1 shows a showerhead 10 in which one or more inserts of the present invention can be used.
  • the showerhead 10 shown in FIG. 1 is for illustrative purpose only and not intended to limit the scope of the invention in any way.
  • the inserts described below can be used in any other gas distribution apparatus where adjustment of flow velocity and/or direction of gases exiting the apparatus is desired.
  • a plurality of channels, passages or holes are created to form an internal gas distribution network in a member.
  • the member can be a single unitary block within which an internal gas distribution network is formed.
  • the member can also be comprised of several individual blocks, within each of which a plurality of channels, passages or holes are formed. These individual blocks are then connected and assembled as a unit, for example by a plurality of bolts.
  • the channels, passages or holes can be formed by any suitable machining methods such as drillings, reaming and electrical discharge machining, etc.
  • FIG. 1 shows an external view of a showerhead 10 .
  • FIG. 2 shows an internal gas distribution network 12 formed within the showerhead 10 .
  • a plurality of horizontal channels 14 are formed in a unitary cylindrical member 16 .
  • the unitary member 16 can be comprised of an aluminum, steel, or nickel-based alloy block, or any other suitable materials. These horizontal channels 14 converge at a converging point 18 and extend radially and outwardly to the periphery surface 20 of the cylindrical member 16 .
  • the periphery surface 20 is closed.
  • the horizontal channels 14 can be formed by drilling from the periphery surface 20 . The unnecessary openings on the periphery surface are closed, for example by sealing plugs after the channels 14 are formed.
  • a vertical inlet passage 22 is formed and connected with the converging point 18 .
  • the inlet passage 18 extends upwardly and through the upper surface 24 of the unitary member 16 and connected to a gas source (not shown). Gases are introduced through the inlet passage 22 and distributed into the horizontal channels 14 via the converging point 18 .
  • a plurality of outlet passages or holes 26 are formed along the path of the horizontal channels 14 . These outlet holes 26 extend downwardly and through the bottom surface 28 of the unitary member 16 . For clarity and simplicity, only some outlet holes are shown in FIGS. 1 and 2 . It should be noted that numerous horizontal channels and outlet passages or holes along the horizontal channels can be formed. Various patterns and configurations of outlet holes can be designed according to process specifications. The diameter of the outlet holes can be uniform throughout the bottom surface of the showerhead. Alternatively, the diameters of the outlet holes may differ. For example, the diameter of the outlet holes can be larger in the inner region and smaller in the outer region of the showerhead bottom surface to provide uniform back pressure. Any or all of the through outlet holes in the showerhead may include an insert described below to allow modification of the outlet size or diameter and/or the directions of gases exiting the showerhead.
  • the insert is designed to be installed onto, for example, a showerhead as illustrated in FIGS. 1 and 2 , to provide a known diameter passageway leading from the internal gas distribution network within the showerhead to the process chamber or region.
  • the insert may be a small precision machined part.
  • the insert can be made of the same material as the showerhead body. Alternatively, the insert is made of a different material to capitalize on differences in physical properties that is desirable, such as different coefficients of thermal expansion to improve sealing between the insert and the showerhead body when used at elevated temperatures.
  • the insert is provided with passageways by any suitable machining method such as drilling, reaming, electrical discharge machining, and the like.
  • FIGS. 3 to 6 show a screw type insert 30 according to one embodiment of the invention.
  • the insert 30 is provided with threads 32 for engaging the insert 30 with an outlet passage 26 which, in this embodiment, is also provided with threads for receiving the insert 30 .
  • the installation is accomplished by screwing the insert 30 into the showerhead outlet 26 until the insert is sufficiently seated to ensure that the gases from the upstream side, i.e., outlet passages, find no route except that through the precision passageway in the insert 30 .
  • a cylindrical passageway 34 is formed in the insert 30 and extends through the bottom surface 36 of the insert 30 . When installed, the insert passageway 34 is coaxial and in fluid communication with the outlet passage 26 .
  • the diameter of the insert passageway 34 can be varied to tailor gas flow velocity to specific process requirements.
  • the insert passageway may have a first portion 38 with a smaller diameter proximate to the gas distribution channel 14 and a second portion 39 with a larger diameter distal to the distribution channel 14 .
  • the smaller diameter in the first portion 38 provides a metering function to create uniform back pressure.
  • the larger diameter in the second portion 39 allows the reduction of a “jetting” effect of the gas stream and facilitate installation and removal of the insert 30 .
  • FIGS. 7 to 10 show a press fit type insert 40 according to another embodiment of the invention. Press fit installation alleviates the need for threaded joining.
  • a main passageway 42 is formed in the insert 40 and branched into a plurality of secondary passageways 44 .
  • four branch passageways 44 are formed.
  • the branch passageways 44 extend through the side surface 46 of the insert 40 .
  • Each of the branch passageways 44 are angled from the main passageway 42 in the range from about 10 to 89 degrees.
  • the insert main passageway 42 is coaxial with the outlet passage 26 .
  • the insert 40 extends out of the bottom surface 28 of the showerhead 10 so that the openings of the branch passageways 42 on the side surface 46 of the insert 40 are positioned outside of the plane of the bottom surface 28 of the showerhead 10 to direct gases into a processing region.
  • gases exit the branch passageways 44 in a direction non-perpendicular to the bottom surface 28 of the showerhead 10 or non-perpendicular to a substrate in a process region, for example, in an angle from about 10 to about 89 degrees with respect to the bottom surface 28 .
  • This flow pattern minimizes prior art problems of “spotting,” or varying deposition thickness that matches the outlet spacing.
  • the gas flow direction or flow pattern can be altered by selectively choosing the angle between the branch passageways 44 and the main passageway 42 .
  • FIGS. 11-14 show another press-fit type insert 50 according to one embodiment of the present invention.
  • the insert 50 shown in FIGS. 11-14 has a main passageway 52 and four branch passageways 54 intersecting with the main passageway 52 in 90 degrees.
  • gases from distribution channels 14 exit the openings of the branch passageways 54 in a direction parallel to the bottom surface 28 of the showerhead 10 .
  • FIGS. 15 to 20 show in detail the engagement of a press-fit type insert 60 of the present invention with the outlet 26 in the showerhead 10 .
  • the outer diameter (D2) of the insert 60 is slightly larger than the outer diameter (D1) in the non-engagement region 64 .
  • a preliminary insert can be sized so that the section in the engagement region is substantially shorter than the section in the non-engagement region (L2 ⁇ L1) to ease installation and removal of the insert. Threading 70 can be provided in the preliminary insert to facilitate installation and removal of the insert 60 .
  • the preliminary insert may be removed and replaced with a final insert 72 that has a larger engagement section as illustrated in FIGS. 19 and 20 .
  • the engagement section is substantially longer than the non-engagement section (L2>L1).
  • a large engagement region between an insert and an outlet minimizes internal gaps or pocket volume and ensure enhanced sealing between the insert and the showerhead.
  • FIGS. 21 to 24 show another screw type insert 80 according to one embodiment of the invention.
  • the insert 80 has a hexagonal section 82 and cylindrical section 84 .
  • the cylindrical section 84 is provided with threads 86 for engaging the insert 80 with the outlet 26 in the showerhead 10 , which is also provided with threads for receiving the insert 80 .
  • a main passageway 88 is formed within the cylindrical section 84 and extends into the hexagonal section 82 .
  • the main passageway 88 is branched in the hexagonal section 82 into multiple horizontal passageways 90 which extend radially and outwardly.
  • the horizontal passageways 90 extend through the side surfaces 92 of the hexagonal section 82 to direct gases into a processing region.
  • the hexagonal configuration also provides a tool engagement means for installation and removal of the insert 80 .
  • the hexagonal section 82 of the insert extends out of the bottom surface 28 of the showerhead 10 .
  • the insert 80 main passageway 88 is coaxial with the outlet passage 26 in the showerhead 10 . Gases flow through the main passageway 88 and change flow directions from vertical to horizontal with respect to the bottom surface 28 of the showerhead 10 as indicated by the arrows in FIG. 24 .
  • the inserts of the invention can be placed in any selected outlets to alter the size and/or direction of the gases exiting the apparatus.
  • Process results can be used as feedback to change the corresponding inserts to achieve the desired end response.
  • the resulting configuration can then be used as is, or used to provide the design criteria to replicate the showerhead in a fixed, non-adjustable version that may be preferred in a production environment in which a minimum of process variables is desired.

Abstract

The present invention provides a gas distribution apparatus comprising a plurality of outlets and at least one replaceable insert placed in at least one of the outlets. The insert is provided with a passageway adapted to alter the size of the at least one of the outlets and/or the direction of gases exiting the at least one of the outlets. The insert is provided with passageway that may be substantial straight and cylindrical. The passageway may have a first portion with a smaller diameter and a second portion with a larger diameter to selectively alter the size of the outlet passage in the gas distribution apparatus. Alternatively, the insert is provided with a main passageway and plurality of secondary passageways branched and angled from the main passageway. The angle between the main and branch passageways is in the range from about 10 to about 90 degrees. In one embodiment, the angle between the main and branch passageways is about 90 degrees.

Description

    CROSS REFERENCES TO RELATED APPLICATIONS
  • This application claims the benefit of and priority to U.S. Provisional Application No. 60/475,079 filed May 30, 2003, the disclosure of which is hereby incorporated by reference in its entirety.
  • FIELD OF THE INVENTION
  • The present invention relates generally to the field of semiconductor equipment and processing. More specifically, the present invention relates to an adjustable gas distribution apparatus useful in semiconductor fabrication.
  • BACKGROUND OF THE INVENTION
  • Wafer processing reactor systems and methods are widely used in the manufacture of semiconductors and integrated circuits. One particular type of wafer processing system utilizes chemical vapor deposition (CVD) to deposit films or layers on the surface of a substrate as a step in the manufacture of semiconductors and integrated circuits. As described in the prior art, in chemical vapor deposition in semiconductor device fabrication (or other chemical reactors in which reaction products are desired to deposit or form in certain geometric manifestations), it is often required to modify a gas dispersion device from a simple basic design that imparts changes necessary to achieve a desired end result. Examples of such systems are shown for instance in U.S. Pat. Nos. 6,415,736, 6,410,089, 6,284,673, 6,050,506, 6,184,986 and 6,126,753, among others.
  • Atomic layer deposition (ALD) is an alternative to CVD processes to deposit very thin films. ALD can be performed at comparatively lower temperatures which is compatible with the industry's trend toward lower temperatures, has high precursor utilization efficiency, and can produce conformal thin film layers. More advantageously, ALD can control film thickness on an atomic scale, and can be used to “nano-engineer” complex thin films. In an ALD process cycle, each reactant gas is introduced independently into a reaction chamber through, for example a showerhead, so that no gas phase intermixing occurs. A monolayer of a first reactant is physi- or chemisorbed onto a substrate surface. Excess first reactant is evacuated from the reaction chamber preferably with the aid of an inert purge gas. A second reactant is then introduced to the reaction chamber and reacted with the first reactant to form a monolayer of the desired thin film via a self-limiting surface reaction. The self-limiting reaction stops once the initially adsorbed first reactant fully reacts with the second reactant. Excess second reactant is evacuated, preferably with the aid of an inert purge gas. A desired film thickness is obtained by repeating the deposition cycle as necessary. The film thickness can be controlled to atomic layer accuracy by simply counting the number of deposition cycles.
  • In many CVD or ALD applications, a simple basic geometry of outlet holes in a gas distribution apparatus does not achieve the required deposition thickness specifications. It has been demonstrated that a constant array of outlet holes or “pitch” does not necessarily create a uniform deposition on a wafer. It is therefore desirable to alter the outlet hole pattern or size to create the desired end effect. One prior art approach is to alter the location and/or size of the outlets to achieve the desired deposition pattern. This approach has had limited success however, as it does not allow for adjustment if further changes are necessary, such as in utilizing the same apparatus for more than one particular process or recipe which may be effected by the outlet configuration. Therefore, further development in gas distribution apparatus useful in CVD and ALD are needed.
  • SUMMARY OF THE INVENTION
  • An adjustable gas distribution apparatus useful in semiconductor fabrication is provided. The adjustable gas distribution apparatus of the present invention allows one to alter the size and/or direction of gases exiting the gas distribution apparatus and thus selectively tailor the configuration of the apparatus to achieve a desired end response.
  • In one aspect, the present invention provides a gas distribution apparatus comprising a plurality of outlets and at least one replaceable insert placed in at least one of the outlets. The insert is provided with a passageway adapted to alter the size of and/or the direction of gases exiting the at least one of the outlets. The insert can be placed in the outlet by threading. Alternatively, the insert can be placed in the outlet by press fit.
  • In one embodiment, the insert is provided with a passageway that is substantially straight and cylindrical. The passageway may have a first portion with a smaller diameter and a second portion with a larger diameter to selectively alter the size of the outlet passage in the gas distribution apparatus.
  • In another embodiment, the insert is provided with a main passageway and plurality of secondary passageways branched and angled from the main passageway. The angle between the main and branch passageways is in the range from about 10 to about 90 degrees. In one embodiment, the angle between the main and branch passageways is about 90 degrees.
  • In another aspect, the present invention provides a gas distribution apparatus comprising a unitary body having a surface facing a processing region and a plurality of outlet passages formed within the unitary body and extending through the surface for providing gases into the processing region. At least one replaceable insert is placed in at least one of the outlet passages to allow altering the size of at least one of the outlet passages and/or the direction of gases exiting the at least one of the outlet passages into the processing region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Other objects and advantages of the present invention become apparent upon reading of the detailed description of the invention provided below and upon reference to the drawings in which:
  • FIG. 1 is external view of a showerhead showing a plurality of through outlet holes in which one or more replaceable inserts of the present invention can be installed.
  • FIG. 2 shows an internal gas distribution network within the showerhead as illustrated in FIG. 1.
  • FIG. 3 is a front view of a screw type insert according to one embodiment of the present invention.
  • FIG. 4 is a top view of the screw type insert illustrated in FIG. 3 according to one embodiment of the present invention.
  • FIG. 5 is a cross-sectional view along line A-A of the screw type insert illustrated in FIG. 4 according to one embodiment of the present invention.
  • FIG. 6 is a partial cross-sectional view of a showerhead installed with the insert illustrated in FIGS. 3-5 according to one embodiment of the present invention.
  • FIG. 7 is a front view of a press-fit type insert having multiple branch passageways angled from a main passageway according to one embodiment of the present invention.
  • FIG. 8 is a top view of the press-fit type insert illustrated in FIG. 7 according to one embodiment of the present invention.
  • FIG. 9 is a cross-sectional view along line B-B of the press-fit type insert illustrated in FIG. 8 according to one embodiment of the present invention.
  • FIG. 10 is a partial cross-sectional view of a showerhead installed with the press-fit type insert illustrated in FIGS. 7-9 according to one embodiment of the present invention.
  • FIG. 11 is a front view of a press-fit type of insert having multiple branch passageways perpendicular to a main passageway according to one embodiment of the present invention.
  • FIG. 12 is a top view of the press-fit type insert illustrated in FIG. 11 according to one embodiment of the present invention.
  • FIG. 13 is a cross-sectional view along line C-C of the press-fit type insert illustrated in FIG. 12 according to one embodiment of the present invention.
  • FIG. 14 is a partial cross-sectional view of a showerhead installed with the press-fit type insert illustrated in FIGS. 11-13 according to one embodiment of the present invention.
  • FIG. 15 is a front view of a press-fit type insert showing details of press fit engagement region according to one embodiment of the present invention.
  • FIG. 16 is a top view of the press-fit type insert illustrated in FIG. 15 according to one embodiment of the present invention.
  • FIG. 17 is a cross-sectional view along line D-D of the press-fit type insert illustrated in FIG. 16 according to one embodiment of the present invention.
  • FIG. 18 is a partial cross-sectional view of a showerhead showing engagement details between the press-fit type insert illustrated in FIGS. 15-17 and the outlet in the showerhead according to one embodiment of the present invention.
  • FIG. 19 is a front view of a press-fit type insert showing details of press fit engagement region according to one embodiment of the present invention.
  • FIG. 20 is a top view of the press-fit type insert illustrated in FIG. 19 according to one embodiment of the present invention.
  • FIG. 21 is a front view of a screw type insert showing multiple passageways according to one embodiment of the present invention.
  • FIG. 22 is a top view of the screw type insert illustrated in FIG. 21 according to one embodiment of the present invention.
  • FIG. 23 is a cross-sectional view along line F-F of the screw type insert illustrated in FIG. 22 according to one embodiment of the present invention.
  • FIG. 24 is a partial cross-sectional view of a showerhead installed with the screw type insert illustrated in FIGS. 21-23 according to one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • An adjustable gas distribution apparatus useful in semiconductor fabrication is provided. In general, the adjustable gas distribution apparatus of the present invention comprises a plurality of gas outlets and one or more inserts installed in one or more of the outlets which allow altering of the size of the outlets and/or the direction of gases exiting the gas distribution apparatus and thus selectively tailoring the gas flow velocity and pattern to specific process requirements.
  • Referring to the drawings where like components are designated by like reference numerals, the present adjustable gas distribution apparatus is described in more detail.
  • FIG. 1 shows a showerhead 10 in which one or more inserts of the present invention can be used. It should be noted that the showerhead 10 shown in FIG. 1 is for illustrative purpose only and not intended to limit the scope of the invention in any way. The inserts described below can be used in any other gas distribution apparatus where adjustment of flow velocity and/or direction of gases exiting the apparatus is desired. Generally, in a showerhead, a plurality of channels, passages or holes are created to form an internal gas distribution network in a member. The member can be a single unitary block within which an internal gas distribution network is formed. The member can also be comprised of several individual blocks, within each of which a plurality of channels, passages or holes are formed. These individual blocks are then connected and assembled as a unit, for example by a plurality of bolts. The channels, passages or holes can be formed by any suitable machining methods such as drillings, reaming and electrical discharge machining, etc.
  • In particular, FIG. 1 shows an external view of a showerhead 10. FIG. 2 shows an internal gas distribution network 12 formed within the showerhead 10. A plurality of horizontal channels 14 are formed in a unitary cylindrical member 16. The unitary member 16 can be comprised of an aluminum, steel, or nickel-based alloy block, or any other suitable materials. These horizontal channels 14 converge at a converging point 18 and extend radially and outwardly to the periphery surface 20 of the cylindrical member 16. The periphery surface 20 is closed. The horizontal channels 14 can be formed by drilling from the periphery surface 20. The unnecessary openings on the periphery surface are closed, for example by sealing plugs after the channels 14 are formed. A vertical inlet passage 22 is formed and connected with the converging point 18. The inlet passage 18 extends upwardly and through the upper surface 24 of the unitary member 16 and connected to a gas source (not shown). Gases are introduced through the inlet passage 22 and distributed into the horizontal channels 14 via the converging point 18.
  • A plurality of outlet passages or holes 26 are formed along the path of the horizontal channels 14. These outlet holes 26 extend downwardly and through the bottom surface 28 of the unitary member 16. For clarity and simplicity, only some outlet holes are shown in FIGS. 1 and 2. It should be noted that numerous horizontal channels and outlet passages or holes along the horizontal channels can be formed. Various patterns and configurations of outlet holes can be designed according to process specifications. The diameter of the outlet holes can be uniform throughout the bottom surface of the showerhead. Alternatively, the diameters of the outlet holes may differ. For example, the diameter of the outlet holes can be larger in the inner region and smaller in the outer region of the showerhead bottom surface to provide uniform back pressure. Any or all of the through outlet holes in the showerhead may include an insert described below to allow modification of the outlet size or diameter and/or the directions of gases exiting the showerhead.
  • The insert is designed to be installed onto, for example, a showerhead as illustrated in FIGS. 1 and 2, to provide a known diameter passageway leading from the internal gas distribution network within the showerhead to the process chamber or region. The insert may be a small precision machined part. The insert can be made of the same material as the showerhead body. Alternatively, the insert is made of a different material to capitalize on differences in physical properties that is desirable, such as different coefficients of thermal expansion to improve sealing between the insert and the showerhead body when used at elevated temperatures. The insert is provided with passageways by any suitable machining method such as drilling, reaming, electrical discharge machining, and the like.
  • FIGS. 3 to 6 show a screw type insert 30 according to one embodiment of the invention. The insert 30 is provided with threads 32 for engaging the insert 30 with an outlet passage 26 which, in this embodiment, is also provided with threads for receiving the insert 30. The installation is accomplished by screwing the insert 30 into the showerhead outlet 26 until the insert is sufficiently seated to ensure that the gases from the upstream side, i.e., outlet passages, find no route except that through the precision passageway in the insert 30. A cylindrical passageway 34 is formed in the insert 30 and extends through the bottom surface 36 of the insert 30. When installed, the insert passageway 34 is coaxial and in fluid communication with the outlet passage 26. Gases from the internal distribution network 12 exit the showerhead 10 via the insert 30 in a direction perpendicular to the bottom surface 28 of the showerhead 10. The diameter of the insert passageway 34 can be varied to tailor gas flow velocity to specific process requirements. For example, the insert passageway may have a first portion 38 with a smaller diameter proximate to the gas distribution channel 14 and a second portion 39 with a larger diameter distal to the distribution channel 14. The smaller diameter in the first portion 38 provides a metering function to create uniform back pressure. The larger diameter in the second portion 39 allows the reduction of a “jetting” effect of the gas stream and facilitate installation and removal of the insert 30.
  • FIGS. 7 to 10 show a press fit type insert 40 according to another embodiment of the invention. Press fit installation alleviates the need for threaded joining. A main passageway 42 is formed in the insert 40 and branched into a plurality of secondary passageways 44. In this embodiment, four branch passageways 44 are formed. The branch passageways 44 extend through the side surface 46 of the insert 40. Each of the branch passageways 44 are angled from the main passageway 42 in the range from about 10 to 89 degrees. After the insert 40 is installed, the insert main passageway 42 is coaxial with the outlet passage 26. The insert 40 extends out of the bottom surface 28 of the showerhead 10 so that the openings of the branch passageways 42 on the side surface 46 of the insert 40 are positioned outside of the plane of the bottom surface 28 of the showerhead 10 to direct gases into a processing region. In this embodiment, gases exit the branch passageways 44 in a direction non-perpendicular to the bottom surface 28 of the showerhead 10 or non-perpendicular to a substrate in a process region, for example, in an angle from about 10 to about 89 degrees with respect to the bottom surface 28. This flow pattern minimizes prior art problems of “spotting,” or varying deposition thickness that matches the outlet spacing. By modifying the flow directions, the downward momentum of the gas stream entering the process region is reduced, and as a result gases are more evenly distributed in the process region. Accordingly, the gas flow direction or flow pattern can be altered by selectively choosing the angle between the branch passageways 44 and the main passageway 42.
  • FIGS. 11-14 show another press-fit type insert 50 according to one embodiment of the present invention. As compared to the insert 40 illustrated in FIGS. 7-10, the insert 50 shown in FIGS. 11-14 has a main passageway 52 and four branch passageways 54 intersecting with the main passageway 52 in 90 degrees. When the insert 50 is installed, gases from distribution channels 14 exit the openings of the branch passageways 54 in a direction parallel to the bottom surface 28 of the showerhead 10.
  • FIGS. 15 to 20 show in detail the engagement of a press-fit type insert 60 of the present invention with the outlet 26 in the showerhead 10. In the engagement region 62, the outer diameter (D2) of the insert 60 is slightly larger than the outer diameter (D1) in the non-engagement region 64. In a testing or trial stage, a preliminary insert can be sized so that the section in the engagement region is substantially shorter than the section in the non-engagement region (L2<L1) to ease installation and removal of the insert. Threading 70 can be provided in the preliminary insert to facilitate installation and removal of the insert 60. Once the desired insert passageway size is determined, the preliminary insert may be removed and replaced with a final insert 72 that has a larger engagement section as illustrated in FIGS. 19 and 20. In the final insert 72, the engagement section is substantially longer than the non-engagement section (L2>L1). A large engagement region between an insert and an outlet minimizes internal gaps or pocket volume and ensure enhanced sealing between the insert and the showerhead.
  • FIGS. 21 to 24 show another screw type insert 80 according to one embodiment of the invention. The insert 80 has a hexagonal section 82 and cylindrical section 84. The cylindrical section 84 is provided with threads 86 for engaging the insert 80 with the outlet 26 in the showerhead 10, which is also provided with threads for receiving the insert 80. A main passageway 88 is formed within the cylindrical section 84 and extends into the hexagonal section 82. The main passageway 88 is branched in the hexagonal section 82 into multiple horizontal passageways 90 which extend radially and outwardly. The horizontal passageways 90 extend through the side surfaces 92 of the hexagonal section 82 to direct gases into a processing region. The hexagonal configuration also provides a tool engagement means for installation and removal of the insert 80. Once the insert 80 is installed, the hexagonal section 82 of the insert extends out of the bottom surface 28 of the showerhead 10. The insert 80 main passageway 88 is coaxial with the outlet passage 26 in the showerhead 10. Gases flow through the main passageway 88 and change flow directions from vertical to horizontal with respect to the bottom surface 28 of the showerhead 10 as indicated by the arrows in FIG. 24.
  • Advantageously, the inserts of the invention can be placed in any selected outlets to alter the size and/or direction of the gases exiting the apparatus. Process results can be used as feedback to change the corresponding inserts to achieve the desired end response. The resulting configuration can then be used as is, or used to provide the design criteria to replicate the showerhead in a fixed, non-adjustable version that may be preferred in a production environment in which a minimum of process variables is desired.
  • As described above, an adjustable gas distribution apparatus has been provided by the present invention. The foregoing description of specific embodiments of the invention have been presented for the purpose of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications, embodiments, and variations are possible in light of the above teaching. It is intended that the scope of the invention be defined by the claims appended hereto and their equivalents.

Claims (23)

1. A gas distribution apparatus, comprising:
a body having a surface facing a processing region,
a plurality of outlet passages formed within the body and extending through the surface for providing gases into the processing region; and
at least one replaceable insert placed in at least one of the outlet passages, said insert is provided with a passageway adapted to alter the size of the at least one of the outlet passages and/or the direction of gases exiting the at least one of the outlet passages into the processing region.
2. The apparatus of claim 1 wherein said insert passageway is substantially cylindrical.
3. The apparatus of claim 1 wherein the insert passageway comprises a first portion having a smaller diameter and a second portion having a greater diameter.
4. The apparatus of claim 1 wherein said insert passageway is coaxial with the passage of the outlet placed with the insert.
5. The apparatus of claim 1 wherein the insert passageway comprises a first portion coaxial with the passage of the outlet placed with the insert and a plurality of second portions branched and angled from the first portion for directing the gases into the processing region.
6. The apparatus of claim 5 wherein said second portions of the insert passageway are angled from the first portion in the range from about 10 to about 90 degrees.
7. The apparatus of claim 5 wherein said second portions of the insert passageway are perpendicular to the first portion.
8. The apparatus of claim 5 wherein said second portions of the insert passageway are in parallel to the surface of the unitary body.
9. The apparatus of claim 1 wherein said outlet passages are substantially cylindrical.
10. The apparatus of claim 9 wherein said outlet passages comprises a first portion having a smaller diameter and a second portion having a greater diameter.
11. The apparatus of claim 1 wherein the insert is placed in the outlet passage by press fit.
12. The apparatus of claim 1 wherein the outlet passage and insert are provided with threads and the insert is placed in the outlet passage by threading.
13. The apparatus of claim 12, wherein the insert comprises means for engaging installment tools.
14. A gas distribution apparatus, comprising a plurality of outlets and at least one replaceable insert placed in at least one of the outlets, said insert is provided with a passageway adapted to alter the size of and/or the direction of gases exiting the at least one of the outlets.
15. The apparatus of claim 14 wherein the insert passageway is substantially cylindrical.
16. The apparatus of claim 14 wherein the insert passageway is coaxial with the outlet placed with the insert.
17. The apparatus of claim 15 wherein the insert passageway comprises a first portion having a smaller diameter and a second portion having a greater diameter.
18. The apparatus of claim 14 wherein the insert passageway comprises a first portion coaxial with the outlet placed with the insert and a plurality of second portions branched and angled from the first portion.
19. The apparatus of claim 18 wherein said second portions of the insert passageway are angled from the first portion in the range from about 10 to about 90 degree.
20. The apparatus of claim 18 wherein said second portions of the insert passageway are perpendicular to the first portion.
21. The apparatus of claim 14 wherein the insert is placed in the outlet by press fit.
22. The apparatus of claim 14 wherein the outlet and insert are provided with threads and the insert is placed in the outlet by screwing.
23. The apparatus of claim 22, wherein the insert is provided with means for engaging installation tools.
US10/856,584 2003-05-30 2004-05-27 Adjustable gas distribution system Abandoned US20050109460A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/856,584 US20050109460A1 (en) 2003-05-30 2004-05-27 Adjustable gas distribution system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47507903P 2003-05-30 2003-05-30
US10/856,584 US20050109460A1 (en) 2003-05-30 2004-05-27 Adjustable gas distribution system

Publications (1)

Publication Number Publication Date
US20050109460A1 true US20050109460A1 (en) 2005-05-26

Family

ID=33511648

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/854,869 Expired - Fee Related US6921437B1 (en) 2003-05-30 2004-05-26 Gas distribution system
US10/856,584 Abandoned US20050109460A1 (en) 2003-05-30 2004-05-27 Adjustable gas distribution system
US11/142,087 Abandoned US20050217580A1 (en) 2003-05-30 2005-05-31 Gas distribution system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/854,869 Expired - Fee Related US6921437B1 (en) 2003-05-30 2004-05-26 Gas distribution system

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/142,087 Abandoned US20050217580A1 (en) 2003-05-30 2005-05-31 Gas distribution system

Country Status (7)

Country Link
US (3) US6921437B1 (en)
EP (2) EP1629522A4 (en)
JP (2) JP2007525822A (en)
KR (2) KR20060011887A (en)
CN (2) CN101068950A (en)
TW (2) TW200510564A (en)
WO (2) WO2004109761A2 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US20050126484A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc., A Delaware Corporation Edge flow faceplate for improvement of CVD film properties
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20060096540A1 (en) * 2004-11-11 2006-05-11 Choi Jin H Apparatus to manufacture semiconductor
US20060196420A1 (en) * 2005-03-02 2006-09-07 Andrey Ushakov High density plasma chemical vapor deposition apparatus
US20060219362A1 (en) * 2005-04-01 2006-10-05 Geun-Jo Han Gas injector and apparatus including the same
US20070068625A1 (en) * 2005-09-23 2007-03-29 Tokyo Electron Limited Method and system for controlling radical distribution
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20080020146A1 (en) * 2004-05-12 2008-01-24 Choi Soo Y Diffuser plate with slit valve compensation
US20080282979A1 (en) * 2007-05-18 2008-11-20 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20090000743A1 (en) * 2007-06-27 2009-01-01 Tokyo Electron Limited Substrate processing apparatus and shower head
US20090145553A1 (en) * 2007-12-06 2009-06-11 Tokyo Electron Limited Suppressor of hollow cathode discharge in a shower head fluid distribution system
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US20090311869A1 (en) * 2006-07-20 2009-12-17 Tokyo Electron Limited Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US20100126418A1 (en) * 2008-11-26 2010-05-27 Industrial Technology Research Institute Gas shower module
US20100178775A1 (en) * 2006-10-23 2010-07-15 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US20100230387A1 (en) * 2006-06-13 2010-09-16 Tokyo Electron Limited Shower Plate, Method for Manufacturing the Shower Plate, Plasma Processing Apparatus using the Shower Plate, Plasma Processing Method and Electronic Device Manufacturing Method
US20110000529A1 (en) * 2008-04-08 2011-01-06 Shimadzu Corporation Cathode Electrode for Plasma CVD and Plasma CVD Apparatus
US20110256315A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with gas injection distribution devices
US20130228284A1 (en) * 2008-02-28 2013-09-05 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US20130269876A1 (en) * 2012-04-13 2013-10-17 Samsung Electronics Co., Ltd. Apparatus for fabricating semiconductor device
US20130292047A1 (en) * 2006-01-20 2013-11-07 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US20140090599A1 (en) * 2012-09-28 2014-04-03 Tokyo Electron Limited Film forming apparatus
US20140230730A1 (en) * 2004-04-12 2014-08-21 Applied Materials, Inc. Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20140374509A1 (en) * 2010-02-12 2014-12-25 Applied Materials, Inc. Process chamber gas flow improvements
US9206513B2 (en) 2009-11-20 2015-12-08 Kyocera Corporation Apparatus for forming deposited film
US9284644B2 (en) 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US20170342594A1 (en) * 2014-12-16 2017-11-30 Saint-Gobain Lumilog Chemical vapour deposition reactor
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US10378108B2 (en) * 2015-10-08 2019-08-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US20190351433A1 (en) * 2018-05-18 2019-11-21 Applied Materials, Inc. Multi-zone showerhead
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11488812B2 (en) * 2010-10-15 2022-11-01 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
KR101070353B1 (en) * 2003-06-25 2011-10-05 주성엔지니어링(주) Gas injector for use in semiconductor fabrication apparatus
DE102005004312A1 (en) * 2005-01-31 2006-08-03 Aixtron Ag Gas distributor for a chemical vapor deposition or organic vapor phase deposition reactor is characterized in that process gases are distributed radially in a first plane and then circumferentially in a second plane
KR100600051B1 (en) * 2005-02-22 2006-07-13 주식회사 하이닉스반도체 Apparatus of atomic layer deposition and method for fabrication of tertiary thin film using the same
KR101046902B1 (en) * 2005-11-08 2011-07-06 도쿄엘렉트론가부시키가이샤 Plasma treatment device using shower plate and shower plate
US20080000424A1 (en) * 2006-06-29 2008-01-03 Aviza Technology, Inc. Showerhead for a Gas Supply Apparatus
DE112008000169T5 (en) * 2007-01-12 2010-01-14 Veeco Instruments Inc. Gas Conditioning Systems
KR100857807B1 (en) * 2007-06-21 2008-09-09 주식회사 에스에프에이 Chemical vapor deposition apparatus for flat display
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
JP5058727B2 (en) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 Top plate structure and plasma processing apparatus using the same
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
JP2009088229A (en) * 2007-09-28 2009-04-23 Tokyo Electron Ltd Film-forming apparatus, film forming method, storage medium, and gas supply apparatus
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
JP4864057B2 (en) * 2008-09-04 2012-01-25 シャープ株式会社 Vapor growth apparatus and vapor growth method
CN102197458A (en) * 2008-10-24 2011-09-21 应用材料公司 Multiple gas feed apparatus and method
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8568529B2 (en) 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
JP2012525718A (en) * 2009-04-29 2012-10-22 アプライド マテリアルズ インコーポレイテッド Method for forming an in situ pre-GaN deposition layer in HVPE
DE102009043840A1 (en) * 2009-08-24 2011-03-03 Aixtron Ag CVD reactor with strip-like gas inlet zones and method for depositing a layer on a substrate in such a CVD reactor
TWI385272B (en) * 2009-09-25 2013-02-11 Ind Tech Res Inst Gas distribution plate and apparatus using the same
TWI372081B (en) 2010-02-02 2012-09-11 Hermes Epitek Corp Showerhead
KR101058747B1 (en) 2011-01-07 2011-08-24 주성엔지니어링(주) Gas injection apparatus
TWI534291B (en) 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
JP6038618B2 (en) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
DE102013101534A1 (en) 2013-02-15 2014-08-21 Aixtron Se Gas distributor for a CVD reactor
CN105441904B (en) * 2014-06-18 2018-06-26 中微半导体设备(上海)有限公司 Gas shower device, chemical vapor deposition unit and method
CN105331953B (en) * 2014-07-23 2019-04-23 北京北方华创微电子装备有限公司 Inlet duct and semiconductor processing equipment
CN105331952B (en) * 2014-07-23 2019-04-23 北京北方华创微电子装备有限公司 Inlet duct and semiconductor processing equipment
JP2016169402A (en) * 2015-03-11 2016-09-23 株式会社日立国際電気 Substrate treatment device and method for manufacturing semiconductor device
CN105349967B (en) * 2015-12-09 2018-02-27 北京北方华创微电子装备有限公司 A kind of gas distributor applied to film deposition techniques
KR102553629B1 (en) 2016-06-17 2023-07-11 삼성전자주식회사 Plasma processing apparatus
CN106191816B (en) * 2016-07-06 2019-04-05 廊坊西波尔钻石技术有限公司 A kind of hot-wire chemical gas-phase deposition furnace disengaging gas gas path device and method
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
KR20200087267A (en) * 2017-12-08 2020-07-20 램 리써치 코포레이션 Integrated showerhead with improved hole pattern to deliver radical and precursor gases to the downstream chamber to enable remote plasma film deposition
KR102576220B1 (en) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 Thin Film Processing Appartus and Method
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
KR20210127768A (en) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 Lid assembly apparatus and methods for substrate processing chambers
SE544378C2 (en) * 2020-07-13 2022-04-26 Epiluvac Ab Device and method for achieving homogeneous growth and doping of semiconductor wafers with a diameter greater than 100 mm
CN117248193A (en) * 2023-11-16 2023-12-19 江苏微导纳米科技股份有限公司 Coating chamber and coating equipment

Citations (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627046A (en) * 1969-11-10 1971-12-14 Lynes Inc Method and apparatus for positioning and gravel packing a production screen in a well bore
US3884301A (en) * 1973-11-23 1975-05-20 Texaco Trinidad Method of gravel-packing a high-pressure well
US4522264A (en) * 1983-09-02 1985-06-11 Otis Engineering Corporation Apparatus and method for treating wells
US4846402A (en) * 1988-02-03 1989-07-11 Wheelabrator Air Pollution Control, Inc. Spray nozzle and method of preventing solids build-up thereon
US4893752A (en) * 1987-05-06 1990-01-16 Turbotak Inc. Spray nozzle design
US4904621A (en) * 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US5042708A (en) * 1990-09-24 1991-08-27 International Business Machines Corporation Solder placement nozzle assembly
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5575883A (en) * 1993-07-09 1996-11-19 Fujitsu Limited Apparatus and process for fabricating semiconductor devices
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5866213A (en) * 1994-06-03 1999-02-02 Tokyo Electron Limited Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5888907A (en) * 1996-04-26 1999-03-30 Tokyo Electron Limited Plasma processing method
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5958140A (en) * 1995-07-27 1999-09-28 Tokyo Electron Limited One-by-one type heat-processing apparatus
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6007633A (en) * 1997-04-09 1999-12-28 Tokyo Electron Limited Single-substrate-processing apparatus in semiconductor processing system
US6025013A (en) * 1994-03-29 2000-02-15 Schott Glaswerke PICVD process and device for the coating of curved substrates
US6033921A (en) * 1998-04-06 2000-03-07 Advanced Micro Devices, Inc. Method for depositing a material of controlled, variable thickness across a surface for planarization of that surface
US6036782A (en) * 1997-10-07 2000-03-14 Tokyo Electron Limited Shower head
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US6089472A (en) * 1997-06-16 2000-07-18 Trikon Equipments Limited Shower head
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6140215A (en) * 1994-06-03 2000-10-31 Tokyo Electron Limited Method and apparatus for low temperature deposition of CVD and PECVD films
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6232580B1 (en) * 1996-02-02 2001-05-15 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6435428B2 (en) * 2000-02-16 2002-08-20 Apex Co., Ltd. Showerhead apparatus for radical-assisted deposition
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6444042B1 (en) * 1999-02-25 2002-09-03 Hyundai Electronics Industries Co., Ltd. Gas injection system for chemical vapor deposition device
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02114530A (en) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
DE4011933C2 (en) * 1990-04-12 1996-11-21 Balzers Hochvakuum Process for the reactive surface treatment of a workpiece and treatment chamber therefor
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
CH687258A5 (en) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gas inlet arrangement.
TW293983B (en) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
JPH09149921A (en) * 1995-09-26 1997-06-10 Shimadzu Corp Rescue supporter
US5568406A (en) * 1995-12-01 1996-10-22 Gerber; Eliot S. Stolen car detection system and method
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP3310171B2 (en) * 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
US5938333A (en) * 1996-10-04 1999-08-17 Amalgamated Research, Inc. Fractal cascade as an alternative to inter-fluid turbulence
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5983333A (en) * 1997-08-27 1999-11-09 Lucent Technologies Inc. High speed module address generator
US5955952A (en) * 1997-10-24 1999-09-21 Sunset Advertising Enterprises, Inc. Method and system for locating a lost person or lost personal property
US5983238A (en) * 1997-12-26 1999-11-09 Diamond Id Gemstons identification tracking and recovery system
DE19802572A1 (en) * 1998-01-23 1999-08-05 Siemens Health Service Gmbh & Medical system architecture
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6034605A (en) * 1998-12-08 2000-03-07 March; Anthony W. System/method for secure storage of personal information and for broadcast of the personal information at a time of emergency
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6333019B1 (en) * 1999-04-29 2001-12-25 Marc-Olivier Coppens Method for operating a chemical and/or physical process by means of a hierarchical fluid injection system
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6449611B1 (en) * 1999-09-30 2002-09-10 Fred Frankel Business model for recovery of missing goods, persons, or fugitive or disbursements of unclaimed goods using the internet
JP2001167054A (en) * 1999-12-09 2001-06-22 Casio Comput Co Ltd Portable information equipment, device and system for authentication
US20020107947A1 (en) * 1999-12-09 2002-08-08 Zephyr Media, Inc. System and method for integration of a universally publicly accessible global network
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
AU2001283101A1 (en) * 2000-08-14 2002-02-25 Adbeep. Com, Llc Method and apparatus for displaying advertising indicia on wireless device
JP4756200B2 (en) * 2000-09-04 2011-08-24 Dowaメタルテック株式会社 Metal ceramic circuit board
US20020039067A1 (en) * 2000-10-03 2002-04-04 Timothy Eubanks Personnel location system
KR100434487B1 (en) * 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
JP3500359B2 (en) * 2001-01-30 2004-02-23 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method, substrate treatment apparatus and substrate treatment method
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system

Patent Citations (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3627046A (en) * 1969-11-10 1971-12-14 Lynes Inc Method and apparatus for positioning and gravel packing a production screen in a well bore
US3884301A (en) * 1973-11-23 1975-05-20 Texaco Trinidad Method of gravel-packing a high-pressure well
US4522264A (en) * 1983-09-02 1985-06-11 Otis Engineering Corporation Apparatus and method for treating wells
US4893752A (en) * 1987-05-06 1990-01-16 Turbotak Inc. Spray nozzle design
US4904621A (en) * 1987-07-16 1990-02-27 Texas Instruments Incorporated Remote plasma generation process using a two-stage showerhead
US4846402A (en) * 1988-02-03 1989-07-11 Wheelabrator Air Pollution Control, Inc. Spray nozzle and method of preventing solids build-up thereon
US5042708A (en) * 1990-09-24 1991-08-27 International Business Machines Corporation Solder placement nozzle assembly
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5733821A (en) * 1993-07-09 1998-03-31 Fujitsu Limited Process for fabricating semiconductor devices
US5575883A (en) * 1993-07-09 1996-11-19 Fujitsu Limited Apparatus and process for fabricating semiconductor devices
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US6025013A (en) * 1994-03-29 2000-02-15 Schott Glaswerke PICVD process and device for the coating of curved substrates
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
US5866213A (en) * 1994-06-03 1999-02-02 Tokyo Electron Limited Method for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6140215A (en) * 1994-06-03 2000-10-31 Tokyo Electron Limited Method and apparatus for low temperature deposition of CVD and PECVD films
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5958140A (en) * 1995-07-27 1999-09-28 Tokyo Electron Limited One-by-one type heat-processing apparatus
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US6232580B1 (en) * 1996-02-02 2001-05-15 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US6010748A (en) * 1996-03-22 2000-01-04 Advanced Technology Materials, Inc. Method of delivering source reagent vapor mixtures for chemical vapor deposition using interiorly partitioned injector
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5888907A (en) * 1996-04-26 1999-03-30 Tokyo Electron Limited Plasma processing method
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
US6007633A (en) * 1997-04-09 1999-12-28 Tokyo Electron Limited Single-substrate-processing apparatus in semiconductor processing system
US6334983B1 (en) * 1997-04-11 2002-01-01 Tokyo Electron Limited Processing system
US6089472A (en) * 1997-06-16 2000-07-18 Trikon Equipments Limited Shower head
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6368987B1 (en) * 1997-09-30 2002-04-09 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6036782A (en) * 1997-10-07 2000-03-14 Tokyo Electron Limited Shower head
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6410089B1 (en) * 1998-02-13 2002-06-25 Applied Materials, Inc. Chemical vapor deposition of copper using profiled distribution of showerhead apertures
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6184986B1 (en) * 1998-04-06 2001-02-06 Advanced Micro Devices, Inc. Depositing a material of controlled, variable thickness across a surface for planarization of that surface
US6033921A (en) * 1998-04-06 2000-03-07 Advanced Micro Devices, Inc. Method for depositing a material of controlled, variable thickness across a surface for planarization of that surface
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6428850B1 (en) * 1998-05-13 2002-08-06 Tokyo Electron Limited Single-substrate-processing CVD method of forming film containing metal element
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6274495B1 (en) * 1998-09-03 2001-08-14 Cvc Products, Inc. Method for fabricating a device on a substrate
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6444042B1 (en) * 1999-02-25 2002-09-03 Hyundai Electronics Industries Co., Ltd. Gas injection system for chemical vapor deposition device
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6432831B2 (en) * 1999-06-30 2002-08-13 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6284673B2 (en) * 1999-07-08 2001-09-04 Genus Inc. Method for providing uniform gas delivery to substrates in CVD and PECVD processes
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6435428B2 (en) * 2000-02-16 2002-08-20 Apex Co., Ltd. Showerhead apparatus for radical-assisted deposition
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma

Cited By (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110030898A1 (en) * 2003-04-25 2011-02-10 Tokyo Electron Limited Plasma Processing Apparatus and the Upper Electrode Unit
US20090255631A1 (en) * 2003-04-25 2009-10-15 Tokyo Electron Limited Plasma Processing Apparatus and the Upper Electrode Unit
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US8083891B2 (en) 2003-04-25 2011-12-27 Tokyo Electron Limited Plasma processing apparatus and the upper electrode unit
US20050126484A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc., A Delaware Corporation Edge flow faceplate for improvement of CVD film properties
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20140230730A1 (en) * 2004-04-12 2014-08-21 Applied Materials, Inc. Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US11692268B2 (en) * 2004-04-12 2023-07-04 Applied Materials, Inc. Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20080020146A1 (en) * 2004-05-12 2008-01-24 Choi Soo Y Diffuser plate with slit valve compensation
US20060096540A1 (en) * 2004-11-11 2006-05-11 Choi Jin H Apparatus to manufacture semiconductor
US20060196420A1 (en) * 2005-03-02 2006-09-07 Andrey Ushakov High density plasma chemical vapor deposition apparatus
US20060219362A1 (en) * 2005-04-01 2006-10-05 Geun-Jo Han Gas injector and apparatus including the same
US20110024527A1 (en) * 2005-04-01 2011-02-03 Jusung Engineering Co., Ltd. Gas injector and apparatus including the same
US7846292B2 (en) * 2005-04-01 2010-12-07 Jusung Engineering Co., Ltd. Gas injector and apparatus including the same
US8038834B2 (en) 2005-09-23 2011-10-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070068625A1 (en) * 2005-09-23 2007-03-29 Tokyo Electron Limited Method and system for controlling radical distribution
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20100193471A1 (en) * 2005-09-23 2010-08-05 Tokyo Electron Limited Method and system for controlling radical distribution
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20130292047A1 (en) * 2006-01-20 2013-11-07 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US8925351B2 (en) * 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
US20100230387A1 (en) * 2006-06-13 2010-09-16 Tokyo Electron Limited Shower Plate, Method for Manufacturing the Shower Plate, Plasma Processing Apparatus using the Shower Plate, Plasma Processing Method and Electronic Device Manufacturing Method
US8372200B2 (en) * 2006-06-13 2013-02-12 Tokyo Electron Ltd. Shower plate, method for manufacturing the shower plate, plasma processing apparatus using the shower plate, plasma processing method and electronic device manufacturing method
US20090311869A1 (en) * 2006-07-20 2009-12-17 Tokyo Electron Limited Shower plate and manufacturing method thereof, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the shower plate
US20100178775A1 (en) * 2006-10-23 2010-07-15 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US8915999B2 (en) * 2006-10-23 2014-12-23 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US9767994B2 (en) 2006-10-23 2017-09-19 Tokyo Electron Limited Shower plate sintered integrally with gas release hole member and method for manufacturing the same
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20080282979A1 (en) * 2007-05-18 2008-11-20 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US8276540B2 (en) 2007-05-18 2012-10-02 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20090000743A1 (en) * 2007-06-27 2009-01-01 Tokyo Electron Limited Substrate processing apparatus and shower head
US20090145553A1 (en) * 2007-12-06 2009-06-11 Tokyo Electron Limited Suppressor of hollow cathode discharge in a shower head fluid distribution system
US7744720B2 (en) * 2007-12-06 2010-06-29 Tokyo Electron Limited Suppressor of hollow cathode discharge in a shower head fluid distribution system
US20090197014A1 (en) * 2008-02-04 2009-08-06 Atomic Energy Council - Institute Of Nuclear Energy Research Apparatus and method for coating diamond on work pieces via hot filament chemical vapor deposition
US20130228284A1 (en) * 2008-02-28 2013-09-05 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US9455133B2 (en) * 2008-02-28 2016-09-27 Tokyo Electron Limited Hollow cathode device and method for using the device to control the uniformity of a plasma process
US20110000529A1 (en) * 2008-04-08 2011-01-06 Shimadzu Corporation Cathode Electrode for Plasma CVD and Plasma CVD Apparatus
US20100126418A1 (en) * 2008-11-26 2010-05-27 Industrial Technology Research Institute Gas shower module
US9206513B2 (en) 2009-11-20 2015-12-08 Kyocera Corporation Apparatus for forming deposited film
US20140374509A1 (en) * 2010-02-12 2014-12-25 Applied Materials, Inc. Process chamber gas flow improvements
US9779917B2 (en) * 2010-02-12 2017-10-03 Applied Materials, Inc. Process chamber gas flow improvements
US10130958B2 (en) * 2010-04-14 2018-11-20 Applied Materials, Inc. Showerhead assembly with gas injection distribution devices
US20110256315A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Showerhead assembly with gas injection distribution devices
US11488812B2 (en) * 2010-10-15 2022-11-01 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20130269876A1 (en) * 2012-04-13 2013-10-17 Samsung Electronics Co., Ltd. Apparatus for fabricating semiconductor device
US20140090599A1 (en) * 2012-09-28 2014-04-03 Tokyo Electron Limited Film forming apparatus
US20170110292A1 (en) * 2013-02-25 2017-04-20 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US9284644B2 (en) 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US20170342594A1 (en) * 2014-12-16 2017-11-30 Saint-Gobain Lumilog Chemical vapour deposition reactor
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11932939B2 (en) 2015-04-22 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers
US10378108B2 (en) * 2015-10-08 2019-08-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US10745807B2 (en) 2015-10-08 2020-08-18 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US20180127875A1 (en) * 2016-11-04 2018-05-10 National Chung Shan Institute Of Science And Technology Apparatus for performing selenization and sulfurization process on glass substrate
US20190351433A1 (en) * 2018-05-18 2019-11-21 Applied Materials, Inc. Multi-zone showerhead
US11944988B2 (en) * 2018-05-18 2024-04-02 Applied Materials, Inc. Multi-zone showerhead
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition

Also Published As

Publication number Publication date
TW200507023A (en) 2005-02-16
WO2004109761A2 (en) 2004-12-16
CN1830069A (en) 2006-09-06
US6921437B1 (en) 2005-07-26
KR20060003909A (en) 2006-01-11
WO2004112092A3 (en) 2005-09-15
EP1629527A2 (en) 2006-03-01
TW200510564A (en) 2005-03-16
WO2004112092A2 (en) 2004-12-23
KR20060011887A (en) 2006-02-03
JP2007525822A (en) 2007-09-06
US20050217580A1 (en) 2005-10-06
EP1629522A4 (en) 2008-07-23
JP2006526900A (en) 2006-11-24
EP1629522A2 (en) 2006-03-01
CN101068950A (en) 2007-11-07
WO2004109761A3 (en) 2006-12-14

Similar Documents

Publication Publication Date Title
US20050109460A1 (en) Adjustable gas distribution system
US10577690B2 (en) Gas distribution showerhead for semiconductor processing
KR102525777B1 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US7981472B2 (en) Methods of providing uniform gas delivery to a reactor
US7361228B2 (en) Showerheads for providing a gas to a substrate and apparatus
EP1274875B1 (en) Method and apparatus for providing uniform gas delivery to substrates in cvd and pecvd processes
CN103668117A (en) Process gas management for inductively-coupled plasma deposition reactor
US20100116207A1 (en) Reaction chamber
US10745806B2 (en) Showerhead with air-gapped plenums and overhead isolation gas distributor
CN1261829A (en) Method and apparatus for gas phase coating complex internal surfaces of hollow articles
WO2014198134A1 (en) Pipeline-cooling gas distribution device for metal organic chemical vapour deposition reactor
JP2020061549A (en) Substrate processing apparatus
US20230044064A1 (en) Showerhead with faceplate having internal contours
US20230140263A1 (en) Showerheads with high solidity plenums
WO2024010692A1 (en) Multi-plenum gas manifolds for substrate processing systems

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION