US20050106788A1 - Method and process to make multiple-threshold metal gates CMOS technology - Google Patents

Method and process to make multiple-threshold metal gates CMOS technology Download PDF

Info

Publication number
US20050106788A1
US20050106788A1 US11/001,913 US191304A US2005106788A1 US 20050106788 A1 US20050106788 A1 US 20050106788A1 US 191304 A US191304 A US 191304A US 2005106788 A1 US2005106788 A1 US 2005106788A1
Authority
US
United States
Prior art keywords
metal
layer
gate
silicide
regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/001,913
Inventor
Ricky Amos
Katayun Barmak
Diane Boyd
Cyril Cabral
Meikei Leong
Thomas Kanarsky
Jakub Kedzierski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/001,913 priority Critical patent/US20050106788A1/en
Publication of US20050106788A1 publication Critical patent/US20050106788A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • the present invention relates to semiconductor devices, and more particularly to methods for forming complementary metal oxide semiconductor (CMOS) devices which include metal gates having multiple-threshold voltages Vt associated therewith.
  • CMOS complementary metal oxide semiconductor
  • MOSFETs metal oxide semiconductor field effect transistors
  • a polysilicon gate is typically employed.
  • One disadvantage of utilizing polysilicon gates is that at inversion, the polysilicon gates generally experience depletion of carriers in the area of the polysilicon gate that is adjacent to the gate dielectric. This depletion of carriers is referred to in the art as the polysilicon depletion effect.
  • the depletion effect reduces the effective gate capacitance of the MOSFET.
  • MOSFETs including a gate stack comprising a bottom polysilicon portion and a top silicide portion are also known.
  • the layer of silicide in such a gate stack contributes to a decrease in the resistance of the gate.
  • the decrease in resistance causes a decrease in the time propagation delay RC of the gate.
  • a silicide top gate region may help decrease the resistance of the transistor, charge is still depleted in the vicinity of the interface formed between the bottom polysilicon gate and gate dielectric, thereby causing a smaller effective gate capacitance.
  • MOSFET complementary metal-oxide-semiconductor
  • the metal of the gate prevents depletion of charge through the gate. This prevents the increase in effective thickness of the gate capacitor and the capacitance decreases as: a result of the depletion effect.
  • metal gates can be used to eliminate the poly-depletion effect and to provide lower gate resistance, it is generally quite difficult to offer multiple-threshold voltages with metal gates. Multiple-threshold voltages are needed in the semiconductor industry in order to provide design flexibility for low-power, high-performance, and 0 mixed-signal applications for overall system performance.
  • U.S. Pat. No. 6,204,103 to Bai, et al. disclose a method for forming first and second transistor devices.
  • This prior art method includes the steps of forming a first region of silicide over a portion of a gate dielectric that overlies a first well region in a semiconductor substrate; forming a second region of silicide over a second portion of the gate dielectric that over lies a second well region in the substrate; and forming first and second doped regions in the first and second well regions.
  • Bai, et al. different metals are employed in forming the first and second silicide regions.
  • the prior art does not disclose the use of a bimetal layer in forming one of the silicide regions, nor does it disclose a process where metal alloys are used.
  • Bai, et al. does make a general statement, See Col. 5, lines 2 - 24 , that “metals may exist at a desired Fermi level in their natural state or by chemical reactions such as alloying, doping, etc.” No disclosure of using metal alloys in this prior art process is however made.
  • impurity doping into the body of the MOSFET via ion implantation is employed for short-channel effect control and threshold voltage tuning.
  • carrier mobility is degraded with ever increasing impurity doping which, in turn, degrades the device performance.
  • the threshold voltage variations due to doping fluctuation will also limit the effectiveness of the doping technique. It is therefore highly desirable to provide an alternative way to adjust the threshold voltage in metal gated MOSFETs.
  • the present invention provides methods for adjusting the threshold voltage of MOSFETs which do not involve body doping, thus providing CMOS devices having multiple-threshold voltages.
  • total salicidation with a metal bilayer (representative of the first method of the present invention) or metal alloy (representative of the second method of the present invention) is employed to tune the threshold voltage of the MOSFETs.
  • the first method of the present invention comprises the steps of:
  • Another method of the present invention which includes a metal bilayer to tune the threshold voltage comprises the steps of:
  • a second method of the present invention which includes a metal alloy layer to tune the threshold voltage of the MOSFET device, comprises the steps of:
  • CMOS device which comprises: a Si-containing layer having source/drain regions present therein; a gate dielectric present atop portions of said Si-containing layer; and at least one alloy silicide metal gate located atop said gate dielectric, said alloy silicide metal gate is comprised of a metal bilayer or a metal alloy layer.
  • FIGS. 1-9 are pictorial representations (through cross sectional views) showing the basic processing steps that are employed in the first method of the present invention.
  • FIGS. 10A-10C are pictorial representations (through cross sectional views) showing the basic processing steps that are employed in an alternative processing scheme of the first method of the present invention.
  • FIGS. 11-16 are pictorial representations (through cross sectional views) showing the basic processing steps that are employed in the second method of the present invention.
  • a metal bilayer is used to tailor the threshold voltage of the CMOS device.
  • FIG. 1 illustrates an initial silicon-on-insulator (SOI) wafer that can be employed in the present invention.
  • the initial SOI wafer of FIG. 1 comprises buried oxide layer 12 sandwiched between Si-containing substrate 10 and Si-containing layer 14 .
  • the buried oxide layer electrically isolates Si-containing substrate 10 from Si-containing layer 14 .
  • Si-containing layer 14 is the region of the SOI wafer in which active devices are typically formed.
  • Si-containing is the region of the SOI wafer in which active devices are typically formed.
  • the term “Si-containing”-as used herein denotes a material that includes at least silicon.
  • Buried oxide region 12 may be a continuous buried oxide region, as is shown in FIG. 1 , or it may be a non-continuous, i.e., patterned, buried oxide region (not shown).
  • the non-continuous buried oxide regions are discrete and isolated regions or islands that are surrounded by Si-containing layers, i.e., Si-containing layers 10 and 14 .
  • the SOI wafer may be formed utilizing conventional SIMOX (separation by ion implantation of oxygen) processes well known to those skilled in the art.
  • SIMOX separation by ion implantation of oxygen
  • oxygen ions are implanted into a Si wafer utilizing ion implantation.
  • the depth of the implant region is dependent on the conditions used during ion implantation.
  • the implanted wafer is subjected to an annealing step which is capable of converting the implanted region into a buried oxide region.
  • the SOI wafer may be made using other conventional processes including, for example, a thermal bonding and cutting process.
  • the initial SOI wafer employed in the present invention may be formed by deposition processes as well as lithography and etching (employed when fabricating a patterned SOI substrate).
  • the initial SOI wafer may be formed by depositing or thermally growing an oxide film atop a Si-containing substrate; optionally patterning the oxide film by conventional lithography and etching; and thereafter forming a Si-containing layer atop the oxide layer using a conventional deposition process, including, for example, chemical vapor deposition (CVD), plasma-assisted CVD, sputtering, evaporation, chemical solution deposition or epitaxial Si growth.
  • CVD chemical vapor deposition
  • sputtering evaporation
  • chemical solution deposition chemical solution deposition or epitaxial Si growth.
  • the thickness of the various layers of the initial SOI wafer may vary depending on the process used in making the same.
  • Si-containing layer 14 has a thickness of from about 5 to about 200 nm, preferably 10 to 20 nm. In the case of the buried oxide layer, that layer may have a thickness of from about 100 to about 400 nm.
  • the thickness of the Si-containing substrate layer, i.e., layer 10 is inconsequential to the present invention. It is noted that the thicknesses provided above are exemplary and by no ways limit the scope of the present invention.
  • Si-containing layer 14 will serve as the body region of a metal-gated CMOS device.
  • Si-containing layer 14 may be undoped or it can be doped utilizing conventional techniques well known to those skilled in the art. The type of doping is dependent on the type of device to be fabricated.
  • the Si-containing substrate is not shown for clarity. Nevertheless, Si-containing substrate 10 is meant to be included in FIGS. 2-9 and 10 A- 10 C.
  • FIG. 2 shows the SOI wafer after trench isolation regions 16 and gate dielectric 18 have been formed.
  • the trench isolation regions are fabricated by first forming a sacrificial oxide (not shown) and a hardmask (not shown) on the surface of the SOI wafer and thereafter forming trenches into predetermined portions of the SOI wafer such that the bottom wall of each trench stops either in Si-containiing layer 14 or on a top surface of buried oxide layer 12 .
  • the sacrificial oxide layer may be formed by a thermal oxidation process or by a conventional deposition process such as CVD.
  • the hardmask is formed via deposition atop the previously formed sacrificial oxide layer.
  • the hardmask is composed of an insulating material which has a different etch selectivity as compared to the sacrificial oxide layer.
  • the hardmask is composed of a nitride or oxynitride.
  • the lithography step used in forming the trenches comprises the steps of: applying a photoresist (not-shown) to the top surface of the structure, exposing the photoresist to a pattern of radiation, and developing the pattern-into the exposed photoresist utilizing a conventional resist developer.
  • the etching step which may be conducted in a single step or multiple etching steps, includes the use of a conventional dry etching process such as reactive ion etching (RIE), plasma etching, ion beam etching; chemical etching; or a combination thereof.
  • RIE reactive ion etching
  • the pattern formed in the resist is transferred to the hardmask via etching and then the patterned photoresist is removed. Further etching is employed in transferring the trench pattern from the hardmask into the SOI wafer.
  • the SOI wafer containing trenches is then subjected to an optional oxidation process which forms a thin oxide liner (not specifically labeled) on the exposed trench sidewalls that are composed of a Si-containing material.
  • the trenches (without or without the liner) are filled with a dielectric (or insulating material) such as TEOS (tetraethylorthosilicate) utilizing a conventional deposition process such as CVD or plasma-CVD.
  • TEOS tetraethylorthosilicate
  • CVD chemical-mechanical polishing
  • An optional densification step may be performed after filling the trenches, but prior to planarization.
  • the remaining hardmask is then removed utilizing an etching process that is highly selective in removing nitride as compared with oxide and thereafter the remaining sacrificial oxide layer as well as nub portions of the filled trenches are removed utilizing an etching process that is highly selective in removing oxide as compared to Si-containing material. Note that after the sacrificial oxide has been removed, surface portions of Si-containing layer 14 are now bare.
  • Gate dielectric 18 is then formed atop the bare Si-containing surfaces as well as the trench isolation regions utilizing a conventional thermal growing process or by deposition.
  • the gate dielectric is typically a thin layer having a thickness of from about 1 to about 10 nm.
  • the gate dielectric may be composed of an oxide including, but not limited to: SiO 2 , oxynitides, Al 2 O 3 , ZrO 2 , HfO 2 , Ta 2 O 3 , TiO 2 , perovskite-type oxides, silicates and combinations of the above with or without the addition of nitrogen.
  • polysilicon layer 20 and oxide layer 22 are then formed so as to provide the structure illustrated in FIG. 3 .
  • the polysilicon layer is formed utilizing a conventional deposition process such as CVD.
  • the thickness of polysilicon layer 20 may vary, but typically polysilicon layer 20 has a thickness of from about 40 to about 200 nm.
  • the oxide layer is formed by a conventional deposition process or a thermal growing process atop the previously formed polysilicon layer.
  • the thickness of oxide layer 22 may vary, but typically oxide layer 22 has a thickness of from about 20 to about 200 nm. Note that polysilicon layer 20 and oxide layer 22 are used in defining the gate region of the present invention.
  • Gate patterning of oxide layer 22 , polysilicon layer 20 and gate dielectric 18 is then performed utilizing conventional lithography and etching so as to provide a plurarity of patterned stack regions atop the SOI wafer.
  • FIG. 4 shows the formation of two patterned stack regions labeled as 24 and 24 ′.
  • Insulating spacers 26 are then formed on each exposed vertical sidewall surface of the patterned stack regions by first depositing an insulating material, such as a nitride or oxynitride, and then selectively etching the insulator material.
  • source/drain regions 28 are formed into Si-containing layer 14 by utilizing conventional ion implantation followed by activation annealing.
  • FIG. 4 shows the structure after the above processing steps have been performed.
  • Barrier layer 30 composed of an oxide or other like barrier material is then formed by conventional deposition techniques on top as well as abutting the patterned stack regions. Resist 32 is then formed via a deposition process such as spin-on coating or CVD atop barrier layer 30 .
  • the resultant structure, including barrier layer 30 and resist 32 is shown, for example, in FIG. 5 .
  • the resist is then patterned by lithography such that some of the patterned stack regions are left protected with resist 32 , while other patterned stack regions are left unprotected. That is, a first predetermined number of patterned stack regions are exposed, while a second predetermined number of patterned stack regions are protected with resist 32 .
  • the patterned stack region 24 ′ remains protected with resist 32 , while patterned stack region 24 is left unprotected.
  • oxide layer 22 is removed from the structure providing the structure shown, for example, in FIG. 6 .
  • oxide layer 22 is removed to expose polysilicon layer 20 .
  • the removal step of the present invention is carried out by utilizing an etching process which is highly selective in removing barrier layer material and oxide as compared to silicon. The etching may be performed in a single step, or multiple etching steps may be performed, for removal of oxide layer 22 .
  • a first metal 34 is then formed atop the exposed surfaces of polysilicon layer 20 utilizing a conventional deposition process including, but not limited to: sputtering plating, CVD, atomic layer deposition or chemical solution deposition.
  • the first metal is comprised of any metal that is capable of forming a metal silicide when in contact with silicon and subjected to annealing. Suitable first metals include, but are not limited to: Co, Ni, Ti, W, Mo, Ta and the like. Preferred first metals include: Ni, Co and Ti.
  • the deposited first metal has a thickness of from about 10 to about 110 nm, with a thickness of from about 10 to about 85 nm being more highly preferred.
  • the resultant structure, including first metal 34 is shown, for example, in FIG. 7 .
  • resist 32 is removed from the structure utilizing a conventional resist stripping process well known to those skilled in the art so as to expose barrier layer 30 which was-not-previously removed from the structure. Note that in some embodiments of the present invention, resist 32 is only partially removed to expose some of the previously protected patterned stack regions, while still protecting some of the remaining patterned stack regions.
  • Oxide layer 22 is then removed utilizing the etching process mentioned above so as to expose polysilicon layer 20 of the previously protected region.
  • Second metal 36 which has a different Fermi level than first metal 34 , is then deposited on the first metal and the now exposed polysilicon layer 20 .
  • Suitable second metals include, but are not limited to: Co, Ni, Ti, W, Mo, Ta and the like, with the proviso that the second metal is different from the first metal.
  • Preferred second metals include: Co, Ni and Ti.
  • the deposited second metal has a thickness of from about 10 to about 110 nm, with a thickness of from about 10 to about 85 nm being more highly preferred.
  • the resultant structure, including second metal 36 is shown, for example, in FIG. 8 .
  • the above procedures of resist removal and metal deposition may be repeated any number of times.
  • each metal that is deposited has a different Fermi level than the previously deposited metal.
  • the structure containing the first and second metals is subjected to an annealing step which is carried out under conditions that are effective in causing the first and second metals to react with the underlying silicon regions, i.e., the polysilicon layer, to form silicide regions 38 and 40 , respectively.
  • Silicide regions 38 is comprised of an alloy silicide of the first and second metals
  • silicide region 40 is comprised of a silicide of the second metal. It is noted that the thickness of the first and second metals mentioned above is such that the reaction between the metals and the underlying portions of polysilicon layer 22 entirely consumes the polysilicon layer.
  • the annealing step is typically carried out at a temperature of from about 450° C. to about 900° C. for a time period of from about 15 to about 90 seconds. More preferably, the annealing step is typically performed at a temperature of from about 500° C. to about 700° C. for a time period of from about 20 to about 80 seconds. Note that other temperatures and times may be performed so long as the conditions are capable of causing the formation of silicide regions.
  • the annealing step is typically carried out in a gas ambient that includes He, Ar, N 2 or a forming gas.
  • some portions of the first and second metals are not used up in forming the silicide regions.
  • unreacted metal remains, and the unreacted metal is typically positioned atop the silicide regions.
  • Unreacted metal is then removed providing the structure, shown, for example, in FIG. 9 .
  • the unreacted metal if present, is removed utilizing an etching process that is highly selective in removing metal as compared with silicide. For example, a mixture of hydrogen peroxide and sulfuric acid can be used in removing the remaining unreacted metal from the structure.
  • silicide region 38 is comprised of an alloy silicide of the first and second metals, while silicide region 40 is comprised of a silicide of the second metal.
  • the resultant CMOS device has metal gate regions that have multiple-threshold voltages associated therewith.
  • the threshold voltage of the CMOS device can be tuned by adjusting the ratio of first and second metals employed.
  • the gates formed utilizing the method of the present invention are comprised entirely of a silicide; therefore the inventive method provides CMOS devices that do not exhibit any poly-depletion effects.
  • the CMOS devices also have a lower gate resistance as compared to polySi gates and/or gates made from a stack of polySi/silicide.
  • the processing used in forming the structure shown in FIGS. 5-9 are replaced with the following scheme.
  • oxide region 22 is removed from the structure shown in FIG. 4 and then first metal 34 is formed atop the exposed polysilicon layer 20 .
  • the first metal is then patterned via lithography and etching to provide the structure shown in FIG. 10A .
  • the first metal is subjected to annealing as described above to form a first metal silicide region 50 in the structure.
  • the resultant structure including the first metal silicide region is shown, for example, in FIG. 10B . Note that if any unreacted first metal remains after annealing, the unreacted first metal may be removed as described above.
  • Second metal 36 is then deposited and thereafter, the second metal is annealed. Note that if any unreacted second metal remains after annealing, the unreacted second metal may be removed as described above.
  • the annealing forms silicide region 38 that is comprised of the first and second metals, as well as silicide region 40 that is comprised of the second metal. See FIG. 10C .
  • the alternative to the first method of the present invention provides CMOS devices that have metal gate regions that have multiple-threshold voltages associated therewith.
  • the threshold voltage of the CMOS devices can be tuned be adjusting the ratio of first and second metals employed.
  • the gates formed utilizing the method of the present invention are comprised entirely of a silicide; therefore the inventive method provides CMOS devices that do not exhibit any poly-depletion effects.
  • the CMOS devices also have a lower gate resistance as compared to polySi gates and/or gates made from a stack of polySi/silicide.
  • FIGS. 11-16 illustrate the second method of the present invention wherein metal alloys are used in providing multiple-threshold gate regions which are tunable.
  • the initial FET structure shown in FIG. 11 comprises Si-containing layer 14 having isolation trench regions 16 and source/drain regions 28 formed therein.
  • the initial structure also includes at least one patterned gate stack 24 which comprises patterned gate dielectric 18 and patterned polysilicon gate 20 located atop a surface of the Si-containing layer. Insulating spacers 26 are located on opposing vertical sidewalls of the patterned gate stack region.
  • the initial structure shown in FIG. 11 also includes silicide regions 52 which are located in the source/drain regions.
  • Si-containing layer 14 may or may not be part of an SOI wafer. The Si-containing layer thus could be comprised of single crystal Si, poly-Si, SiGe, amorphous Si or an SOI wafer.
  • FIG. 11 The structure shown in FIG. 11 is fabricated using conventional processing steps that are well known to those skilled in the art. Since the fabrication of the initial structure shown in FIG. 11 is well known a detailed description of the same is not provided herein. Any conventional CMOS device with a poly-Si gate can be used to form the alloy silicide gates.
  • a dielectric stack such as a layer of first dielectric and a second dielectric is then formed.
  • a layer of first dielectric 54 is then formed via a conventional deposition process or a thermal growing process on the structure shown in FIG. 11 so as to cover the exposed surface portions of Si-containing layer 14 and silicide regions 52 .
  • the first dielectric layer may be composed of a nitride or oxynitride, and it typically has a thickness of from about 10 to about 100 nm.
  • a second dielectric layer such as SiO 2 layer 56 is then formed by conventional techniques such as CVD atop dielectric layer 54 .
  • the second dielectric layer may be composed of a nitride or oxynitride, and it typically has a thickness of from about 10 to about 100 nm.
  • the top surface layer of layer 56 is coplanar with the top surface of polysilicon layer 20 .
  • a conventional planarization step such as chemical-mechnical polishing may follow the deposition of the SiO 2 layer.
  • the resultant structure including dielectric layers 54 and 56 is shown, for example, in FIG. 12 .
  • Metal-alloy layer 58 is the formed atop-layer- 56 and the exposed polysilicon layer, See FIG. 13 :
  • the metal alloy layer of the present invention comprises at least one metal, which is capable of reacting with the underlying polysilicon to form a silicide region and an alloy additive.
  • the metal of the metal alloy layer employed in the present invention includes any of the metals listed above in connection with the first and second metals. Preferred metals for the metal alloy are Co or Ni, with Co being highly preferred.
  • the alloy layer of the present invention also include 0.1 to 50 atomic % of at least one additive, said at least one additive being selected from C, Al, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Ge, Zr, Nb, Mo; Ru, Rh, Pd, Ag, In, Sn, Hf, Ta, W, Re, Ir and Pt, with the proviso that the alloy additive is not the same as the metal. Mixtures of one or more of these additives are also contemplated herein. More preferably, the additive is present in the alloy layer in an amount of from about 0.1 to about 20 atomic %. Of the above mentioned additives, Al, Ti, V, Ge, Zr, Nb, Ru, Rh, Ag, In, Sn, Ta, Re, Ir, and Pt are preferred in the present invention.
  • the metal alloy layer may be deposited by physical vapor deposition (sputtering and evaporation), CVD including atomic layer deposition, or by plating.
  • the metal alloy layer has a thickness of from about 10 to about 100 nm, with a thickness of from about 10 to about 85 nm being more preferred.
  • alloy is used herein to include metal compositions that have a uniform or non-uniform distribution of said additive therein; metal compositions having a gradient distribution of said additive therein; or mixtures and compounds thereof.
  • a capping layer 60 is formed on the surface of metal alloy layer 58 .
  • the capping layer is formed using conventional deposition processes that are well known to those skilled in the art.
  • suitable deposition processes that can be employed in the present invention in forming the capping layer include, but are not limited to: chemical vapor deposition, plasma chemical vapor deposition, sputtering, evaporation, plating, spin-on coating and other like deposition-processes.
  • the thickness of the capping layer is not critical to the present invention as long as the capping layer is capable of preventing oxygen or another ambient gas from diffusing into the structure.
  • the capping layer has a thickness of from about 10 to about 30 nm.
  • the capping layer is composed of conventional materials that are well known in the art for preventing oxygen from diffusing into the structure.
  • TiN and W and other like material can be employed as the capping layer.
  • the structure including the capping layer and the metal alloy layer is subjected to a first annealing step which is capable of causing partial interaction between the metal alloy layer and the underlying polysilicon layer.
  • the first annealing step forms a partial silicide layer 62 in upper portions of the polysilicon layer, See FIG. 14 .
  • the silicide layer formed at this point of the present invention is a silicide material that is not in its lowest resistance phase.
  • the metal alloy includes Co
  • the first annealing step forms a CoSi in upper portions of the polysilicon layer.
  • the first annealing step is typically carried out at a temperature of from about 450° C. to about 600° C. for a time period of from about 1 to about 120 seconds. More preferably, the annealing step is typically performed at a temperature of from about 500° C. to about 550° C. for a time period of from about 20 to about 90 seconds. Note that other temperatures and times may be employed as long as the conditions are capable of causing the formation of silicide regions.
  • the first annealing step is typically carried out in a gas ambient that includes He, Ar, N 2 or a forming gas.
  • the capping layer and any unreacted metal alloy is removed from the structure utilizing a conventional etching process that is highly selective in removing both of the layers from the structure.
  • the resultant structure which is formed after the selective removal process is shown, for example, in FIG. 15 .
  • the structure shown in FIG. 1-5 is subjected to a second annealing step which converts the partial silicide/polysilicon region into a metal alloy silicide region.
  • the resultant structure, including metal alloy silicide region 64 is shown, for example, in FIG. 16 .
  • the second annealing step is typically carried out at a temperature of from about 600° C. to about 850° C. for a time period of from about 1 to about 60 seconds. More preferably, the annealing step is typically performed at a temperature of from about 650° to about 750° C. for a time period of from about 20 to about 45 seconds. Note that other temperatures and times may be employed so long as the conditions are capable of causing the formation of silicide regions.
  • the annealing step is typically using a gas ambient that includes He, Ar, N 2 or a forming gas.
  • the resultant CMOS device has metal gate regions that have multiple-threshold voltages associated therewith.
  • the threshold voltage of the CMOS device can be tuned by adjusting using the metal alloy layer.
  • the gates formed utilizing this method of the present invention are comprised entirely of a silicide; therefore the inventive method provides CMOS devices that do not exhibit any poly-depletion effects.
  • the CMOS devices also have a lower gate resistance as compared to polySi gates and/or gates made from a stack of polySi/silicide.
  • a Co alloy containing 5% Sn was compared to pure Co using the second method of the present invention.
  • a MOSFET structure including a patterned gate stack comprising 40 nm polysilicon gate and a 140 nm capping oxide layer was prepared.
  • the patterned gate stack included 1.4 nm wide oxynitride spacers formed on opposing sidewalls thereof.
  • the oxide capping layer was removed prior to activating the source/drain regions.
  • the threshold voltage for NFET (263 nm gate width) poly-Si control device was 0.4V. When pure Co was used to form the CoSi 2 , the threshold voltage value was 0.77 V.
  • the CoSi 2 (Sn) gate thus formed had a threshold voltage of about 1.02 V (a shift of about 250 mV toward the pFET direction). This example clearly demonstrates that the fully silicide metal alloy gate can effectively adjust the threshold voltage of a MOSFET.

Abstract

Methods of forming complementary metal oxide semiconductor (CMOS) devices having multiple-threshold voltages which are easily tunable are provided. Total salicidation with a metal bilayer (representative of the first method of the present invention) or metal alloy (representative of the second method of the present invention) is provided. CMOS devices having multiple-threshold voltages provided by the present methods are also described.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor devices, and more particularly to methods for forming complementary metal oxide semiconductor (CMOS) devices which include metal gates having multiple-threshold voltages Vt associated therewith.
  • BACKGROUND OF THE INVENTION
  • In current metal oxide semiconductor field effect transistors (MOSFETs), a polysilicon gate is typically employed. One disadvantage of utilizing polysilicon gates is that at inversion, the polysilicon gates generally experience depletion of carriers in the area of the polysilicon gate that is adjacent to the gate dielectric. This depletion of carriers is referred to in the art as the polysilicon depletion effect. The depletion effect reduces the effective gate capacitance of the MOSFET. Ideally, it is desirable that the gate capacitance of the MOSFET be high since high gate capacitance typically equates to more charge being accumulated. As more charge is accumulated in the channel, the source/drain current becomes higher when the transistor is biased.
  • MOSFETs including a gate stack comprising a bottom polysilicon portion and a top silicide portion are also known. The layer of silicide in such a gate stack contributes to a decrease in the resistance of the gate. The decrease in resistance causes a decrease in the time propagation delay RC of the gate. Although a silicide top gate region may help decrease the resistance of the transistor, charge is still depleted in the vicinity of the interface formed between the bottom polysilicon gate and gate dielectric, thereby causing a smaller effective gate capacitance.
  • Another type of MOSFET that is available is one where the gate electrode is made entirely of a metal. In such MOSFETs, the metal of the gate prevents depletion of charge through the gate. This prevents the increase in effective thickness of the gate capacitor and the capacitance decreases as: a result of the depletion effect.
  • Although metal gates can be used to eliminate the poly-depletion effect and to provide lower gate resistance, it is generally quite difficult to offer multiple-threshold voltages with metal gates. Multiple-threshold voltages are needed in the semiconductor industry in order to provide design flexibility for low-power, high-performance, and 0 mixed-signal applications for overall system performance.
  • U.S. Pat. No. 6,204,103 to Bai, et al. disclose a method for forming first and second transistor devices. This prior art method includes the steps of forming a first region of silicide over a portion of a gate dielectric that overlies a first well region in a semiconductor substrate; forming a second region of silicide over a second portion of the gate dielectric that over lies a second well region in the substrate; and forming first and second doped regions in the first and second well regions.
  • In Bai, et al., different metals are employed in forming the first and second silicide regions. The prior art does not disclose the use of a bimetal layer in forming one of the silicide regions, nor does it disclose a process where metal alloys are used. Bai, et al. does make a general statement, See Col. 5, lines 2-24, that “metals may exist at a desired Fermi level in their natural state or by chemical reactions such as alloying, doping, etc.” No disclosure of using metal alloys in this prior art process is however made.
  • In current CMOS technology, impurity doping into the body of the MOSFET via ion implantation is employed for short-channel effect control and threshold voltage tuning. However, carrier mobility is degraded with ever increasing impurity doping which, in turn, degrades the device performance. The threshold voltage variations due to doping fluctuation will also limit the effectiveness of the doping technique. It is therefore highly desirable to provide an alternative way to adjust the threshold voltage in metal gated MOSFETs.
  • SUMMARY OF THE INVENTION
  • The present invention provides methods for adjusting the threshold voltage of MOSFETs which do not involve body doping, thus providing CMOS devices having multiple-threshold voltages. In the present invention, total salicidation with a metal bilayer (representative of the first method of the present invention) or metal alloy (representative of the second method of the present invention) is employed to tune the threshold voltage of the MOSFETs.
  • Specifically, the first method of the present invention comprises the steps of:
    • providing a structure which comprises a plurality of patterned gate regions located atop a Si-containing layer, each of said patterned gate regions including at least a patterned polysilicon region;
    • forming a first metal on a first predetermined number of said patterned gate stack regions, said first metal is in contact with said patterned polysilicon region;
    • forming a second metal on said first metal as well as a second predetermined number of said patterned gate stacks, wherein said second metal in said second predetermined number of said patterned gate stacks is in contact with said patterned polysilicon region; and
    • annealing so as to cause reaction between the first and second metals and underlying silicon regions and subsequent formation of silicide regions, where said first predetermined number of patterned gate stack regions comprises an alloy silicide of
    • the first and second metals and said second predetermined number of patterned gate stack regions comprises a silicide of said second metal.
  • Another method of the present invention which includes a metal bilayer to tune the threshold voltage comprises the steps of:
    • providing a structure which comprises a plurality of patterned gate regions located atop a Si-containing layer, each of said patterned gate regions including at least a patterned polysilicon region;
    • forming a first metal on a first predetermined number of said patterned gate stack regions, said first metal is in contact with said patterned polysilicon region;
    • annealing said first metal to provide a first metal silicide in said first predetermined number of patterned gate stack regions;
    • forming a second metal atop the first metal silicide as well as on a second predetermined number of patterned gate stack regions, said second metal in said second predetermined number of patterned gate stack regions is in contact with said patterned polysilicon region; and
    • annealing said second metal to form a second metal silicide region, wherein said first predetermined number of patterned gate stacks comprising at least an alloy silicide of said first and second metals, and said second predetermined number of patterned gate stacks comprises said second metal silicide region.
  • A second method of the present invention, which includes a metal alloy layer to tune the threshold voltage of the MOSFET device, comprises the steps of:
    • providing a structure which comprises a plurality of patterned gate regions located atop a Si-containing layer, each of said patterned gate regions including at least a patterned polysilicon region;
    • forming a dielectric stack on exposed surfaces of said Si-containing layer, said dielectric stack having an upper surface that is coplanar with said patterned polysilicon region;
    • forming a metal alloy layer atop said upper surface of said dielectric stack and an exposed surface of said patterned polysilicon region, said metal alloy layer comprising a metal and at least one alloying additive;
    • forming a capping layer atop said metal alloy layer;
    • first annealing to form a partial silicide region in an upper portion of said patterned gate stack regions;
    • selectively removing said capping layer; and
    • second annealing to convert remaining portions of said patterned gate stack region and said partial silicide regions into a metal alloy silicide region.
  • Another aspect of the present invention relates to a CMOS device which comprises: a Si-containing layer having source/drain regions present therein; a gate dielectric present atop portions of said Si-containing layer; and at least one alloy silicide metal gate located atop said gate dielectric, said alloy silicide metal gate is comprised of a metal bilayer or a metal alloy layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-9 are pictorial representations (through cross sectional views) showing the basic processing steps that are employed in the first method of the present invention.
  • FIGS. 10A-10C are pictorial representations (through cross sectional views) showing the basic processing steps that are employed in an alternative processing scheme of the first method of the present invention.
  • FIGS. 11-16 are pictorial representations (through cross sectional views) showing the basic processing steps that are employed in the second method of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention, which provides methods for fabricating metal-gated CMOS devices with multiple-threshold voltages, will now be described in more detail by referring to the drawings that accompany the present application.
  • The first method of the present invention, which is illustrated in FIGS. 1-9, and FIGS. 10A-10C will now be described. In the first method of the present invention, a metal bilayer is used to tailor the threshold voltage of the CMOS device.
  • Reference is first made to FIG. 1 which illustrates an initial silicon-on-insulator (SOI) wafer that can be employed in the present invention. Specifically, the initial SOI wafer of FIG. 1 comprises buried oxide layer 12 sandwiched between Si-containing substrate 10 and Si-containing layer 14. The buried oxide layer electrically isolates Si-containing substrate 10 from Si-containing layer 14. It is noted that Si-containing layer 14 is the region of the SOI wafer in which active devices are typically formed. The term “Si-containing”-as used herein denotes a material that includes at least silicon. Illustrative examples of such Si-containing material include, but are not limited to: Si, SiGe, SiC, SiGeC, Si/Si, Si/SiC, and Si/SiGeC. Buried oxide region 12 may be a continuous buried oxide region, as is shown in FIG. 1, or it may be a non-continuous, i.e., patterned, buried oxide region (not shown). The non-continuous buried oxide regions are discrete and isolated regions or islands that are surrounded by Si-containing layers, i.e., Si-containing layers 10 and 14.
  • The SOI wafer may be formed utilizing conventional SIMOX (separation by ion implantation of oxygen) processes well known to those skilled in the art. In a typically SIMOX process, oxygen ions are implanted into a Si wafer utilizing ion implantation. The depth of the implant region is dependent on the conditions used during ion implantation. After the implant step, the implanted wafer is subjected to an annealing step which is capable of converting the implanted region into a buried oxide region. Alternatively, the SOI wafer may be made using other conventional processes including, for example, a thermal bonding and cutting process.
  • In addition to the above techniques, the initial SOI wafer employed in the present invention may be formed by deposition processes as well as lithography and etching (employed when fabricating a patterned SOI substrate). Specifically, the initial SOI wafer may be formed by depositing or thermally growing an oxide film atop a Si-containing substrate; optionally patterning the oxide film by conventional lithography and etching; and thereafter forming a Si-containing layer atop the oxide layer using a conventional deposition process, including, for example, chemical vapor deposition (CVD), plasma-assisted CVD, sputtering, evaporation, chemical solution deposition or epitaxial Si growth.
  • The thickness of the various layers of the initial SOI wafer may vary depending on the process used in making the same. Typically however, Si-containing layer 14 has a thickness of from about 5 to about 200 nm, preferably 10 to 20 nm. In the case of the buried oxide layer, that layer may have a thickness of from about 100 to about 400 nm. The thickness of the Si-containing substrate layer, i.e., layer 10, is inconsequential to the present invention. It is noted that the thicknesses provided above are exemplary and by no ways limit the scope of the present invention.
  • In the present invention, portions of Si-containing layer 14 will serve as the body region of a metal-gated CMOS device. Note that Si-containing layer 14 may be undoped or it can be doped utilizing conventional techniques well known to those skilled in the art. The type of doping is dependent on the type of device to be fabricated. In the drawings of the first method of the present invention that follows, the Si-containing substrate is not shown for clarity. Nevertheless, Si-containing substrate 10 is meant to be included in FIGS. 2-9 and 10A-10C.
  • FIG. 2 shows the SOI wafer after trench isolation regions 16 and gate dielectric 18 have been formed. The trench isolation regions are fabricated by first forming a sacrificial oxide (not shown) and a hardmask (not shown) on the surface of the SOI wafer and thereafter forming trenches into predetermined portions of the SOI wafer such that the bottom wall of each trench stops either in Si-containiing layer 14 or on a top surface of buried oxide layer 12. The sacrificial oxide layer may be formed by a thermal oxidation process or by a conventional deposition process such as CVD. The hardmask is formed via deposition atop the previously formed sacrificial oxide layer. The hardmask is composed of an insulating material which has a different etch selectivity as compared to the sacrificial oxide layer. Typically, the hardmask is composed of a nitride or oxynitride.
  • Trenches are then formed through the hardmask and sacrificial oxide layer into the SOI wafer utilizing conventional lithography and etching. The lithography step used in forming the trenches comprises the steps of: applying a photoresist (not-shown) to the top surface of the structure, exposing the photoresist to a pattern of radiation, and developing the pattern-into the exposed photoresist utilizing a conventional resist developer. The etching step, which may be conducted in a single step or multiple etching steps, includes the use of a conventional dry etching process such as reactive ion etching (RIE), plasma etching, ion beam etching; chemical etching; or a combination thereof. In forming the trenches, the pattern formed in the resist is transferred to the hardmask via etching and then the patterned photoresist is removed. Further etching is employed in transferring the trench pattern from the hardmask into the SOI wafer.
  • The SOI wafer containing trenches is then subjected to an optional oxidation process which forms a thin oxide liner (not specifically labeled) on the exposed trench sidewalls that are composed of a Si-containing material. The trenches (without or without the liner) are filled with a dielectric (or insulating material) such as TEOS (tetraethylorthosilicate) utilizing a conventional deposition process such as CVD or plasma-CVD. Thereafter, the structure is planarized using a conventional planarization process such as chemical-mechanical polishing (CMP) or grinding, stopping on the upper surface of the hardmask. An optional densification step may be performed after filling the trenches, but prior to planarization.
  • The remaining hardmask is then removed utilizing an etching process that is highly selective in removing nitride as compared with oxide and thereafter the remaining sacrificial oxide layer as well as nub portions of the filled trenches are removed utilizing an etching process that is highly selective in removing oxide as compared to Si-containing material. Note that after the sacrificial oxide has been removed, surface portions of Si-containing layer 14 are now bare.
  • Gate dielectric 18 is then formed atop the bare Si-containing surfaces as well as the trench isolation regions utilizing a conventional thermal growing process or by deposition. The gate dielectric is typically a thin layer having a thickness of from about 1 to about 10 nm. The gate dielectric may be composed of an oxide including, but not limited to: SiO2, oxynitides, Al2O3, ZrO2, HfO2, Ta2O3, TiO2, perovskite-type oxides, silicates and combinations of the above with or without the addition of nitrogen.
  • After forming the gate dielectric on the exposed surface of the structure, polysilicon layer 20 and oxide layer 22 are then formed so as to provide the structure illustrated in FIG. 3. The polysilicon layer is formed utilizing a conventional deposition process such as CVD. The thickness of polysilicon layer 20 may vary, but typically polysilicon layer 20 has a thickness of from about 40 to about 200 nm. The oxide layer is formed by a conventional deposition process or a thermal growing process atop the previously formed polysilicon layer. The thickness of oxide layer 22 may vary, but typically oxide layer 22 has a thickness of from about 20 to about 200 nm. Note that polysilicon layer 20 and oxide layer 22 are used in defining the gate region of the present invention.
  • Gate patterning of oxide layer 22, polysilicon layer 20 and gate dielectric 18 is then performed utilizing conventional lithography and etching so as to provide a plurarity of patterned stack regions atop the SOI wafer. FIG. 4 shows the formation of two patterned stack regions labeled as 24 and 24′. Insulating spacers 26 are then formed on each exposed vertical sidewall surface of the patterned stack regions by first depositing an insulating material, such as a nitride or oxynitride, and then selectively etching the insulator material.
  • Following spacer deposition and etching, source/drain regions 28 are formed into Si-containing layer 14 by utilizing conventional ion implantation followed by activation annealing. FIG. 4 shows the structure after the above processing steps have been performed.
  • Barrier layer 30 composed of an oxide or other like barrier material is then formed by conventional deposition techniques on top as well as abutting the patterned stack regions. Resist 32 is then formed via a deposition process such as spin-on coating or CVD atop barrier layer 30. The resultant structure, including barrier layer 30 and resist 32 is shown, for example, in FIG. 5.
  • The resist is then patterned by lithography such that some of the patterned stack regions are left protected with resist 32, while other patterned stack regions are left unprotected. That is, a first predetermined number of patterned stack regions are exposed, while a second predetermined number of patterned stack regions are protected with resist 32. In FIG. 6, the patterned stack region 24′ remains protected with resist 32, while patterned stack region 24 is left unprotected.
  • After patterning resist 32, oxide layer 22 is removed from the structure providing the structure shown, for example, in FIG. 6. Note that oxide layer 22 is removed to expose polysilicon layer 20. The removal step of the present invention is carried out by utilizing an etching process which is highly selective in removing barrier layer material and oxide as compared to silicon. The etching may be performed in a single step, or multiple etching steps may be performed, for removal of oxide layer 22.
  • A first metal 34 is then formed atop the exposed surfaces of polysilicon layer 20 utilizing a conventional deposition process including, but not limited to: sputtering plating, CVD, atomic layer deposition or chemical solution deposition. The first metal is comprised of any metal that is capable of forming a metal silicide when in contact with silicon and subjected to annealing. Suitable first metals include, but are not limited to: Co, Ni, Ti, W, Mo, Ta and the like. Preferred first metals include: Ni, Co and Ti. The deposited first metal has a thickness of from about 10 to about 110 nm, with a thickness of from about 10 to about 85 nm being more highly preferred. The resultant structure, including first metal 34, is shown, for example, in FIG. 7.
  • After forming the first metal 34, resist 32 is removed from the structure utilizing a conventional resist stripping process well known to those skilled in the art so as to expose barrier layer 30 which was-not-previously removed from the structure. Note that in some embodiments of the present invention, resist 32 is only partially removed to expose some of the previously protected patterned stack regions, while still protecting some of the remaining patterned stack regions.
  • Oxide layer 22 is then removed utilizing the etching process mentioned above so as to expose polysilicon layer 20 of the previously protected region. Second metal 36, which has a different Fermi level than first metal 34, is then deposited on the first metal and the now exposed polysilicon layer 20. Suitable second metals include, but are not limited to: Co, Ni, Ti, W, Mo, Ta and the like, with the proviso that the second metal is different from the first metal. Preferred second metals include: Co, Ni and Ti. The deposited second metal has a thickness of from about 10 to about 110 nm, with a thickness of from about 10 to about 85 nm being more highly preferred. The resultant structure, including second metal 36, is shown, for example, in FIG. 8.
  • In some embodiments, the above procedures of resist removal and metal deposition may be repeated any number of times. In such an embodiment, each metal that is deposited has a different Fermi level than the previously deposited metal.
  • Next, the structure containing the first and second metals is subjected to an annealing step which is carried out under conditions that are effective in causing the first and second metals to react with the underlying silicon regions, i.e., the polysilicon layer, to form silicide regions 38 and 40, respectively. Silicide regions 38 is comprised of an alloy silicide of the first and second metals, whereas silicide region 40 is comprised of a silicide of the second metal. It is noted that the thickness of the first and second metals mentioned above is such that the reaction between the metals and the underlying portions of polysilicon layer 22 entirely consumes the polysilicon layer.
  • The annealing step is typically carried out at a temperature of from about 450° C. to about 900° C. for a time period of from about 15 to about 90 seconds. More preferably, the annealing step is typically performed at a temperature of from about 500° C. to about 700° C. for a time period of from about 20 to about 80 seconds. Note that other temperatures and times may be performed so long as the conditions are capable of causing the formation of silicide regions. The annealing step is typically carried out in a gas ambient that includes He, Ar, N2 or a forming gas.
  • In some instances, not shown, some portions of the first and second metals are not used up in forming the silicide regions. In those embodiments, unreacted metal remains, and the unreacted metal is typically positioned atop the silicide regions. Unreacted metal is then removed providing the structure, shown, for example, in FIG. 9. Specifically, the unreacted metal, if present, is removed utilizing an etching process that is highly selective in removing metal as compared with silicide. For example, a mixture of hydrogen peroxide and sulfuric acid can be used in removing the remaining unreacted metal from the structure.
  • It is again noted that in the structure shown in FIG. 9 silicide region 38 is comprised of an alloy silicide of the first and second metals, while silicide region 40 is comprised of a silicide of the second metal. Hence, the resultant CMOS device has metal gate regions that have multiple-threshold voltages associated therewith. The threshold voltage of the CMOS device can be tuned by adjusting the ratio of first and second metals employed. The gates formed utilizing the method of the present invention are comprised entirely of a silicide; therefore the inventive method provides CMOS devices that do not exhibit any poly-depletion effects. The CMOS devices also have a lower gate resistance as compared to polySi gates and/or gates made from a stack of polySi/silicide.
  • In an alternative processing scheme of the first method of the present invention, the processing used in forming the structure shown in FIGS. 5-9 are replaced with the following scheme. First, oxide region 22 is removed from the structure shown in FIG. 4 and then first metal 34 is formed atop the exposed polysilicon layer 20. The first metal is then patterned via lithography and etching to provide the structure shown in FIG. 10A. After patterning, the first metal is subjected to annealing as described above to form a first metal silicide region 50 in the structure. The resultant structure including the first metal silicide region is shown, for example, in FIG. 10B. Note that if any unreacted first metal remains after annealing, the unreacted first metal may be removed as described above. Second metal 36 is then deposited and thereafter, the second metal is annealed. Note that if any unreacted second metal remains after annealing, the unreacted second metal may be removed as described above. The annealing forms silicide region 38 that is comprised of the first and second metals, as well as silicide region 40 that is comprised of the second metal. See FIG. 10C.
  • The alternative to the first method of the present invention provides CMOS devices that have metal gate regions that have multiple-threshold voltages associated therewith. The threshold voltage of the CMOS devices can be tuned be adjusting the ratio of first and second metals employed. The gates formed utilizing the method of the present invention are comprised entirely of a silicide; therefore the inventive method provides CMOS devices that do not exhibit any poly-depletion effects. The CMOS devices also have a lower gate resistance as compared to polySi gates and/or gates made from a stack of polySi/silicide.
  • The above description provides a method wherein a metal bilayer is employed in providing CMOS devices that have multiple-threshold voltages which can be tuned by simply varying the ratio of first and second metals used. The following description and FIGS. 11-16 illustrate the second method of the present invention wherein metal alloys are used in providing multiple-threshold gate regions which are tunable.
  • Reference is first made to the initial FET structure shown in FIG. 11. Specifically, the initial FET structure shown in FIG. 11 comprises Si-containing layer 14 having isolation trench regions 16 and source/drain regions 28 formed therein. The initial structure also includes at least one patterned gate stack 24 which comprises patterned gate dielectric 18 and patterned polysilicon gate 20 located atop a surface of the Si-containing layer. Insulating spacers 26 are located on opposing vertical sidewalls of the patterned gate stack region. The initial structure shown in FIG. 11 also includes silicide regions 52 which are located in the source/drain regions. Si-containing layer 14 may or may not be part of an SOI wafer. The Si-containing layer thus could be comprised of single crystal Si, poly-Si, SiGe, amorphous Si or an SOI wafer.
  • The structure shown in FIG. 11 is fabricated using conventional processing steps that are well known to those skilled in the art. Since the fabrication of the initial structure shown in FIG. 11 is well known a detailed description of the same is not provided herein. Any conventional CMOS device with a poly-Si gate can be used to form the alloy silicide gates.
  • A dielectric stack such as a layer of first dielectric and a second dielectric is then formed. Specifically, a layer of first dielectric 54 is then formed via a conventional deposition process or a thermal growing process on the structure shown in FIG. 11 so as to cover the exposed surface portions of Si-containing layer 14 and silicide regions 52. The first dielectric layer may be composed of a nitride or oxynitride, and it typically has a thickness of from about 10 to about 100 nm.
  • A second dielectric layer such as SiO2 layer 56 is then formed by conventional techniques such as CVD atop dielectric layer 54. The second dielectric layer may be composed of a nitride or oxynitride, and it typically has a thickness of from about 10 to about 100 nm. Note that the top surface layer of layer 56 is coplanar with the top surface of polysilicon layer 20. To provide such coplanarity, a conventional planarization step such as chemical-mechnical polishing may follow the deposition of the SiO2 layer. The resultant structure including dielectric layers 54 and 56 is shown, for example, in FIG. 12.
  • Metal-alloy layer 58 is the formed atop-layer-56 and the exposed polysilicon layer, See FIG. 13: The metal alloy layer of the present invention comprises at least one metal, which is capable of reacting with the underlying polysilicon to form a silicide region and an alloy additive. The metal of the metal alloy layer employed in the present invention includes any of the metals listed above in connection with the first and second metals. Preferred metals for the metal alloy are Co or Ni, with Co being highly preferred. The alloy layer of the present invention also include 0.1 to 50 atomic % of at least one additive, said at least one additive being selected from C, Al, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Ge, Zr, Nb, Mo; Ru, Rh, Pd, Ag, In, Sn, Hf, Ta, W, Re, Ir and Pt, with the proviso that the alloy additive is not the same as the metal. Mixtures of one or more of these additives are also contemplated herein. More preferably, the additive is present in the alloy layer in an amount of from about 0.1 to about 20 atomic %. Of the above mentioned additives, Al, Ti, V, Ge, Zr, Nb, Ru, Rh, Ag, In, Sn, Ta, Re, Ir, and Pt are preferred in the present invention.
  • The metal alloy layer may be deposited by physical vapor deposition (sputtering and evaporation), CVD including atomic layer deposition, or by plating. The metal alloy layer has a thickness of from about 10 to about 100 nm, with a thickness of from about 10 to about 85 nm being more preferred.
  • The term “alloy” is used herein to include metal compositions that have a uniform or non-uniform distribution of said additive therein; metal compositions having a gradient distribution of said additive therein; or mixtures and compounds thereof.
  • Next, as also shown in FIG. 13, a capping layer 60 is formed on the surface of metal alloy layer 58. The capping layer is formed using conventional deposition processes that are well known to those skilled in the art. Illustrative examples of suitable deposition processes that can be employed in the present invention in forming the capping layer include, but are not limited to: chemical vapor deposition, plasma chemical vapor deposition, sputtering, evaporation, plating, spin-on coating and other like deposition-processes. The thickness of the capping layer is not critical to the present invention as long as the capping layer is capable of preventing oxygen or another ambient gas from diffusing into the structure. Typically, the capping layer has a thickness of from about 10 to about 30 nm.
  • The capping layer is composed of conventional materials that are well known in the art for preventing oxygen from diffusing into the structure. For example, TiN and W and other like material can be employed as the capping layer.
  • Next, the structure including the capping layer and the metal alloy layer is subjected to a first annealing step which is capable of causing partial interaction between the metal alloy layer and the underlying polysilicon layer. The first annealing step forms a partial silicide layer 62 in upper portions of the polysilicon layer, See FIG. 14. The silicide layer formed at this point of the present invention is a silicide material that is not in its lowest resistance phase. For example, when the metal alloy includes Co the first annealing step forms a CoSi in upper portions of the polysilicon layer.
  • The first annealing step is typically carried out at a temperature of from about 450° C. to about 600° C. for a time period of from about 1 to about 120 seconds. More preferably, the annealing step is typically performed at a temperature of from about 500° C. to about 550° C. for a time period of from about 20 to about 90 seconds. Note that other temperatures and times may be employed as long as the conditions are capable of causing the formation of silicide regions. The first annealing step is typically carried out in a gas ambient that includes He, Ar, N2 or a forming gas.
  • After the first annealing step, the capping layer and any unreacted metal alloy is removed from the structure utilizing a conventional etching process that is highly selective in removing both of the layers from the structure. The resultant structure which is formed after the selective removal process is shown, for example, in FIG. 15. Next, the structure shown in FIG. 1-5 is subjected to a second annealing step which converts the partial silicide/polysilicon region into a metal alloy silicide region. The resultant structure, including metal alloy silicide region 64, is shown, for example, in FIG. 16.
  • The second annealing step is typically carried out at a temperature of from about 600° C. to about 850° C. for a time period of from about 1 to about 60 seconds. More preferably, the annealing step is typically performed at a temperature of from about 650° to about 750° C. for a time period of from about 20 to about 45 seconds. Note that other temperatures and times may be employed so long as the conditions are capable of causing the formation of silicide regions. The annealing step is typically using a gas ambient that includes He, Ar, N2 or a forming gas.
  • The resultant CMOS device has metal gate regions that have multiple-threshold voltages associated therewith. The threshold voltage of the CMOS device can be tuned by adjusting using the metal alloy layer. The gates formed utilizing this method of the present invention are comprised entirely of a silicide; therefore the inventive method provides CMOS devices that do not exhibit any poly-depletion effects. The CMOS devices also have a lower gate resistance as compared to polySi gates and/or gates made from a stack of polySi/silicide.
  • The following example is provided to illustrate some advantages that can be obtained using one of the methods of the present application. In particular, the following example illustrates the use of the second method of the present invention wherein a metal alloy layer is employed.
  • EXAMPLE
  • In this example, a Co alloy containing 5% Sn was compared to pure Co using the second method of the present invention. Specifically, a MOSFET structure including a patterned gate stack comprising 40 nm polysilicon gate and a 140 nm capping oxide layer was prepared. The patterned gate stack included 1.4 nm wide oxynitride spacers formed on opposing sidewalls thereof. The oxide capping layer was removed prior to activating the source/drain regions. The threshold voltage for NFET (263 nm gate width) poly-Si control device was 0.4V. When pure Co was used to form the CoSi2, the threshold voltage value was 0.77 V. When Co containing 5 atomic % Sn was employed, the CoSi2(Sn) gate thus formed had a threshold voltage of about 1.02 V (a shift of about 250 mV toward the pFET direction). This example clearly demonstrates that the fully silicide metal alloy gate can effectively adjust the threshold voltage of a MOSFET.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described, but fall with the scope of the appended claims.

Claims (11)

1-27. (canceled)
28. A CMOS device comprising
a Si-containing layer having source/drain regions present therein;
a gate dielectric present atop portions of said Si-containing layer; and
at least one alloy silicide metal gate located atop said gate dielectric, said alloy silicide metal gate is comprised of a metal bilayer or a metal alloy layer.
29. The CMOS device of claim 28 wherein said metal bilayer comprises a first metal and a second metal, said metals having different Fermi levels.
30. The CMOS device of claim 29 wherein said first metal comprises Co, Ni, Ti, W, Mo or Ta.
31. The CMOS device of claim 29 wherein said second metal comprises Co, Ni, Ti, W, Mo or Ta.
32. The CMOS device of claim 29 wherein said first metal is Co and said second metal is Ni.
33. The CMOS device of claim 28 wherein said metal alloy layer comprises a metal and an alloying additive.
34. The CMOS device of claim 33 wherein said metal of said metal alloy layer comprises Co, Ni, Ti, W, Mo or Ta.
35. The CMOS device of claim 33 wherein said alloying additive comprises C, Al, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Ge, Zr, Nb, Mo, Ru, Rh, Pd, Ag, In, Sn, Hf, Ta, W, Re, Ir, Pt, or mixtures thereof, with the proviso that the alloy additive is not the same as the metal.
36. The CMOS device of claim 35 wherein said alloying additive comprises Al, Ti, V, Ge, Zr, Nb, Ru, Rh, Ag, In, Sn, Ta, Re, Ir, or Pt.
37. The CMOS device of claim 33 wherein said metal alloy layer contains from about 0.1 to about 50 atomic % of said alloying additive.
US11/001,913 2002-11-20 2004-12-02 Method and process to make multiple-threshold metal gates CMOS technology Abandoned US20050106788A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/001,913 US20050106788A1 (en) 2002-11-20 2004-12-02 Method and process to make multiple-threshold metal gates CMOS technology

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/300,165 US6846734B2 (en) 2002-11-20 2002-11-20 Method and process to make multiple-threshold metal gates CMOS technology
US11/001,913 US20050106788A1 (en) 2002-11-20 2004-12-02 Method and process to make multiple-threshold metal gates CMOS technology

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/300,165 Division US6846734B2 (en) 2002-11-20 2002-11-20 Method and process to make multiple-threshold metal gates CMOS technology

Publications (1)

Publication Number Publication Date
US20050106788A1 true US20050106788A1 (en) 2005-05-19

Family

ID=32297858

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/300,165 Expired - Lifetime US6846734B2 (en) 2002-11-20 2002-11-20 Method and process to make multiple-threshold metal gates CMOS technology
US11/001,913 Abandoned US20050106788A1 (en) 2002-11-20 2004-12-02 Method and process to make multiple-threshold metal gates CMOS technology

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/300,165 Expired - Lifetime US6846734B2 (en) 2002-11-20 2002-11-20 Method and process to make multiple-threshold metal gates CMOS technology

Country Status (4)

Country Link
US (2) US6846734B2 (en)
KR (1) KR100625057B1 (en)
CN (1) CN1294648C (en)
TW (1) TWI307938B (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US20070254478A1 (en) * 2006-04-27 2007-11-01 International Business Machines Corporation Silicide gate field effect transistors and methods for fabrication thereof
US20070278590A1 (en) * 2006-01-10 2007-12-06 International Business Machines Corporation Cmos with dual metal gate
US20080121877A1 (en) * 2006-11-27 2008-05-29 3M Innovative Properties Company Thin film transistor with enhanced stability
US20080121528A1 (en) * 2006-11-27 2008-05-29 3M Innovative Properties Company Method of fabricating thin film transistor
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US20090096034A1 (en) * 2007-10-16 2009-04-16 International Business Machines Corporation Partially and Fully Silicided Gate Stacks
US20090134469A1 (en) * 2007-11-28 2009-05-28 Interuniversitair Microelektronica Centrum (Imec) Vzw Method of manufacturing a semiconductor device with dual fully silicided gate
US20100187610A1 (en) * 2009-01-26 2010-07-29 International Business Machines Corporation Semiconductor device having dual metal gates and method of manufacture

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4209206B2 (en) * 2003-01-14 2009-01-14 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR100870176B1 (en) * 2003-06-27 2008-11-25 삼성전자주식회사 Nickel alloy salicide process, Methods of fabricating a semiconductor device using the same, nickel alloy silicide layer formed thereby and semiconductor devices fabricated using the same
US6967143B2 (en) * 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
US7192876B2 (en) * 2003-05-22 2007-03-20 Freescale Semiconductor, Inc. Transistor with independent gate structures
US6903967B2 (en) * 2003-05-22 2005-06-07 Freescale Semiconductor, Inc. Memory with charge storage locations and adjacent gate structures
US6936882B1 (en) * 2003-07-08 2005-08-30 Advanced Micro Devices, Inc. Selective silicidation of gates in semiconductor devices to achieve multiple threshold voltages
US8008136B2 (en) * 2003-09-03 2011-08-30 Advanced Micro Devices, Inc. Fully silicided gate structure for FinFET devices
US20050277262A1 (en) * 2004-06-14 2005-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing isolation structures in a semiconductor device
US7098502B2 (en) * 2003-11-10 2006-08-29 Freescale Semiconductor, Inc. Transistor having three electrically isolated electrodes and method of formation
KR100558006B1 (en) * 2003-11-17 2006-03-06 삼성전자주식회사 Nickel salicide processes and methods of fabricating semiconductor devices using the same
KR100513405B1 (en) * 2003-12-16 2005-09-09 삼성전자주식회사 Method for forming fin field effect transistor
US7153734B2 (en) * 2003-12-29 2006-12-26 Intel Corporation CMOS device with metal and silicide gate electrodes and a method for making it
KR100583962B1 (en) * 2004-01-29 2006-05-26 삼성전자주식회사 Transistors of A Semiconductor Device And Fabrication Methods Thereof
KR100587672B1 (en) * 2004-02-02 2006-06-08 삼성전자주식회사 Method for forming FINFET using damascene process
US7348265B2 (en) * 2004-03-01 2008-03-25 Texas Instruments Incorporated Semiconductor device having a silicided gate electrode and method of manufacture therefor
US7241674B2 (en) * 2004-05-13 2007-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7262104B1 (en) 2004-06-02 2007-08-28 Advanced Micro Devices, Inc. Selective channel implantation for forming semiconductor devices with different threshold voltages
KR100560818B1 (en) * 2004-06-02 2006-03-13 삼성전자주식회사 A semiconductor device and method for fabricating the same
US7015126B2 (en) * 2004-06-03 2006-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7105889B2 (en) * 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
KR100653689B1 (en) * 2004-06-09 2006-12-04 삼성전자주식회사 salicide process using bi-metal layer and method of fabricating a semiconductor device using the same
US7592674B2 (en) 2004-06-23 2009-09-22 Nec Corporation Semiconductor device with silicide-containing gate electrode and method of fabricating the same
US7091069B2 (en) * 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7705405B2 (en) * 2004-07-06 2010-04-27 International Business Machines Corporation Methods for the formation of fully silicided metal gates
US7396767B2 (en) * 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
US7338865B2 (en) * 2004-07-23 2008-03-04 Texas Instruments Incorporated Method for manufacturing dual work function gate electrodes through local thickness-limited silicidation
WO2006018762A2 (en) * 2004-08-13 2006-02-23 Koninklijke Philips Electronics N.V. Dual gate cmos fabrication
US7122472B2 (en) * 2004-12-02 2006-10-17 International Business Machines Corporation Method for forming self-aligned dual fully silicided gates in CMOS devices
US7064025B1 (en) * 2004-12-02 2006-06-20 International Business Machines Corporation Method for forming self-aligned dual salicide in CMOS technologies
US7078285B1 (en) 2005-01-21 2006-07-18 Sony Corporation SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
KR100593452B1 (en) * 2005-02-01 2006-06-28 삼성전자주식회사 Method of forming a mos transistor having fully silicided metal gate electrode
US7294890B2 (en) * 2005-03-03 2007-11-13 Agency For Science, Technology And Research Fully salicided (FUSA) MOSFET structure
JP2006294800A (en) * 2005-04-08 2006-10-26 Toshiba Corp Manufacturing method of semiconductor device
JP5015446B2 (en) * 2005-05-16 2012-08-29 アイメック Method for forming double fully silicided gates and device obtained by said method
JP2006324628A (en) * 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw Method of forming dual fully silicided gate and device obtained by the method
EP1724828B1 (en) * 2005-05-16 2010-04-21 Imec Method for forming dual fully silicided gates and devices obtained thereby
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
KR100688555B1 (en) * 2005-06-30 2007-03-02 삼성전자주식회사 Semiconductor device having CMOS transistor and method of manufacturing the same
US7151023B1 (en) 2005-08-01 2006-12-19 International Business Machines Corporation Metal gate MOSFET by full semiconductor metal alloy conversion
JP2007073938A (en) * 2005-08-09 2007-03-22 Toshiba Corp Semiconductor device
JP4950463B2 (en) 2005-09-14 2012-06-13 キヤノン株式会社 Semiconductor device
EP1927136A2 (en) * 2005-09-15 2008-06-04 Nxp B.V. Method of manufacturing semiconductor device with different metallic gates
JP2009509324A (en) * 2005-09-15 2009-03-05 エヌエックスピー ビー ヴィ Semiconductor device and manufacturing method thereof
US7521376B2 (en) * 2005-10-26 2009-04-21 International Business Machines Corporation Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment
US20070123042A1 (en) * 2005-11-28 2007-05-31 International Business Machines Corporation Methods to form heterogeneous silicides/germanides in cmos technology
US8159030B2 (en) * 2005-11-30 2012-04-17 Globalfoundries Inc. Strained MOS device and methods for its fabrication
JP2007165772A (en) * 2005-12-16 2007-06-28 Toshiba Corp Semiconductor device and manufacturing method therefor
US7432122B2 (en) 2006-01-06 2008-10-07 Freescale Semiconductor, Inc. Electronic device and a process for forming the electronic device
JP4957040B2 (en) * 2006-03-28 2012-06-20 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method of semiconductor device.
US7605077B2 (en) * 2006-03-29 2009-10-20 International Business Machines Corporation Dual metal integration scheme based on full silicidation of the gate electrode
US7297618B1 (en) * 2006-07-28 2007-11-20 International Business Machines Corporation Fully silicided gate electrodes and method of making the same
CN100449784C (en) * 2006-08-11 2009-01-07 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its making method
US8039339B2 (en) * 2007-04-23 2011-10-18 Freescale Semiconductor, Inc. Separate layer formation in a semiconductor device
US7585738B2 (en) * 2007-04-27 2009-09-08 Texas Instruments Incorporated Method of forming a fully silicided semiconductor device with independent gate and source/drain doping and related device
US20080272438A1 (en) * 2007-05-02 2008-11-06 Doris Bruce B CMOS Circuits with High-K Gate Dielectric
US8043888B2 (en) * 2008-01-18 2011-10-25 Freescale Semiconductor, Inc. Phase change memory cell with heater and method therefor
US7943467B2 (en) 2008-01-18 2011-05-17 International Business Machines Corporation Structure and method to fabricate MOSFET with short gate
US8563355B2 (en) * 2008-01-18 2013-10-22 Freescale Semiconductor, Inc. Method of making a phase change memory cell having a silicide heater in conjunction with a FinFET
US7749898B2 (en) * 2008-06-24 2010-07-06 Globalfoundries Inc. Silicide interconnect structure
US7872303B2 (en) * 2008-08-14 2011-01-18 International Business Machines Corporation FinFET with longitudinal stress in a channel
US7855105B1 (en) 2009-06-18 2010-12-21 International Business Machines Corporation Planar and non-planar CMOS devices with multiple tuned threshold voltages
US9219023B2 (en) * 2010-01-19 2015-12-22 Globalfoundries Inc. 3D chip stack having encapsulated chip-in-chip
KR101793534B1 (en) 2011-01-05 2017-11-06 삼성디스플레이 주식회사 Photosensor and manufacturing method thereof
US9269634B2 (en) 2011-05-16 2016-02-23 Globalfoundries Inc. Self-aligned metal gate CMOS with metal base layer and dummy gate structure
US9202698B2 (en) 2012-02-28 2015-12-01 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US20130292766A1 (en) 2012-05-03 2013-11-07 International Business Machines Corporation Semiconductor substrate with transistors having different threshold voltages
US9093558B2 (en) 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
CN103681291B (en) * 2012-09-12 2016-09-21 中芯国际集成电路制造(上海)有限公司 A kind of forming method of metal silicide
CN103779226B (en) * 2012-10-23 2016-08-10 中国科学院微电子研究所 Quasi-nano-wire transistor and manufacture method thereof
US8835244B2 (en) * 2013-02-21 2014-09-16 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having metal gate electrodes
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
US9349652B1 (en) * 2014-12-12 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device with different threshold voltages
KR102381342B1 (en) 2015-09-18 2022-03-31 삼성전자주식회사 Method of Forming a Semiconductor Device Having a Gate
US10446400B2 (en) 2017-10-20 2019-10-15 Samsung Electronics Co., Ltd. Method of forming multi-threshold voltage devices and devices so formed
CN111814406B (en) * 2020-07-27 2022-08-09 太原理工大学 Polycrystalline silicon raw material importance analysis method and system

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US6117712A (en) * 1998-03-13 2000-09-12 Texas Instruments - Acer Incorporated Method of forming ultra-short channel and elevated S/D MOSFETS with a metal gate on SOI substrate
US6251777B1 (en) * 1999-03-05 2001-06-26 Taiwan Semiconductor Manufacturing Company Thermal annealing method for forming metal silicide layer
US6262456B1 (en) * 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6281117B1 (en) * 1999-10-25 2001-08-28 Chartered Semiconductor Manufacturing Ltd. Method to form uniform silicide features
US6281559B1 (en) * 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US20020045344A1 (en) * 1996-06-04 2002-04-18 Quingfeng Wang Method of forming polycrystalline cosi2 salicide and products obtained thereof
US6465309B1 (en) * 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
US6468900B1 (en) * 2000-12-06 2002-10-22 Advanced Micro Devices, Inc. Dual layer nickel deposition using a cobalt barrier to reduce surface roughness at silicide/junction interface
US6689676B1 (en) * 2002-07-26 2004-02-10 Motorola, Inc. Method for forming a semiconductor device structure in a semiconductor layer
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US20060128125A1 (en) * 2001-07-31 2006-06-15 Agency For Science, Technology And Research Gate Electrodes and the Formation Thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6204103B1 (en) 1998-09-18 2001-03-20 Intel Corporation Process to make complementary silicide metal gates for CMOS technology
US6190952B1 (en) 1999-03-03 2001-02-20 Advanced Micro Devices, Inc. Multiple semiconductor-on-insulator threshold voltage circuit
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6238982B1 (en) 1999-04-13 2001-05-29 Advanced Micro Devices Multiple threshold voltage semiconductor device fabrication technology
JP2001196461A (en) 2000-01-11 2001-07-19 Sony Corp Semiconductor device and its manufacturing method

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US20020045344A1 (en) * 1996-06-04 2002-04-18 Quingfeng Wang Method of forming polycrystalline cosi2 salicide and products obtained thereof
US6117712A (en) * 1998-03-13 2000-09-12 Texas Instruments - Acer Incorporated Method of forming ultra-short channel and elevated S/D MOSFETS with a metal gate on SOI substrate
US6262456B1 (en) * 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US6281559B1 (en) * 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6251777B1 (en) * 1999-03-05 2001-06-26 Taiwan Semiconductor Manufacturing Company Thermal annealing method for forming metal silicide layer
US6281117B1 (en) * 1999-10-25 2001-08-28 Chartered Semiconductor Manufacturing Ltd. Method to form uniform silicide features
US6468900B1 (en) * 2000-12-06 2002-10-22 Advanced Micro Devices, Inc. Dual layer nickel deposition using a cobalt barrier to reduce surface roughness at silicide/junction interface
US6465309B1 (en) * 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
US20060128125A1 (en) * 2001-07-31 2006-06-15 Agency For Science, Technology And Research Gate Electrodes and the Formation Thereof
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6689676B1 (en) * 2002-07-26 2004-02-10 Motorola, Inc. Method for forming a semiconductor device structure in a semiconductor layer

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US7611943B2 (en) 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US8383483B2 (en) 2005-12-30 2013-02-26 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating same
US20100041221A1 (en) * 2005-12-30 2010-02-18 International Business Machines Coporation High performance cmos circuits, and methods for fabricating same
US20070278590A1 (en) * 2006-01-10 2007-12-06 International Business Machines Corporation Cmos with dual metal gate
US7504696B2 (en) 2006-01-10 2009-03-17 International Business Machines Corporation CMOS with dual metal gate
US20070254478A1 (en) * 2006-04-27 2007-11-01 International Business Machines Corporation Silicide gate field effect transistors and methods for fabrication thereof
US7666790B2 (en) 2006-04-27 2010-02-23 International Business Machines Corporation Silicide gate field effect transistors and methods for fabrication thereof
US7655127B2 (en) 2006-11-27 2010-02-02 3M Innovative Properties Company Method of fabricating thin film transistor
US20080121877A1 (en) * 2006-11-27 2008-05-29 3M Innovative Properties Company Thin film transistor with enhanced stability
US20080121528A1 (en) * 2006-11-27 2008-05-29 3M Innovative Properties Company Method of fabricating thin film transistor
US7759202B2 (en) * 2007-05-02 2010-07-20 United Microelectronics Corp. Method for forming semiconductor device with gates of different materials
US20080318371A1 (en) * 2007-05-02 2008-12-25 Chien-Ting Lin Semiconductor device and method of forming the same
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
US20090096034A1 (en) * 2007-10-16 2009-04-16 International Business Machines Corporation Partially and Fully Silicided Gate Stacks
US7785952B2 (en) * 2007-10-16 2010-08-31 International Business Machines Corporation Partially and fully silicided gate stacks
US20100224940A1 (en) * 2007-10-16 2010-09-09 International Business Machines Corporation Partially and Fully Silicided Gate Stacks
US7960795B2 (en) 2007-10-16 2011-06-14 International Business Machines Corporation Partially and fully silicided gate stacks
US20090134469A1 (en) * 2007-11-28 2009-05-28 Interuniversitair Microelektronica Centrum (Imec) Vzw Method of manufacturing a semiconductor device with dual fully silicided gate
US20100187610A1 (en) * 2009-01-26 2010-07-29 International Business Machines Corporation Semiconductor device having dual metal gates and method of manufacture
US7838908B2 (en) * 2009-01-26 2010-11-23 International Business Machines Corporation Semiconductor device having dual metal gates and method of manufacture

Also Published As

Publication number Publication date
CN1503350A (en) 2004-06-09
TW200425409A (en) 2004-11-16
TWI307938B (en) 2009-03-21
US20040094804A1 (en) 2004-05-20
KR100625057B1 (en) 2006-09-20
KR20040044343A (en) 2004-05-28
US6846734B2 (en) 2005-01-25
CN1294648C (en) 2007-01-10

Similar Documents

Publication Publication Date Title
US6846734B2 (en) Method and process to make multiple-threshold metal gates CMOS technology
US7704844B2 (en) High performance MOSFET
EP2641271B1 (en) STRUCTURE AND METHOD FOR Vt TUNING AND SHORT CHANNEL CONTROL WITH HIGH K/METAL GATE MOSFETs
US7361958B2 (en) Nonplanar transistors with metal gate electrodes
US7151023B1 (en) Metal gate MOSFET by full semiconductor metal alloy conversion
US8273626B2 (en) Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7619300B2 (en) Super hybrid SOI CMOS devices
US10446435B2 (en) Local trap-rich isolation
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US6544874B2 (en) Method for forming junction on insulator (JOI) structure
US10930567B2 (en) Maskless epitaxial growth of phosphorus-doped Si and boron-doped SiGe (Ge) for advanced source/drain contact
US7078773B2 (en) Nitride-encapsulated FET (NNCFET)

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910