US20050092249A1 - Atomic layer deposition reactor - Google Patents

Atomic layer deposition reactor Download PDF

Info

Publication number
US20050092249A1
US20050092249A1 US10/991,556 US99155604A US2005092249A1 US 20050092249 A1 US20050092249 A1 US 20050092249A1 US 99155604 A US99155604 A US 99155604A US 2005092249 A1 US2005092249 A1 US 2005092249A1
Authority
US
United States
Prior art keywords
reactor
precursor
substrate
reaction chamber
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/991,556
Inventor
Olli Kilpela
Ville Saanila
Wei-Min Li
Kai-Erik Elers
Juhana Kostamo
Ivo Raaijmakers
Ernst Granneman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/991,556 priority Critical patent/US20050092249A1/en
Publication of US20050092249A1 publication Critical patent/US20050092249A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes

Definitions

  • the present invention relates to an apparatus for growing thin films on a surface of a substrate. More particularly, the present invention relates to an apparatus for producing thin films on the surface of a substrate by subjecting the substrate to alternately repeated surface reactions of vapor-phase reactants.
  • ALD Atomic Layer Deposition
  • ALD is a deposition method that is based on the sequential introduction of precursor species (e.g., a first precursor and a second precursor) to a substrate, which is located within a reaction chamber.
  • precursor species e.g., a first precursor and a second precursor
  • the growth mechanism relies on the adsorption of the first precursor on the active sites of the substrate. Conditions are such that no more than a monolayer forms so that the process is self-terminating or saturative.
  • the first precursor can include ligands that remain on the adsorbed species, which prevents further adsorption. Accordingly, temperatures are kept above the precursor condensation temperatures and below the precursor thermal decomposition temperatures. This initial step of adsorption is typically followed by a first purging stage wherein the excess first precursor and possible reaction byproducts are removed from the reaction chamber.
  • the second precursor is then introduced into the reaction chamber.
  • the first and second precursor typically react with each other.
  • the adsorbed monolayer of the first precursor reacts instantly with the introduced second precursor thereby producing the desired thin film.
  • This reaction terminates once the adsorbed first precursor has been consumed.
  • the excess of second precursor and possible reaction byproducts are then removed by a second purge stage.
  • the cycle can be repeated so as to grow the film to a desired thickness. Cycles can also be more complex.
  • the cycles can include three or more reactant pulses separated by purge and/or evacuation steps.
  • ALD is described in Finnish patent publications 52,359 and 57,975 and in U.S. Pat. Nos. 4,058,430 and 4,389,973. Apparatuses suited to implement these methods are disclosed in U.S. Pat. No. 5,855,680, Finnish Patent No. 100,409, Material Science Report 4(7) (1989), p. 261, and Tyhjiötekniikka (Finnish publication for vacuum techniques), ISBN 951-794-422-5, pp. 253-261, which are incorporated herein by reference.
  • the reactor chamber design should not play any role in the composition, uniformity or properties of the film grown on the substrate because the reaction is surface specific. However, only a few precursors exhibit such ideal or near ideal behavior. Factors that may hinder this idealized growth mode can include: time-dependent adsorption-desorption phenomena; blocking of the primary reaction by by-products of the primary reaction (e.g., as the by-products are moved in the direction of the flow, reduced growth rate down-stream and subsequent non-uniformity may result, e.g., in TiCl 4 +NH 3 ⁇ TiN process); total consumption (i.e., destruction) of the second precursor in the upstream-part of the reactor chamber (e.g., decomposition of the ozone in the hot zone); and uneven adsorption/desorption of the first precursor caused by uneven flow conditions in the reaction chamber.
  • Plasma ALD is a type of ALD that is a potentially attractive way to deposit conducting, semiconducting or insulating films.
  • the ALD reaction is facilitated by creating radicals.
  • a direct capacitive plasma is ignited above the substrate (i.e., in-situ radical generation).
  • this method can result in sputtering by the plasma, which may contaminate the film as sputtered materials from parts in the reaction chamber contact the substrate.
  • Yet another disadvantage is that, when depositing conducting materials, arcing in the chamber can occur because the insulators used to isolate the RF from ground can also become coated with the deposited conducting material.
  • Another prior art plasma ALD method involves creating a plasma by igniting a microwave discharge remotely (see U.S. Pat. No. 5,916,365). This has the disadvantage of requiring a large distance between the substrate and the radical source, which can lead to recombination of radicals before they reach the substrate. Additionally, in this method, the distribution of radicals is typically non-uniform and the gas flow pattern in the reactor can be ill-defined.
  • one aspect of the present invention provides a reactor that is configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants.
  • the reactor includes a reaction chamber that defines a reaction space.
  • a showerhead plate is disposed within the reaction space and divides the reaction space into a first part and a second part.
  • the showerhead plate defines at least in part plurality passages that extend from the second part to the first part of the reaction chamber.
  • the reactor further includes a first precursor source that is in communication with the first part of the reaction space and a second precursor source that is in communication with the second part of the reaction space.
  • the substrate is positioned within the first part of the reaction space.
  • the showerhead plate is a single integrally formed plate.
  • the reaction chamber comprises a first section and a second section that are secured to each other through mechanical forces and the showerhead plate is supported between the first and second sections of the reaction chamber by the mechanical forces.
  • the showerhead plate is configured to adjust in a horizontal direction the surface reactions on the substrate.
  • the showerhead plate can have a variable thickness.
  • the showerhead includes a shutter plate configured to be moveable with respect to the second plate, wherein the overlap between openings in each of the plates can be changed to tailor gas flow across the substrate.
  • the reactor includes a reaction chamber that defines a reaction space.
  • the reactor further includes a first precursor source that is in communication with the reaction space.
  • a substrate is positioned within the reaction space.
  • the reactor further includes an inductively coupled plasma generating power apparatus that is positioned in the reaction chamber and is arranged to generate a plasma directly above the substrate.
  • Yet another aspect of the present invention provides a reactor that is configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants.
  • the reactor includes reaction chamber that defines a reaction space.
  • a substrate is positioned within the reaction chamber.
  • a plasma generating apparatus has an upper surface and a lower surface.
  • the plasma generating apparatus is positioned in the reaction chamber such that a plasma is generated between the upper surface of the plasma generating apparatus and an upper wall of reaction chamber.
  • a first precursor source is in communication with the reaction space through an inlet.
  • a flow guide is configured to direct the first precursor over the upper surface of the plasma generating apparatus, along a side of the plasma generating apparatus and to a space between the lower surface of the plasma generating apparatus and the substrate.
  • the first precursor flows substantially in a first direction, which is substantially parallel to the substrate.
  • the reactor includes a reaction chamber that defines a reaction space, the reaction space comprising a first section and a second section that are secured to each other through mechanical forces.
  • a showerhead plate is disposed within the reaction space and divides the reaction space into a first part in which the substrate is positioned and a second part.
  • the showerhead plate defines at least in a part plurality passages that extend from the second part to the first part of the reaction chamber.
  • the showerhead plate is supported between a groove formed, at least in part, by the first and second sections of the reaction chamber.
  • a first precursor source is in communication with the first part of the reaction space.
  • a second precursor source is in communication with the second part of the reaction space.
  • Still another aspect of the present invention provides a method for atomic layer deposition including a plurality of cycles.
  • Each cycle comprises supplying a first precursor to a reaction space in which a substrate is disposed, allowing the first precursor to be adsorbed onto a surface of the substrate so as to form a layer of adsorbed species, removing the first precursor from the reaction space, supplying a second precursor, passing, substantially intact, the second precursor through a showerhead plate extending over the substrate, the second precursor reacting with the adsorbed species, and removing the second precursor from the reaction space.
  • FIG. 1 is a schematic cross-sectional side view of an exemplary prior art ALD reactor.
  • FIG. 2 is a schematic cross-sectional side view of one embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 3A is a schematic cross-sectional side view of one embodiment of a showerhead plate having certain features and advantages according to the present invention.
  • FIG. 3B is a schematic cross-sectional side view of another embodiment of plate having certain features and advantages according to the present invention.
  • FIGS. 4 A-B are cross-sectional side views of another embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • a shutter plate is shown in an open position while in FIG. 4B the shutter plate is shown in a closed position.
  • FIGS. 5A is a top plan view of one embodiment of a showerhead plate having certain features and advantages according to the present invention.
  • FIG. 5B is a top plan view of one embodiment of a shutter plate having certain features and advantages according to the present invention.
  • FIG. 6A -F are top plan views of various positions of the showerhead plate and shutter plates of FIGS. 5A and 5B .
  • FIG. 7A is a cross-sectional side view of another embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 7B is a cross-sectional side view of yet another embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 7C is a cross-sectional side view of still another embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 8 is a cross-sectional side view of a plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • FIG. 9 is a cross-sectional side view of modified plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • FIG. 10 is a cross-sectional side view of another modified plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • FIG. 11 is a cross-sectional side view of yet another modified plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • FIG. 1 schematically illustrates an exemplary prior art ALD reactor 10 .
  • the reactor 10 includes a reactor chamber 12 , which defines, at least in part, a reaction space 14 .
  • a wafer or substrate 16 is disposed within the reaction chamber 14 and is supported by a pedestal 18 .
  • the pedestal 18 is configured to move the wafer 16 in and out of the reaction chamber 14 .
  • the reactor can include an inlet/outlet port and an external robot with a robotic arm.
  • the robot arm can be configured to (i) move the substrate into the reactor through the inlet/outlet port, (ii) place the substrate on the pedestal, (iii) lift the substrate from the pedestal and/or (iv) remove the substrate from the reactor through the inlet/outlet port.
  • the first precursor A is supplied to the reaction chamber 14 through a first supply conduit 20 .
  • the second precursor B is supplied to the reaction space 14 through a second supply conduit 22 .
  • the first supply conduit 20 is in communication with a first precursor supply source (not shown) and a purging gas supply source (not shown).
  • the second supply conduit 22 is in communication with a second precursor supply source (not shown) and a purging gas supply source (not shown).
  • the purging gas preferably is an inert gas and may be, by way of two examples, nitrogen or argon.
  • the purging gas is preferably also used to transport the first and/or second precursor from the supply sources to the reaction chamber 12 .
  • the purging gas may also be used to purge the reaction chamber and/or the supply conduits 20 , 22 when the first or second precursor is not being supplied as will be explained in more detail below.
  • the reactor can include an independent, separate purge gas supply conduit for supplying the purge gas to the reaction chamber 12 .
  • An exhaust passage 23 is provided for removing gases from the reaction space 14 .
  • a divider plate 24 typically is disposed within the reaction chamber 12 .
  • the divider plate 24 has a first side 26 and a second side 28 .
  • the divider plate 24 is generally disposed between the outlets of the first and second supply conduits 20 , 22 . That is, the first side 26 is generally exposed to the outlet of the first precursor supply conduit 20 while the second side 28 is generally exposed to the outlet of the second precursor supply conduit 22 .
  • the divider plate 24 provides for a uniform introduction of the first and second precursors into the reactor chamber, 12 without depleting them in reactions on the surfaces of the supply conduits 20 , 22 .
  • the divider plate 24 allows the reaction space 14 to be the only commons space that is alternately exposed to the first and second precursors, such that they only react on the substrate 16 in the desired manner. Because the first and second precursors can be adsorbed by the walls of the first and second supply conduit, letting the first and second supply conduit to join together into a single supply conduit upstream of the reaction space can cause continuing reactions and depositions on the walls of the supply conduits, which is generally undesirable.
  • the illustrated reactor 10 can be used for various IC wafers processing applications. These applications include (but are not limited to): barriers and metals for back-end processes; high- and low-dielectric materials used for gates, stacks, capacitors and thin oxides or inter-layers, respectively.
  • the first precursor A is supplied to the reaction chamber 12 .
  • the first precursor supply source is opened such that the first precursor A can flow through the first supply conduit 20 into the reaction chamber 12 while the second supply source is kept closed.
  • the second precursor flow can be closed using, for example, a pulsing valve or by an arrangement of inert gas valving, such as, the arrangement described at page 8 of International Publication No. WO 02/08488, published Jan. 21, 2002, which is hereby incorporated in its entirety by reference herein.
  • the purging gas preferably flows through both the first and second supply conduits 20 , 22 .
  • the first precursor A is adsorbed on the active sites of the substrate 16 to form an adsorbed monolayer.
  • the excess first precursor A and any by-product is removed from the reactor 10 . This is accomplished by shutting off the first precursor flow while continuing the flow of purge gas through the first and second supply conduits 20 , 22 .
  • purge gas can be supplied through a third supply conduit that is independently connected to the reaction 10 .
  • the second precursor B is supplied to the reaction chamber 12 . Specifically, while the first precursor supply source remains closed, the second precursor supply source is opened. Purging gas is preferably still supplied through both the first and second conduits 20 , 22 .
  • the first and second precursors are highly reactive with each other.
  • the adsorbed monolayer of the first precursor A reacts instantly with the second precursor B that has been introduced into the reaction chamber 12 .
  • the reaction terminates once the entire amount of the adsorbed first precursor has been consumed.
  • the excess second precursor and any by-product is removed from the reaction chamber 12 . This is accomplished by shutting off the second precursor while the purging flow to both the second and first supply conduits 20 , 22 remains on.
  • the cycle described above can be repeated as necessary to grow the film to a desired thickness.
  • purge phases can be replaced with pump down phases.
  • the configuration of the reaction chamber 12 should not affect the composition, uniformity or properties of the film grown on the substrate 16 because the reaction is self-limiting.
  • Factors that may hinder this idealized growth mode can include: time-dependent adsorption-desorption phenomena; blocking of the primary reaction by the by-products of the primary reaction (e.g., as the by-products are moved in the direction of the flow, reduced growth rate downstream and subsequent non-uniformity may result, e.g., in TiCl 4 +NH 3 ⁇ TiN process); total consumption (i.e., destruction) of the second precursor in the upstream portion of the reactor chamber (e.g., decomposition of ozone in the hot zone); and uneven adsorption/desorption of the first precursor caused by uneven flow conditions in the reaction chamber.
  • FIG. 2 illustrates one embodiment of an ALD reactor 50 having certain features and advantages according to the present invention.
  • the reactor 50 is arranged to alleviate the observed non-idealities described above.
  • the illustrated embodiment includes a reaction chamber 52 , which defines a reaction space 54 .
  • a wafer or substrate 56 is disposed within the reaction chamber 52 and is supported by a pedestal 58 , which preferably is configured to move the substrate 56 in and out of the reaction chamber 52 .
  • the reactor 50 can include an inlet/outlet port and a external robot (not shown) with a robot arm.
  • the robot arm can be configured to (i) move the substrate into the reactor through the inlet/outlet port, (ii) place the substrate on the pedestal, (iii) lift the substrate from the pedestal and/or (iv) remove the substrate from the reactor through the inlet/outlet port.
  • two precursors A, B are supplied to the reaction chamber 52 .
  • the first precursor A is supplied to the reaction chamber 52 through a first precursor conduit 60 .
  • the second precursor B is supplied to the reaction chamber 52 through a second precursor supply conduit 62 .
  • Each supply conduit is connected to a precursor supply source (not shown) and preferably a purge gas source (not shown).
  • the purge gas is an inert gas and can be, by way of example, nitrogen or argon.
  • the purge gas can also be used to transport the first and/or second precursors.
  • the reactor 50 also includes an exhaust 66 for removing material from the reactor chamber 52 .
  • a showerhead plate 67 is positioned within the reaction chamber 52 .
  • the showerhead plate 67 is a single integral element.
  • the showerhead plate 67 preferably spans across the entire reaction space 54 and divides the reaction space 54 into an upper chamber 68 and a lower chamber 70 .
  • the showerhead plate 67 can divide only a portion of the reaction space 54 into upper and lower chambers 68 , 70 .
  • such a portion lies generally above the substrate 56 and extends towards a space between the outlets of the first and second conduits 60 , 62 .
  • the showerhead plate 67 defines, at least in part, a plurality of passages 72 that connect the upper chamber 68 to the lower chamber 70 .
  • such passages 72 are formed by providing small holes in the showerhead plate 67 that are located generally above the substrate 56 . In this manner, the showerhead plate 67 substantially prevents the second precursor B from entering the lower chamber 70 until the flow from the second conduit 62 is generally above the substrate 56 .
  • showerhead plate 67 is preferably made from a single element that spans across the entire reaction space 54 .
  • the showerhead plate 67 can be supported by providing a tightly fitting machined space between upper and lower parts of the reaction chamber 52 .
  • the showerhead plate 67 can thus be kept in place by the positive mechanical forces inflicted on it by the opposing sides of the upper and lower parts. That is, the showerhead plate 67 is clamped between the relatively moveable upper and lower parts of the reaction chamber 52 and additional fixtures are not required to secure the showerhead plate in place.
  • the showerhead plate 67 can be made from a plurality of pieces and/or be supported in other manners, such as, for example, by supports positioned within the reaction chamber 52 .
  • the passages 72 are configured to provide for a uniform distribution of the second precursor B onto the substrate 56 .
  • the passages 72 are uniformly distributed over the substrate 56 .
  • the pattern, size, shape and distribution of the passages 72 can be modified so as to achieve maximum uniformity of the second precursor B at the substrate surface.
  • the pattern, size, shape and distribution can be arranged so as to achieve a non-uniform concentration of the second precursor B at the substrate, if so required or desired.
  • the single element showerhead plate 67 describe above is particularly useful because the showerhead plate 67 can be easily replaced and exchanged.
  • the showerhead plate 67 can be removed by separating the upper and lower portions of the reaction chamber 52 , as is conducted during normal loading and unloading procedures in operation. Therefore, if desired or required, a showerhead plate 67 with a different pattern, distribution and/or size of passages can be easily replaced. Routine experiments may, therefore, be easily performed to determine the optimum pattern, distribution and/or size of the passageway. Moreover, such showerhead plates can be relatively easy and cost effective to manufacture.
  • the showerhead plate can be used to modify the flow patterns in the reaction chamber 52 .
  • FIG. 3A An example of such an embodiment is illustrated in FIG. 3A .
  • the showerhead plate 67 has a variable thickness t. That is, the thickness t of the showerhead plate 67 increases in the downstream direction. As such, the flow space s between the substrate 56 and the showerhead plate 67 decreases in the downstream direction. As the flow space s changes, the governing flow conditions at the substrate 56 also change the growth rate at various positions across the substrate 56 . Such arrangements and/or modifications thereof, are thus capable of also reducing any non-uniformities of the growth rate at the substrate surface. For example, non-uniformities introduced by horizontal flow of the first precursor can be compensated in this manner.
  • the showerhead plate can be arranged such that the distance between the showerhead plate and the substrate vary in a different manner than the embodiment shown in FIG. 3A .
  • the flow space s can increase in the downstream direction.
  • this flow space s can vary across the reaction chamber (e.g., the distance between the substrate 56 and the showerhead plate 67 can be greater near the side walls of the reaction chamber 52 .).
  • the distance between the showerhead plate and the substrate can increase and then decrease or vice versa.
  • the distance from between the showerhead plate and the top of the reaction chamber can be varied in addition to or alternatively to the variations described above.
  • an ALD reactor 100 includes a shutter plate 102 , which is arranged to control the flow through the passages 72 of the showerhead plate 67 .
  • FIG. 4A illustrates an example of such an embodiment wherein like numbers are used to refer to parts similar to those of FIG. 2 .
  • the shutter plate 102 is disposed adjacent and on the top of the showerhead plate 67 .
  • at least the opposing faces of the shutter plate 102 and the showerhead plate 67 are highly planar and polished.
  • the shutter plate 102 has plurality passages 104 , which preferably are situated in the same or similar pattern as the corresponding passages 72 in the showerhead plate 67 .
  • the shutter plate 102 can be placed below the showerhead plate 67 .
  • the shutter plate 102 is mechanically coupled to an actuator element 106 such that it can move relative to the showerhead plate 67 , preferably in an x-y plane.
  • the actuator 106 is configured to move the shutter plate 102 in the x-direction.
  • the actuator 106 can be in many forms, such as, for example, piezoelectric, magnetic, and/or electrical.
  • the shutter plate 102 can be used to block or open the passages 72 , 104 in both the shutter plate 102 and showerhead plate 67 depending on the position of the shutter plate 102 with respect to the showerhead plate 67 .
  • one or more by-pass passages 110 are provided at the downstream end of the shutter plate 102 and the showerhead plate 67 such that when the shutter plate 102 is in a closed position ( FIG. 4B ) gases in the upper part 68 of the reaction chamber can escape to through the exhaust 66 .
  • the by-pass passage 102 is preferably closed when the shutter plate 102 is in the open position, as shown in FIG. 4A .
  • FIGS. 5A and 5B illustrate one embodiment of a shutter plate 120 ( FIG. 5B ) and a showerhead plate 122 ( FIG. 5A ) having certain features and advantages according to the present invention.
  • passages 124 , 126 of the shutter plate 120 and the showerhead plate 122 are geometrically off-set from each other so as to vary the distribution of gas onto the substrate.
  • the feed rates of the second precursor can progressively and spatially (in an xy-plane) be varied with respect to the substrate.
  • the feed rate can vary from 0-100% at the front part (upstream) of showerhead plate 122 (i.e., the x-direction or flow direction) to 100%-0 at the back part (downstream).
  • a similar type of control is also possible in the side direction (i.e., the y-direction or crosswise flow direction) with refined geometrical designs.
  • the precise details of the geometrical shapes of the holes in the shutter plate and showerhead plate can varied, and that the principle can be readily extended to more or less than four passages per plate.
  • FIGS. 6 A-F illustrate the various configurations that can be achieved using the off-setting passages of the plates illustrated in FIGS. 5 A-B.
  • the shutter plate 120 is arranged such that the passages 124 are open 100%.
  • the passages 124 at the front of the plate 120 are open 100% and passages 124 at the back end of the plate 120 are only 50% open.
  • the passages 124 at the front of the plate 120 are 50% open while the passages 124 at the back end of the plate 120 are 100% open.
  • FIG. 6D the passages 124 at the left-hand side of the plate 120 are 50% open while the passages 124 at the right hand side of the plate 120 are 100% open.
  • FIG. 6A the shutter plate 120 is arranged such that the passages 124 are open 100%.
  • the passages 124 at the front of the plate 120 are open 100% and passages 124 at the back end of the plate 120 are only 50% open.
  • the passages 124 at the front of the plate 120 are 50% open while the passages 124 at the back
  • the front left passage 124 is 50% open, the front right passage 124 is 25% open, the rear left passage 124 is 100% open and the rear right passage 124 is 50% open.
  • FIG. 6F the front left passage 124 is 50% open, the front right passage 124 is 100% open, the rear left passage 124 is 25% open and the rear right passage 124 is 50% open.
  • the flow within the reactor 100 can be tailored to compensate for non-uniformities in the reaction process.
  • the position of the shutter plate 120 several different flow patterns can be achieved to compensate for the non-uniformities in the reaction process.
  • the shutter plate can be arranged so as to move in a vertical (i.e., z-direction).
  • the shutter plate need not have apertures and the plate can be used to alternately open and close the passages in the showerhead plate.
  • shutter plate arrangements described above can be used in combination or sub-combination with the embodiments discussed above with reference to FIGS. 2A-3B and the embodiments described below.
  • FIG. 7A illustrates another embodiment of an ALD reactor 150 having certain features and advantages according to the present invention.
  • the reaction chamber 52 defines a separate plasma cavity 152 for creating in-situ radicals or excited species.
  • in-situ radicals or excited species can be used to facilitate reactions on the surface of the substrate.
  • a plasma can be created within the plasma cavity 152 in a variety of ways, such as, for example, using a capacitor electrode positioned inside or outside the plasma cavity (i.e., a capacitively coupled plasma), a RF coil (i.e., a inductively coupled plasma), light, microwave, ionizing radiation, heat (e.g., heated tungsten filament can be used to form hydrogen radicals from hydrogen molecules), and/or chemical reactions to generate the plasma.
  • a capacitor electrode positioned inside or outside the plasma cavity
  • a RF coil i.e., a inductively coupled plasma
  • light microwave, ionizing radiation
  • heat e.g., heated tungsten filament can be used to form hydrogen radicals from hydrogen molecules
  • chemical reactions to generate the plasma.
  • the capacitor electrode 153 is connected to an RF power source 155 and is positioned outside the reaction chamber 52 and the plasma cavity 152 .
  • the showerhead plate 67 is positioned between the plasma cavity 152 and the substrate 56 and, in the illustrated embodiment, is also used as the other electrode for capacitive coupling.
  • This embodiment has several advantages. For example, even if the radicals are very short-lived, the path to the growth surface (i.e., on the substrate 56 ) is short enough to guarantee their contribution to the growth reaction. Also the plasma chamber 152 can be made large enough to provide necessary space for plasma ignition and also to separate the plasma from the growth surface, thus protecting it from the damaging effects of the energetic particles and charges in the plasma.
  • An example of another advantage is that the plasma cavity 152 is exposed only to one type of precursor and, therefore, a thin film does not grow on the inner surfaces of the plasma cavity 152 . Thus, the plasma cavity 152 stays clean for a longer time.
  • the first precursor A which is adsorbed onto the surface of the substrate 56 , is not directly reactive with the second precursor B. Instead, the first precursor A is reactive with the excited species of the second precursor B, which are generated in the plasma cavity 152 (e.g., N 2 , which can be non-reactive with an adsorbed species while N radicals are reactive with the adsorbed species).
  • the first precursor A is reactive with a recombination radical, which may be generated in the plasma cavity 152 or downstream of the plasma cavity 152 .
  • the flow of the second precursor B through the second supply conduit 62 can be kept constant while the creation of plasma in the plasma cavity is cycled on and off.
  • the method of cycling the plasma cavity on and off can also be used with a modified reactor that utilizes a remote plasma cavity.
  • the reactor 150 described above can be operated in a manner in which the flow of the second precursor is cycled on and off (or below an effective level) while the power for the plasma generation is kept on.
  • FIG. 7B illustrates a modified embodiment of a reactor 160 that also utilizes a plasma cavity 162 .
  • the reactor 160 includes a reaction chamber 163 , which defines a reaction space 164 .
  • a substrate 166 is positioned within the reaction space 164 and is supported by a susceptor 170 , which can be heated.
  • a first precursor is introduced into the reaction space via a first supply conduit 172 .
  • the first supply conduit 172 and the reaction chamber 163 are arranged such that the flow of the first precursor within the reaction chamber is generally parallel to a reaction surface of the substrate 166 .
  • An exhaust 174 and a pump are preferably provided for aiding removal of material from the reaction chamber 163 .
  • the reactor 160 also includes a plasma chamber 175 , which, in the illustrated embodiment, is located generally above the reaction space 164 .
  • the plasma chamber 175 defines the plasma cavity 162 in which the in-situ excited species or radicals are generated.
  • a second precursor is introduced into the plasma cavity 162 via a second supply conduit 176 . Radicals or other excited species flow from the plasma that is generated in the plasma chamber 175 .
  • the illustrated embodiment utilizes an RF coil 177 and RF shield 179 , which are separated from the plasma cavity 162 by a window 178 made of, for example, quartz.
  • the plasma is advantageously generated using a planar induction coil.
  • An example of such a planer induction coil is described in the Journal of Applied Physics, Volume 88, Number 7, 3889 (2000) and the Journal of Vacuum Science Technology, A 19(3), 718 (2001), which are hereby incorporated by reference herein.
  • the plasma cavity 162 and the reaction space 164 are separated by a radical or showerhead plate 180 .
  • the showerhead plate 180 preferably defines, at least in part, plurality passages 182 through which radicals formed in the plasma cavity can flow from into the reaction space 164 .
  • the flow through the passages 182 is generally directed towards the reaction surface of the substrate 166 .
  • the space between the showerhead plate 180 and the substrate 166 can be as small as a few millimeters. Such an arrangement provides ample radical concentration at the wafer surface, even for short lived radicals.
  • purge gases can be continuously supplied to the plasma cavity through a purge inlet 184 .
  • the plasma chamber 175 can operate at a substantially constant pressure regime.
  • the showerhead plate 180 and surrounding components adjacent to the reaction chamber 163 may be heated, either as a result of the plasma on one side on the showerhead plate 180 and/or a heated susceptor 170 on the other side, or by separately heating the showerhead plate 180 .
  • the RF power can be used to alternately switch the radical concentration in the flow.
  • precursors supply to the plasma cavity can be alternately switched.
  • Continuous flow of gases, i.e., radicals alternated with inert gas is preferred because it prevents the first precursor in the reaction space 164 below from contaminating the plasma cavity 162 . This facilitates the deposition of conducting compounds without arcing.
  • FIG. 7C illustrates another modified embodiment of a ALD reactor 200 that also utilizes a plasma cavity.
  • Like numbers e.g., 162 , 163 , 166 , 170 , 174 , 176 , 184 , etc.
  • the plasma in the plasma cavity 162 is capacitively coupled.
  • the illustrated embodiment includes a capacitor electrode 202 , which is connected to an RF source (not shown) through an RF feed through 203 and is disposed in the plasma cavity 162 above the showerhead plate 180 .
  • This arrangement is similar to the arrangement shown in FIG. 7A , except that the electrode is positioned inside the reaction chamber 163 .
  • a CVD reactor e.g., a reactor that utilizes alternate deposition and densification to create thin films.
  • a known problem with CVD and/or pulsed plasma CVD of conducting films is arcing.
  • the introduction of the showerhead plate which separates the plasma generation space (i.e., the plasma cavity) from the CVD environment (i.e., the reaction space), reduces such arcing.
  • the separated plasma cavity remains immediately adjacent the reaction space, such that radical recombination is reduced due the reduced travel distance to the substrate.
  • the wafer preferably is negatively biased with respect to the plasma to create ion bombardment.
  • This embodiment may also be used to create new CVD reactions, which are temporarily enabled with radicals. Such reaction may take place in the gas phase. If the time of the RF pulse to generate radicals is short enough, such reactions will not result in large particles. Such a method may result in new film properties.
  • the shape and local current density of the coil, and the shape of the quartz window can be tailored to tune various aspects of the reaction process, such as, for example, uniformity, speed of deposition, and plasma ignition.
  • a magnetic field may be used to shape and confine the plasma to suppress wall erosion and promote film uniformity.
  • the size, shape, placement and orientation of the passages in the showerhead plate can also be tuned to optimize, for example, film properties, speed of deposition, and plasma ignition.
  • the distance between showerhead plate and substrate can be used to select which radicals will participate in the reaction. For example, if a larger distance is chosen, short-lived radicals will not survive the longer diffusion or flow path. Moreover, at higher pressures less radicals will survive the transit from showerhead plate to the substrate.
  • Certain aspects described above with respect to FIGS. 7 A-C can also be used to introduce radicals in the reaction chamber for wall cleaning and/or chamber conditioning, such as those originating from an NF 3 plasma.
  • the embodiments discussed above with reference to FIGS. 7 A-C have several advantages. For example, they provide for uniform concentration of radicals of even short-lived species over the entire substrate.
  • the shape and flow pattern in the reactor can be optimized independently from the RF source, giving great flexibility in designing the reactor for short pulse and purge times.
  • Plasma potentials are low, as a higher pressure can be used in the radical source than in the reaction chamber, and the plasma is inductively coupled. Therefore, sputtering of wall components is less of a concern.
  • Inductively coupled discharges are very efficient. The separation of plasma volume and reaction volume will not cause arcing problems when metals, metalloids, or other materials that are good electrical conductors, such as transition metal nitrides and carbides, are deposited.
  • These embodiments also can provide an easy method of chamber cleaning and/or conditioning.
  • FIG. 8 is another embodiment of a plasma-enhanced modified ALD reactor 250 .
  • the reactor 250 is preferably positioned within a sealed environment 252 and comprises an upper member 254 and a lower member 256 .
  • the members 254 , 256 are preferably made of an insulating material (e.g., ceramic).
  • the lower member 256 defines a recess 258 , which forms, in part, a reaction chamber 260 .
  • a precursor inlet 262 preferably extends through the upper and lower members 254 , 256 to place the reaction chamber 260 in communication with a precursor source (not shown).
  • a purge gas inlet 264 extends through the upper and lower members 254 , 256 to place a purge gas source in communication with the reaction chamber 260 .
  • An exhaust 266 is also provided for removing material from the reactor chamber 260 .
  • reactor 250 can include one or more additional precursor inlets 262 for supplying additional precursors to the reaction chamber 260 .
  • the purge gas may be supplied to the reaction chamber through one of the precursor inlets.
  • An RF coil 274 is preferably positioned within a quartz or ceramic enclosure 276 .
  • the RF enclosure 276 and coil 274 are positioned within a second recess 278 (within the first recess 258 ) formed in the lower member 256 .
  • the recess 278 is arranged such that the RF coil 274 is positioned generally above the substrate 268 .
  • the coil 274 is connected to an RF generator and matching network 280 such that an inductively coupled plasma 282 can be generated in the reaction chamber 260 above the substrate 268 .
  • the substrate may be floating or grounded as the plasma potential will adjust itself, if all the other reactor components are insulating, so that the electron and ion flux to the substrate 268 are equal.
  • the plasma is inductively coupled, the plasma potential is low, which reduces sputtering.
  • the plasma is located directly above the substrate 268 , a uniform concentration of even short-lived radicals or excited species can be achieved at the substrate surface.
  • FIG. 9 illustrates another embodiment of a plasma-enhanced ALD reactor 300 .
  • the reaction chamber 260 is defined by a recess 301 formed in a chamber wall 302 .
  • the substrate 268 is positioned in the reaction chamber 260 on the susceptor 270 , which is positioned within the susceptor lift mechanism 272 .
  • the susceptor lift mechanism 272 is configured to move the substrate 268 into and out of the reaction chamber 260 and to seal the reaction chamber 260 during processing.
  • a precursor inlet 304 is provided for connecting the reaction chamber 260 to precursor source (not shown). Although, not illustrated, it should be appreciated that the reactor 300 can include a separate purge inlet and/or one or more precursor inlets for providing a purging gas or additional precursors to the reaction chamber 260 .
  • a gas outlet 306 is preferably also provided for removing material from the reaction chamber 260 .
  • the RF coil 274 and enclosure 276 are positioned in the reaction chamber 260 such that the precursor from the inlet 304 must flow over, around and under the RF coil 274 in order to flow over the substrate 268 .
  • a flow guide, 308 is positioned in the reactor chamber 260 to guide precursor around the RF coil in one direction.
  • the flow guide 308 forms a channel above the RF coil 274 to guide the precursor horizontally in one direction over the RF coil 274 .
  • the precursor then flows vertically along a portion of the RF coil 274 , at which point the flow is directed horizontally and expanded such that the precursor flows in one direction substantially horizontally over the substrate 268 . Downstream of the substrate 268 , the flow is guided in a vertical upward direction and then the flow is directed horizontally over the RF coil 274 to the outlet 306 .
  • the outlet 306 can be located below the RF coil 274 .
  • the flow path for the precursor is less restrictive. As such, it results in less recombination of excited species en rout to the substrate. Additionally, it is easier to purge the horizontal flow path for the precursor in between pulses.
  • a conducting plate 310 is positioned on the bottom of the RF enclosure 276 such that the plasma 282 is generated only above the RF coil 274 .
  • the space between the conducting plate 310 and the substrate 268 is preferably smaller than the dark space necessary for a plasma to exist under the prevailing conditions, the plasma is only generated in the larger space above the RF coil 274 .
  • the illustrated embodiment has several advantages. For example, because the plasma is not generated directly above the substrate, sputtering is less of a concern and thus this embodiment is particularly useful for processing substrates with sensitive devices (e.g., gate stacks) and/or front-end applications where plasma damage is particularly harmful.
  • sensitive devices e.g., gate stacks
  • a plasma 282 is also generated on the outlet side of the reactor.
  • the plasma 282 on the outlet side can be eliminated.
  • FIG. 10 illustrates another embodiment of a reactor that utilizes plasma.
  • This embodiment is similar to the embodiment of FIG. 9 .
  • like numbers will be used.
  • the plasma is capacitively coupled.
  • a capacitor plate 303 is positioned in the reaction chamber 260 .
  • the upper chamber walls 302 are grounded and conducting such that the plasma 282 is generated in the space above the capacitor plate 303 and the upper chamber- 302 .
  • the flow guide 308 guides precursor around the capacitor plate 303 to the space above the substrate 268 such that the precursor flows over the substrate in substantially horizontal direction.
  • FIG. 11 is a schematic illustration of yet another embodiment of a plasma-enhanced ALD reactor 320 .
  • the reactor 320 defines a reaction space 322 in which a substrate 324 in positioned on a susceptor 326 .
  • a load lock 328 is provided for moving the substrate 324 in and out of the reaction space 322 .
  • the reactor includes a first inlet 330 .
  • the first inlet 330 is in communication with a three-way valve 332 , which is, in turn, in communication with a first precursor source 334 and a purging gas source 336 .
  • the first precursor is preferably a metal precursor.
  • the reactor 320 also includes a second inlet 338 .
  • the second inlet 338 is formed between an upper wall 340 of the reactor 320 and an intermediate wall 342 .
  • the second inlet 338 is in communication with a second precursor source 344 , which is preferably a non-metal precursor.
  • the second inlet is also in communication with a purging gas source (not shown).
  • the second inlet 338 includes a pair of electrodes 346 for producing a plasma 348 in the second inlet 338 above the reaction space 322 .
  • the reactor also includes an exhaust line 347 for removing material from the reaction space 322 .
  • the first precursor is supplied to the reaction chamber 322 .
  • the three-way valve 332 is opened such that the first metallic precursor can flow from the first precursor source 334 into the reaction chamber 322 while the second supply source 344 is kept closed.
  • the first metallic precursor is adsorbed on the active sites of the substrate 324 to form an adsorbed monolayer.
  • the excess first precursor and any by-product is removed from the reactor 320 . This is accomplished by shutting off the first precursor flow while continuing the flow of purge gas through the three-way valve 332 .
  • the second precursor is supplied to the reaction chamber 322 .
  • the second precursor supply source 344 is opened and the electrodes 346 are activated to generate a plasma 348 in the second inlet 338 .
  • the reactants generated by the plasma 348 are highly reactive.
  • the adsorbed monolayer of the first precursor reacts instantly with the reactants of the second precursor that are introduced into the chamber 322 . This produces the desired thin film on the substrate 324 .
  • the reaction terminates once the entire amount of the adsorbed first precursor on the substrate has been reacted.
  • the excess second precursor and any by-product is removed from the reaction chamber 322 . This is accomplished by shutting off the second precursor while the purging flow from the purging source 336 is turned on.
  • the purging gas source (not shown) in communication with the second inlet 338 is turned on and the purging gas pushes any residual second precursor gas away from the space between the electrodes 346 towards the reaction chamber 322 until essentially all of the excess second precursor and any reaction by-product have left the reactor.
  • the cycle described above can be repeated as necessary to grow the film to a desired thickness.
  • purge phases can be replaced with evacuation phases.
  • the illustrated embodiment has several advantages. For example, because the electrodes 346 are positioned in the second inlet 338 , they are not exposed to the metal precursor. As such, the electrodes 346 do not become short-circuited, as may happen if an electrically conductive film is deposited on the electrodes 346 .

Abstract

Various reactors for growing thin films on a substrate by subjecting the substrate to alternately repeated surface reactions of vapor-phase reactants are disclosed. In one embodiment, the reactor comprises a reaction chamber. A showerhead plate divides the reaction chamber into upper and lower parts. A first precursor is directed towards the lower half of the reaction chamber and a second precursor is directed towards the upper half of the reaction chamber. The substrate is disposed within the lower half of the reaction chamber. The showerhead plate includes plurality passages such that the upper half is in communication with the lower half of the reaction chamber. In another arrangement, the upper half of the reaction chamber defines a plasma cavity in which in-situ radicals are formed. In yet another arrangement, the reaction chamber includes a shutter plate, which is configured to selectively open and close the passages in the showerhead plate. In other arrangements, the showerhead plate is arranged to modify the local flow patterns of the gases flowing through the reaction chamber.

Description

    RELATED APPLICATION
  • This application claims the priority benefit under 35 U.S.C. § 119(e) of U.S. Provisional Application No. 60/312,628 filed Aug. 15, 2001.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to an apparatus for growing thin films on a surface of a substrate. More particularly, the present invention relates to an apparatus for producing thin films on the surface of a substrate by subjecting the substrate to alternately repeated surface reactions of vapor-phase reactants.
  • 2. Description of the Related Art
  • There are several methods for growing thin films on the surface of substrates. These methods include vacuum evaporation deposition, Molecular Beam Epitaxy (MBE), different variants of Chemical Vapor Deposition (CVD) (including low-pressure and organometallic CVD and plasma-enhanced CVD), and Atomic Layer Epitaxy (ALE), which was studied extensively for semiconductor deposition and electroluminescent display applications but has been more recently referred to as Atomic Layer Deposition (ALD) for the deposition of a variety of materials.
  • ALD is a deposition method that is based on the sequential introduction of precursor species (e.g., a first precursor and a second precursor) to a substrate, which is located within a reaction chamber. The growth mechanism relies on the adsorption of the first precursor on the active sites of the substrate. Conditions are such that no more than a monolayer forms so that the process is self-terminating or saturative. For example, the first precursor can include ligands that remain on the adsorbed species, which prevents further adsorption. Accordingly, temperatures are kept above the precursor condensation temperatures and below the precursor thermal decomposition temperatures. This initial step of adsorption is typically followed by a first purging stage wherein the excess first precursor and possible reaction byproducts are removed from the reaction chamber. The second precursor is then introduced into the reaction chamber. The first and second precursor typically react with each other. As such, the adsorbed monolayer of the first precursor reacts instantly with the introduced second precursor thereby producing the desired thin film. This reaction terminates once the adsorbed first precursor has been consumed. The excess of second precursor and possible reaction byproducts are then removed by a second purge stage. The cycle can be repeated so as to grow the film to a desired thickness. Cycles can also be more complex. For example, the cycles can include three or more reactant pulses separated by purge and/or evacuation steps.
  • ALD is described in Finnish patent publications 52,359 and 57,975 and in U.S. Pat. Nos. 4,058,430 and 4,389,973. Apparatuses suited to implement these methods are disclosed in U.S. Pat. No. 5,855,680, Finnish Patent No. 100,409, Material Science Report 4(7) (1989), p. 261, and Tyhjiötekniikka (Finnish publication for vacuum techniques), ISBN 951-794-422-5, pp. 253-261, which are incorporated herein by reference.
  • Ideally, in ALD, the reactor chamber design should not play any role in the composition, uniformity or properties of the film grown on the substrate because the reaction is surface specific. However, only a few precursors exhibit such ideal or near ideal behavior. Factors that may hinder this idealized growth mode can include: time-dependent adsorption-desorption phenomena; blocking of the primary reaction by by-products of the primary reaction (e.g., as the by-products are moved in the direction of the flow, reduced growth rate down-stream and subsequent non-uniformity may result, e.g., in TiCl4+NH3→TiN process); total consumption (i.e., destruction) of the second precursor in the upstream-part of the reactor chamber (e.g., decomposition of the ozone in the hot zone); and uneven adsorption/desorption of the first precursor caused by uneven flow conditions in the reaction chamber.
  • Plasma ALD is a type of ALD that is a potentially attractive way to deposit conducting, semiconducting or insulating films. In this method, the ALD reaction is facilitated by creating radicals. In some prior art methods, a direct capacitive plasma is ignited above the substrate (i.e., in-situ radical generation). However, this method can result in sputtering by the plasma, which may contaminate the film as sputtered materials from parts in the reaction chamber contact the substrate. Yet another disadvantage is that, when depositing conducting materials, arcing in the chamber can occur because the insulators used to isolate the RF from ground can also become coated with the deposited conducting material.
  • Another prior art plasma ALD method involves creating a plasma by igniting a microwave discharge remotely (see U.S. Pat. No. 5,916,365). This has the disadvantage of requiring a large distance between the substrate and the radical source, which can lead to recombination of radicals before they reach the substrate. Additionally, in this method, the distribution of radicals is typically non-uniform and the gas flow pattern in the reactor can be ill-defined.
  • A need therefore exists for an improved ALD apparatus and/or method that addresses at least some of the problems described above.
  • SUMMARY OF THE INVENTION
  • Accordingly, one aspect of the present invention provides a reactor that is configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants. The reactor includes a reaction chamber that defines a reaction space. A showerhead plate is disposed within the reaction space and divides the reaction space into a first part and a second part. The showerhead plate defines at least in part plurality passages that extend from the second part to the first part of the reaction chamber. The reactor further includes a first precursor source that is in communication with the first part of the reaction space and a second precursor source that is in communication with the second part of the reaction space. The substrate is positioned within the first part of the reaction space.
  • In one arrangement, the showerhead plate is a single integrally formed plate. In another embodiment, the reaction chamber comprises a first section and a second section that are secured to each other through mechanical forces and the showerhead plate is supported between the first and second sections of the reaction chamber by the mechanical forces. In yet another embodiment, the showerhead plate is configured to adjust in a horizontal direction the surface reactions on the substrate. In another arrangement, the showerhead plate can have a variable thickness. In yet another arrangement, the showerhead includes a shutter plate configured to be moveable with respect to the second plate, wherein the overlap between openings in each of the plates can be changed to tailor gas flow across the substrate.
  • Another aspect of the present invention provides a reactor that is configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants. The reactor includes a reaction chamber that defines a reaction space. The reactor further includes a first precursor source that is in communication with the reaction space. A substrate is positioned within the reaction space. The reactor further includes an inductively coupled plasma generating power apparatus that is positioned in the reaction chamber and is arranged to generate a plasma directly above the substrate.
  • Yet another aspect of the present invention provides a reactor that is configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants. The reactor includes reaction chamber that defines a reaction space. A substrate is positioned within the reaction chamber. A plasma generating apparatus has an upper surface and a lower surface. The plasma generating apparatus is positioned in the reaction chamber such that a plasma is generated between the upper surface of the plasma generating apparatus and an upper wall of reaction chamber. A first precursor source is in communication with the reaction space through an inlet. A flow guide is configured to direct the first precursor over the upper surface of the plasma generating apparatus, along a side of the plasma generating apparatus and to a space between the lower surface of the plasma generating apparatus and the substrate. The first precursor flows substantially in a first direction, which is substantially parallel to the substrate.
  • Another aspect of the present invention is a reactor configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants. The reactor includes a reaction chamber that defines a reaction space, the reaction space comprising a first section and a second section that are secured to each other through mechanical forces. A showerhead plate is disposed within the reaction space and divides the reaction space into a first part in which the substrate is positioned and a second part. The showerhead plate defines at least in a part plurality passages that extend from the second part to the first part of the reaction chamber. The showerhead plate is supported between a groove formed, at least in part, by the first and second sections of the reaction chamber. A first precursor source is in communication with the first part of the reaction space. A second precursor source is in communication with the second part of the reaction space.
  • Still another aspect of the present invention provides a method for atomic layer deposition including a plurality of cycles. Each cycle comprises supplying a first precursor to a reaction space in which a substrate is disposed, allowing the first precursor to be adsorbed onto a surface of the substrate so as to form a layer of adsorbed species, removing the first precursor from the reaction space, supplying a second precursor, passing, substantially intact, the second precursor through a showerhead plate extending over the substrate, the second precursor reacting with the adsorbed species, and removing the second precursor from the reaction space.
  • Further aspects, features and advantages of the present invention will become apparent from the following description of the preferred embodiments.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above-mentioned and other features of the invention will now be described with reference to the drawings of preferred embodiments of a reactor for producing thin films on the surface of a substrate by subjecting the substrate to alternately repeated surface reactions of vapor-phase reactants. The illustrated embodiments of the reactor are intended to illustrate, but not to limit the invention.
  • FIG. 1 is a schematic cross-sectional side view of an exemplary prior art ALD reactor.
  • FIG. 2 is a schematic cross-sectional side view of one embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 3A is a schematic cross-sectional side view of one embodiment of a showerhead plate having certain features and advantages according to the present invention.
  • FIG. 3B is a schematic cross-sectional side view of another embodiment of plate having certain features and advantages according to the present invention.
  • FIGS. 4A-B are cross-sectional side views of another embodiment of an ALD reactor having certain features and advantages according to the present invention. In FIG. 4A, a shutter plate is shown in an open position while in FIG. 4B the shutter plate is shown in a closed position.
  • FIGS. 5A is a top plan view of one embodiment of a showerhead plate having certain features and advantages according to the present invention.
  • FIG. 5B is a top plan view of one embodiment of a shutter plate having certain features and advantages according to the present invention.
  • FIG. 6A-F are top plan views of various positions of the showerhead plate and shutter plates of FIGS. 5A and 5B.
  • FIG. 7A is a cross-sectional side view of another embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 7B is a cross-sectional side view of yet another embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 7C is a cross-sectional side view of still another embodiment of an ALD reactor having certain features and advantages according to the present invention.
  • FIG. 8 is a cross-sectional side view of a plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • FIG. 9 is a cross-sectional side view of modified plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • FIG. 10 is a cross-sectional side view of another modified plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • FIG. 11 is a cross-sectional side view of yet another modified plasma enhanced ALD reactor having certain features and advantages according to the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1 schematically illustrates an exemplary prior art ALD reactor 10. The reactor 10 includes a reactor chamber 12, which defines, at least in part, a reaction space 14. A wafer or substrate 16 is disposed within the reaction chamber 14 and is supported by a pedestal 18. The pedestal 18 is configured to move the wafer 16 in and out of the reaction chamber 14. In other arrangements, the reactor can include an inlet/outlet port and an external robot with a robotic arm. The robot arm can be configured to (i) move the substrate into the reactor through the inlet/outlet port, (ii) place the substrate on the pedestal, (iii) lift the substrate from the pedestal and/or (iv) remove the substrate from the reactor through the inlet/outlet port.
  • In the illustrated reactor 10, two precursors, A and B, are supplied to the reaction space 14. The first precursor A is supplied to the reaction chamber 14 through a first supply conduit 20. In a similar manner, the second precursor B is supplied to the reaction space 14 through a second supply conduit 22. The first supply conduit 20 is in communication with a first precursor supply source (not shown) and a purging gas supply source (not shown). Similarly, the second supply conduit 22 is in communication with a second precursor supply source (not shown) and a purging gas supply source (not shown). The purging gas preferably is an inert gas and may be, by way of two examples, nitrogen or argon. The purging gas is preferably also used to transport the first and/or second precursor from the supply sources to the reaction chamber 12. The purging gas may also be used to purge the reaction chamber and/or the supply conduits 20, 22 when the first or second precursor is not being supplied as will be explained in more detail below. In a modified arrangement, the reactor can include an independent, separate purge gas supply conduit for supplying the purge gas to the reaction chamber 12. An exhaust passage 23 is provided for removing gases from the reaction space 14.
  • A divider plate 24 typically is disposed within the reaction chamber 12. The divider plate 24 has a first side 26 and a second side 28. The divider plate 24 is generally disposed between the outlets of the first and second supply conduits 20, 22. That is, the first side 26 is generally exposed to the outlet of the first precursor supply conduit 20 while the second side 28 is generally exposed to the outlet of the second precursor supply conduit 22. The divider plate 24 provides for a uniform introduction of the first and second precursors into the reactor chamber, 12 without depleting them in reactions on the surfaces of the supply conduits 20, 22. That is, the divider plate 24 allows the reaction space 14 to be the only commons space that is alternately exposed to the first and second precursors, such that they only react on the substrate 16 in the desired manner. Because the first and second precursors can be adsorbed by the walls of the first and second supply conduit, letting the first and second supply conduit to join together into a single supply conduit upstream of the reaction space can cause continuing reactions and depositions on the walls of the supply conduits, which is generally undesirable.
  • The illustrated reactor 10 can be used for various IC wafers processing applications. These applications include (but are not limited to): barriers and metals for back-end processes; high- and low-dielectric materials used for gates, stacks, capacitors and thin oxides or inter-layers, respectively.
  • A generic operating procedure for the reactor 10 will now be described. In a first stage, the first precursor A is supplied to the reaction chamber 12. Specifically, the first precursor supply source is opened such that the first precursor A can flow through the first supply conduit 20 into the reaction chamber 12 while the second supply source is kept closed. The second precursor flow can be closed using, for example, a pulsing valve or by an arrangement of inert gas valving, such as, the arrangement described at page 8 of International Publication No. WO 02/08488, published Jan. 21, 2002, which is hereby incorporated in its entirety by reference herein. The purging gas preferably flows through both the first and second supply conduits 20, 22. During this stage, the first precursor A is adsorbed on the active sites of the substrate 16 to form an adsorbed monolayer. During a second stage, the excess first precursor A and any by-product is removed from the reactor 10. This is accomplished by shutting off the first precursor flow while continuing the flow of purge gas through the first and second supply conduits 20, 22. In a modified arrangement, purge gas can be supplied through a third supply conduit that is independently connected to the reaction 10. In a third stage, the second precursor B is supplied to the reaction chamber 12. Specifically, while the first precursor supply source remains closed, the second precursor supply source is opened. Purging gas is preferably still supplied through both the first and second conduits 20, 22. The first and second precursors are highly reactive with each other. As such, the adsorbed monolayer of the first precursor A reacts instantly with the second precursor B that has been introduced into the reaction chamber 12. This produces the desired thin film on the substrate 16. The reaction terminates once the entire amount of the adsorbed first precursor has been consumed. In a fourth stage, the excess second precursor and any by-product is removed from the reaction chamber 12. This is accomplished by shutting off the second precursor while the purging flow to both the second and first supply conduits 20, 22 remains on. The cycle described above can be repeated as necessary to grow the film to a desired thickness. Of course, purge phases can be replaced with pump down phases. It should be appreciated that the. generic operating procedure described above and the arrangement of the first and second conduits 20, 22 describe above and modifications thereof can be applied to the embodiments described below.
  • As mentioned above, the configuration of the reaction chamber 12 should not affect the composition, uniformity or properties of the film grown on the substrate 16 because the reaction is self-limiting. However, it has been found that only a few precursors exhibit such ideal or near ideal behavior. Factors that may hinder this idealized growth mode can include: time-dependent adsorption-desorption phenomena; blocking of the primary reaction by the by-products of the primary reaction (e.g., as the by-products are moved in the direction of the flow, reduced growth rate downstream and subsequent non-uniformity may result, e.g., in TiCl4+NH3→TiN process); total consumption (i.e., destruction) of the second precursor in the upstream portion of the reactor chamber (e.g., decomposition of ozone in the hot zone); and uneven adsorption/desorption of the first precursor caused by uneven flow conditions in the reaction chamber.
  • FIG. 2 illustrates one embodiment of an ALD reactor 50 having certain features and advantages according to the present invention. Preferably, the reactor 50 is arranged to alleviate the observed non-idealities described above. As with the reactor described above, the illustrated embodiment includes a reaction chamber 52, which defines a reaction space 54. A wafer or substrate 56 is disposed within the reaction chamber 52 and is supported by a pedestal 58, which preferably is configured to move the substrate 56 in and out of the reaction chamber 52. In a modified arrangement, the reactor 50 can include an inlet/outlet port and a external robot (not shown) with a robot arm. The robot arm can be configured to (i) move the substrate into the reactor through the inlet/outlet port, (ii) place the substrate on the pedestal, (iii) lift the substrate from the pedestal and/or (iv) remove the substrate from the reactor through the inlet/outlet port.
  • In the illustrated embodiment, two precursors A, B are supplied to the reaction chamber 52. The first precursor A is supplied to the reaction chamber 52 through a first precursor conduit 60. In a similar manner, the second precursor B is supplied to the reaction chamber 52 through a second precursor supply conduit 62. Each supply conduit is connected to a precursor supply source (not shown) and preferably a purge gas source (not shown). The purge gas is an inert gas and can be, by way of example, nitrogen or argon. The purge gas can also be used to transport the first and/or second precursors. The reactor 50 also includes an exhaust 66 for removing material from the reactor chamber 52.
  • A showerhead plate 67 is positioned within the reaction chamber 52. Preferably, the showerhead plate 67 is a single integral element. The showerhead plate 67 preferably spans across the entire reaction space 54 and divides the reaction space 54 into an upper chamber 68 and a lower chamber 70. In modified embodiments, the showerhead plate 67 can divide only a portion of the reaction space 54 into upper and lower chambers 68, 70. Preferably, such a portion lies generally above the substrate 56 and extends towards a space between the outlets of the first and second conduits 60, 62.
  • The showerhead plate 67 defines, at least in part, a plurality of passages 72 that connect the upper chamber 68 to the lower chamber 70. In the illustrated embodiment, such passages 72 are formed by providing small holes in the showerhead plate 67 that are located generally above the substrate 56. In this manner, the showerhead plate 67 substantially prevents the second precursor B from entering the lower chamber 70 until the flow from the second conduit 62 is generally above the substrate 56.
  • As mentioned above, showerhead plate 67 is preferably made from a single element that spans across the entire reaction space 54. In such an embodiment, the showerhead plate 67 can be supported by providing a tightly fitting machined space between upper and lower parts of the reaction chamber 52. The showerhead plate 67 can thus be kept in place by the positive mechanical forces inflicted on it by the opposing sides of the upper and lower parts. That is, the showerhead plate 67 is clamped between the relatively moveable upper and lower parts of the reaction chamber 52 and additional fixtures are not required to secure the showerhead plate in place. In other embodiments, the showerhead plate 67 can be made from a plurality of pieces and/or be supported in other manners, such as, for example, by supports positioned within the reaction chamber 52.
  • In general, the passages 72 are configured to provide for a uniform distribution of the second precursor B onto the substrate 56. In the illustrated embodiment, the passages 72 are uniformly distributed over the substrate 56. However, in other arrangements, the pattern, size, shape and distribution of the passages 72 can be modified so as to achieve maximum uniformity of the second precursor B at the substrate surface. In still other embodiments, the pattern, size, shape and distribution can be arranged so as to achieve a non-uniform concentration of the second precursor B at the substrate, if so required or desired. The single element showerhead plate 67 describe above is particularly useful because the showerhead plate 67 can be easily replaced and exchanged. For example, in the embodiment wherein the showerhead plate is clamped between the upper and lower of the reaction chamber 52, the showerhead plate 67 can be removed by separating the upper and lower portions of the reaction chamber 52, as is conducted during normal loading and unloading procedures in operation. Therefore, if desired or required, a showerhead plate 67 with a different pattern, distribution and/or size of passages can be easily replaced. Routine experiments may, therefore, be easily performed to determine the optimum pattern, distribution and/or size of the passageway. Moreover, such showerhead plates can be relatively easy and cost effective to manufacture.
  • In a modified embodiment having certain features and advantages according to the present invention, the showerhead plate can be used to modify the flow patterns in the reaction chamber 52. An example of such an embodiment is illustrated in FIG. 3A. In this embodiment, the showerhead plate 67 has a variable thickness t. That is, the thickness t of the showerhead plate 67 increases in the downstream direction. As such, the flow space s between the substrate 56 and the showerhead plate 67 decreases in the downstream direction. As the flow space s changes, the governing flow conditions at the substrate 56 also change the growth rate at various positions across the substrate 56. Such arrangements and/or modifications thereof, are thus capable of also reducing any non-uniformities of the growth rate at the substrate surface. For example, non-uniformities introduced by horizontal flow of the first precursor can be compensated in this manner.
  • In other embodiments, the showerhead plate can be arranged such that the distance between the showerhead plate and the substrate vary in a different manner than the embodiment shown in FIG. 3A. For example, as shown in FIG. 3B, the flow space s can increase in the downstream direction. In other embodiments, this flow space s can vary across the reaction chamber (e.g., the distance between the substrate 56 and the showerhead plate 67 can be greater near the side walls of the reaction chamber 52.). In still other embodiments, the distance between the showerhead plate and the substrate can increase and then decrease or vice versa. In yet still other embodiments, the distance from between the showerhead plate and the top of the reaction chamber can be varied in addition to or alternatively to the variations described above.
  • In another modified embodiment, an ALD reactor 100 includes a shutter plate 102, which is arranged to control the flow through the passages 72 of the showerhead plate 67. FIG. 4A illustrates an example of such an embodiment wherein like numbers are used to refer to parts similar to those of FIG. 2. In the illustrated embodiment, the shutter plate 102 is disposed adjacent and on the top of the showerhead plate 67. Preferably, at least the opposing faces of the shutter plate 102 and the showerhead plate 67 are highly planar and polished. The shutter plate 102 has plurality passages 104, which preferably are situated in the same or similar pattern as the corresponding passages 72 in the showerhead plate 67. In modified embodiment, the shutter plate 102 can be placed below the showerhead plate 67.
  • The shutter plate 102 is mechanically coupled to an actuator element 106 such that it can move relative to the showerhead plate 67, preferably in an x-y plane. In the illustrated embodiment, the actuator 106 is configured to move the shutter plate 102 in the x-direction. The actuator 106 can be in many forms, such as, for example, piezoelectric, magnetic, and/or electrical. As shown in FIG. 4B, the shutter plate 102 can be used to block or open the passages 72, 104 in both the shutter plate 102 and showerhead plate 67 depending on the position of the shutter plate 102 with respect to the showerhead plate 67. Preferably, one or more by-pass passages 110 are provided at the downstream end of the shutter plate 102 and the showerhead plate 67 such that when the shutter plate 102 is in a closed position (FIG. 4B) gases in the upper part 68 of the reaction chamber can escape to through the exhaust 66. The by-pass passage 102 is preferably closed when the shutter plate 102 is in the open position, as shown in FIG. 4A.
  • FIGS. 5A and 5B illustrate one embodiment of a shutter plate 120 (FIG. 5B) and a showerhead plate 122 (FIG. 5A) having certain features and advantages according to the present invention. In this embodiment, passages 124, 126 of the shutter plate 120 and the showerhead plate 122 are geometrically off-set from each other so as to vary the distribution of gas onto the substrate. As such, by controlling the position of the shutter plate 120 in the x-y plane, the feed rates of the second precursor can progressively and spatially (in an xy-plane) be varied with respect to the substrate. More specifically, the feed rate can vary from 0-100% at the front part (upstream) of showerhead plate 122 (i.e., the x-direction or flow direction) to 100%-0 at the back part (downstream). A similar type of control is also possible in the side direction (i.e., the y-direction or crosswise flow direction) with refined geometrical designs. Of course those of skill in the art will recognize that the precise details of the geometrical shapes of the holes in the shutter plate and showerhead plate can varied, and that the principle can be readily extended to more or less than four passages per plate.
  • FIGS. 6A-F illustrate the various configurations that can be achieved using the off-setting passages of the plates illustrated in FIGS. 5A-B. In FIG. 6A, the shutter plate 120 is arranged such that the passages 124 are open 100%. In FIG. 6B, the passages 124 at the front of the plate 120 are open 100% and passages 124 at the back end of the plate 120 are only 50% open. In FIG. 6C, the passages 124 at the front of the plate 120 are 50% open while the passages 124 at the back end of the plate 120 are 100% open. In FIG. 6D, the passages 124 at the left-hand side of the plate 120 are 50% open while the passages 124 at the right hand side of the plate 120 are 100% open. In FIG. 6E, the front left passage 124 is 50% open, the front right passage 124 is 25% open, the rear left passage 124 is 100% open and the rear right passage 124 is 50% open. In FIG. 6F, the front left passage 124 is 50% open, the front right passage 124 is 100% open, the rear left passage 124 is 25% open and the rear right passage 124 is 50% open.
  • With the arrangement described above, the flow within the reactor 100 (see FIGS. 4A-B) can be tailored to compensate for non-uniformities in the reaction process. Specifically, by adjusting the position of the shutter plate 120 several different flow patterns can be achieved to compensate for the non-uniformities in the reaction process.
  • In a modified arrangement, the shutter plate can be arranged so as to move in a vertical (i.e., z-direction). In such an arrangement, the shutter plate need not have apertures and the plate can be used to alternately open and close the passages in the showerhead plate.
  • It should be appreciated that the shutter plate arrangements described above can be used in combination or sub-combination with the embodiments discussed above with reference to FIGS. 2A-3B and the embodiments described below.
  • FIG. 7A illustrates another embodiment of an ALD reactor 150 having certain features and advantages according to the present invention. In this embodiment, the reaction chamber 52 defines a separate plasma cavity 152 for creating in-situ radicals or excited species. As mentioned above, in-situ radicals or excited species can be used to facilitate reactions on the surface of the substrate. To create the in-situ radicals or excited species, a plasma can be created within the plasma cavity 152 in a variety of ways, such as, for example, using a capacitor electrode positioned inside or outside the plasma cavity (i.e., a capacitively coupled plasma), a RF coil (i.e., a inductively coupled plasma), light, microwave, ionizing radiation, heat (e.g., heated tungsten filament can be used to form hydrogen radicals from hydrogen molecules), and/or chemical reactions to generate the plasma.
  • In the embodiment illustrated in FIG. 7A, the capacitor electrode 153 is connected to an RF power source 155 and is positioned outside the reaction chamber 52 and the plasma cavity 152. The showerhead plate 67 is positioned between the plasma cavity 152 and the substrate 56 and, in the illustrated embodiment, is also used as the other electrode for capacitive coupling. This embodiment has several advantages. For example, even if the radicals are very short-lived, the path to the growth surface (i.e., on the substrate 56) is short enough to guarantee their contribution to the growth reaction. Also the plasma chamber 152 can be made large enough to provide necessary space for plasma ignition and also to separate the plasma from the growth surface, thus protecting it from the damaging effects of the energetic particles and charges in the plasma. An example of another advantage is that the plasma cavity 152 is exposed only to one type of precursor and, therefore, a thin film does not grow on the inner surfaces of the plasma cavity 152. Thus, the plasma cavity 152 stays clean for a longer time.
  • In one embodiment, the first precursor A, which is adsorbed onto the surface of the substrate 56, is not directly reactive with the second precursor B. Instead, the first precursor A is reactive with the excited species of the second precursor B, which are generated in the plasma cavity 152 (e.g., N2, which can be non-reactive with an adsorbed species while N radicals are reactive with the adsorbed species). In a modified embodiment, the first precursor A is reactive with a recombination radical, which may be generated in the plasma cavity 152 or downstream of the plasma cavity 152. In either embodiment, the flow of the second precursor B through the second supply conduit 62 can be kept constant while the creation of plasma in the plasma cavity is cycled on and off. In a modified embodiment, the method of cycling the plasma cavity on and off can also be used with a modified reactor that utilizes a remote plasma cavity. In still another embodiment, the reactor 150 described above can be operated in a manner in which the flow of the second precursor is cycled on and off (or below an effective level) while the power for the plasma generation is kept on.
  • FIG. 7B illustrates a modified embodiment of a reactor 160 that also utilizes a plasma cavity 162. In this embodiment, the reactor 160 includes a reaction chamber 163, which defines a reaction space 164. A substrate 166 is positioned within the reaction space 164 and is supported by a susceptor 170, which can be heated. A first precursor is introduced into the reaction space via a first supply conduit 172. Preferably the first supply conduit 172 and the reaction chamber 163 are arranged such that the flow of the first precursor within the reaction chamber is generally parallel to a reaction surface of the substrate 166. An exhaust 174 and a pump (not shown) are preferably provided for aiding removal of material from the reaction chamber 163.
  • The reactor 160 also includes a plasma chamber 175, which, in the illustrated embodiment, is located generally above the reaction space 164. The plasma chamber 175 defines the plasma cavity 162 in which the in-situ excited species or radicals are generated. To generate the radicals, a second precursor is introduced into the plasma cavity 162 via a second supply conduit 176. Radicals or other excited species flow from the plasma that is generated in the plasma chamber 175. To generate the plasma, the illustrated embodiment utilizes an RF coil 177 and RF shield 179, which are separated from the plasma cavity 162 by a window 178 made of, for example, quartz. In another embodiment, the plasma is advantageously generated using a planar induction coil. An example of such a planer induction coil is described in the Journal of Applied Physics, Volume 88, Number 7, 3889 (2000) and the Journal of Vacuum Science Technology, A 19(3), 718 (2001), which are hereby incorporated by reference herein.
  • The plasma cavity 162 and the reaction space 164 are separated by a radical or showerhead plate 180. The showerhead plate 180 preferably defines, at least in part, plurality passages 182 through which radicals formed in the plasma cavity can flow from into the reaction space 164. Preferably, the flow through the passages 182 is generally directed towards the reaction surface of the substrate 166. In some embodiments, the space between the showerhead plate 180 and the substrate 166 can be as small as a few millimeters. Such an arrangement provides ample radical concentration at the wafer surface, even for short lived radicals.
  • In the illustrated embodiments, purge gases can be continuously supplied to the plasma cavity through a purge inlet 184. In such an embodiment, the plasma chamber 175 can operate at a substantially constant pressure regime.
  • In the illustrated embodiments, the showerhead plate 180 and surrounding components adjacent to the reaction chamber 163 may be heated, either as a result of the plasma on one side on the showerhead plate 180 and/or a heated susceptor 170 on the other side, or by separately heating the showerhead plate 180.
  • In some embodiments, the RF power can be used to alternately switch the radical concentration in the flow. In other embodiments, precursors supply to the plasma cavity can be alternately switched. Preferably, there is a continuous flow from the plasma cavity 162 to the reaction space 164. Continuous flow of gases, i.e., radicals alternated with inert gas, is preferred because it prevents the first precursor in the reaction space 164 below from contaminating the plasma cavity 162. This facilitates the deposition of conducting compounds without arcing. There is also preferably a positive pressure differential between the plasma cavity 162 and the reaction space 164, with the pressure in the plasma cavity 162 being larger. Such an arrangement also promotes plasma ignition in the plasma chamber 175.
  • FIG. 7C illustrates another modified embodiment of a ALD reactor 200 that also utilizes a plasma cavity. Like numbers (e.g., 162, 163, 166, 170, 174, 176, 184, etc.) are used to refer to parts similar to those of FIG. 7B. In this embodiment, the plasma in the plasma cavity 162 is capacitively coupled. As such, the illustrated embodiment includes a capacitor electrode 202, which is connected to an RF source (not shown) through an RF feed through 203 and is disposed in the plasma cavity 162 above the showerhead plate 180. This arrangement is similar to the arrangement shown in FIG. 7A, except that the electrode is positioned inside the reaction chamber 163.
  • Some aspects of the embodiments discussed above with reference to FIGS. 7A-7C can also be used with a CVD reactor (e.g., a reactor that utilizes alternate deposition and densification to create thin films). A known problem with CVD and/or pulsed plasma CVD of conducting films is arcing. The introduction of the showerhead plate, which separates the plasma generation space (i.e., the plasma cavity) from the CVD environment (i.e., the reaction space), reduces such arcing. Unlike conventional remote plasma processors, however, the separated plasma cavity remains immediately adjacent the reaction space, such that radical recombination is reduced due the reduced travel distance to the substrate. In such an embodiment the wafer preferably is negatively biased with respect to the plasma to create ion bombardment. This embodiment may also be used to create new CVD reactions, which are temporarily enabled with radicals. Such reaction may take place in the gas phase. If the time of the RF pulse to generate radicals is short enough, such reactions will not result in large particles. Such a method may result in new film properties.
  • For the embodiments discussed above with reference to FIGS. 7A-C, the shape and local current density of the coil, and the shape of the quartz window can be tailored to tune various aspects of the reaction process, such as, for example, uniformity, speed of deposition, and plasma ignition. In some embodiments, a magnetic field may be used to shape and confine the plasma to suppress wall erosion and promote film uniformity. The size, shape, placement and orientation of the passages in the showerhead plate can also be tuned to optimize, for example, film properties, speed of deposition, and plasma ignition. In a similar manner, the distance between showerhead plate and substrate can be used to select which radicals will participate in the reaction. For example, if a larger distance is chosen, short-lived radicals will not survive the longer diffusion or flow path. Moreover, at higher pressures less radicals will survive the transit from showerhead plate to the substrate.
  • Certain aspects described above with respect to FIGS. 7A-C can also be used to introduce radicals in the reaction chamber for wall cleaning and/or chamber conditioning, such as those originating from an NF3 plasma.
  • The embodiments discussed above with reference to FIGS. 7A-C have several advantages. For example, they provide for uniform concentration of radicals of even short-lived species over the entire substrate. The shape and flow pattern in the reactor can be optimized independently from the RF source, giving great flexibility in designing the reactor for short pulse and purge times. Plasma potentials are low, as a higher pressure can be used in the radical source than in the reaction chamber, and the plasma is inductively coupled. Therefore, sputtering of wall components is less of a concern. Inductively coupled discharges are very efficient. The separation of plasma volume and reaction volume will not cause arcing problems when metals, metalloids, or other materials that are good electrical conductors, such as transition metal nitrides and carbides, are deposited. These embodiments also can provide an easy method of chamber cleaning and/or conditioning.
  • It should also be appreciated that features of the embodiments discussed above with reference to FIGS. 7A-C can be combined with features of the embodiments discussed above with reference to FIGS. 3A-6F.
  • FIG. 8 is another embodiment of a plasma-enhanced modified ALD reactor 250. The reactor 250 is preferably positioned within a sealed environment 252 and comprises an upper member 254 and a lower member 256. The members 254, 256 are preferably made of an insulating material (e.g., ceramic).
  • The lower member 256 defines a recess 258, which forms, in part, a reaction chamber 260. A precursor inlet 262 preferably extends through the upper and lower members 254, 256 to place the reaction chamber 260 in communication with a precursor source (not shown). In a similar manner, a purge gas inlet 264 extends through the upper and lower members 254, 256 to place a purge gas source in communication with the reaction chamber 260. An exhaust 266 is also provided for removing material from the reactor chamber 260. Although not illustrated, it should be appreciated that reactor 250 can include one or more additional precursor inlets 262 for supplying additional precursors to the reaction chamber 260. In addition, the purge gas may be supplied to the reaction chamber through one of the precursor inlets.
  • A substrate 268 is positioned on a susceptor 270 in the reaction chamber 260. In the illustrated embodiment, the susceptor 270 is positioned within a susceptor lift mechanism 272, which may also include a heater for heating the substrate 270. The susceptor lift mechanism 272 is configured to move the substrate 268 into and out of the reaction chamber 260 and to engage the lower member 256 to seal the reaction chamber 260 during processing.
  • An RF coil 274 is preferably positioned within a quartz or ceramic enclosure 276. In the illustrated embodiment, the RF enclosure 276 and coil 274 are positioned within a second recess 278 (within the first recess 258) formed in the lower member 256. The recess 278 is arranged such that the RF coil 274 is positioned generally above the substrate 268. The coil 274 is connected to an RF generator and matching network 280 such that an inductively coupled plasma 282 can be generated in the reaction chamber 260 above the substrate 268. In such an arrangement, the substrate may be floating or grounded as the plasma potential will adjust itself, if all the other reactor components are insulating, so that the electron and ion flux to the substrate 268 are equal.
  • This arrangement has several advantages. For example, because the plasma is inductively coupled, the plasma potential is low, which reduces sputtering. In addition, because the plasma is located directly above the substrate 268, a uniform concentration of even short-lived radicals or excited species can be achieved at the substrate surface.
  • FIG. 9 illustrates another embodiment of a plasma-enhanced ALD reactor 300. Like numbers are used to refer to parts similar to those of FIG. 8. In this embodiment, the reaction chamber 260 is defined by a recess 301 formed in a chamber wall 302. As with the previous embodiment, the substrate 268 is positioned in the reaction chamber 260 on the susceptor 270, which is positioned within the susceptor lift mechanism 272. The susceptor lift mechanism 272 is configured to move the substrate 268 into and out of the reaction chamber 260 and to seal the reaction chamber 260 during processing.
  • A precursor inlet 304 is provided for connecting the reaction chamber 260 to precursor source (not shown). Although, not illustrated, it should be appreciated that the reactor 300 can include a separate purge inlet and/or one or more precursor inlets for providing a purging gas or additional precursors to the reaction chamber 260. A gas outlet 306 is preferably also provided for removing material from the reaction chamber 260.
  • In the illustrated embodiment, the RF coil 274 and enclosure 276 are positioned in the reaction chamber 260 such that the precursor from the inlet 304 must flow over, around and under the RF coil 274 in order to flow over the substrate 268. As such, a flow guide, 308 is positioned in the reactor chamber 260 to guide precursor around the RF coil in one direction. Although not illustrated, it should be appreciated that, in the illustrated arrangement, the flow guide 308 forms a channel above the RF coil 274 to guide the precursor horizontally in one direction over the RF coil 274. The precursor then flows vertically along a portion of the RF coil 274, at which point the flow is directed horizontally and expanded such that the precursor flows in one direction substantially horizontally over the substrate 268. Downstream of the substrate 268, the flow is guided in a vertical upward direction and then the flow is directed horizontally over the RF coil 274 to the outlet 306. In a modified embodiment, the outlet 306 can be located below the RF coil 274.
  • This illustrated embodiment has several advantages. For example, as compared to the embodiments of FIGS. 7A-7B, the flow path for the precursor is less restrictive. As such, it results in less recombination of excited species en rout to the substrate. Additionally, it is easier to purge the horizontal flow path for the precursor in between pulses.
  • A conducting plate 310 is positioned on the bottom of the RF enclosure 276 such that the plasma 282 is generated only above the RF coil 274. In addition, because, the space between the conducting plate 310 and the substrate 268 is preferably smaller than the dark space necessary for a plasma to exist under the prevailing conditions, the plasma is only generated in the larger space above the RF coil 274.
  • The illustrated embodiment has several advantages. For example, because the plasma is not generated directly above the substrate, sputtering is less of a concern and thus this embodiment is particularly useful for processing substrates with sensitive devices (e.g., gate stacks) and/or front-end applications where plasma damage is particularly harmful.
  • In the illustrated embodiment, a plasma 282 is also generated on the outlet side of the reactor. However, it should be appreciated, that in a modified embodiment, the plasma 282 on the outlet side can be eliminated.
  • FIG. 10 illustrates another embodiment of a reactor that utilizes plasma. This embodiment is similar to the embodiment of FIG. 9. As such, like numbers will be used. In this embodiment, the plasma is capacitively coupled. As such, a capacitor plate 303 is positioned in the reaction chamber 260. The upper chamber walls 302 are grounded and conducting such that the plasma 282 is generated in the space above the capacitor plate 303 and the upper chamber-302. As with the embodiment of FIG. 10, the flow guide 308 guides precursor around the capacitor plate 303 to the space above the substrate 268 such that the precursor flows over the substrate in substantially horizontal direction.
  • FIG. 11 is a schematic illustration of yet another embodiment of a plasma-enhanced ALD reactor 320. In this embodiment, the reactor 320 defines a reaction space 322 in which a substrate 324 in positioned on a susceptor 326. A load lock 328 is provided for moving the substrate 324 in and out of the reaction space 322.
  • The reactor includes a first inlet 330. In the illustrated embodiment, the first inlet 330 is in communication with a three-way valve 332, which is, in turn, in communication with a first precursor source 334 and a purging gas source 336. As will be explained in more detail below, the first precursor is preferably a metal precursor.
  • The reactor 320 also includes a second inlet 338. In the illustrated embodiment, the second inlet 338 is formed between an upper wall 340 of the reactor 320 and an intermediate wall 342. The second inlet 338 is in communication with a second precursor source 344, which is preferably a non-metal precursor. Optionally, the second inlet is also in communication with a purging gas source (not shown). The second inlet 338 includes a pair of electrodes 346 for producing a plasma 348 in the second inlet 338 above the reaction space 322. The reactor also includes an exhaust line 347 for removing material from the reaction space 322.
  • In a first stage, the first precursor is supplied to the reaction chamber 322. Specifically, the three-way valve 332 is opened such that the first metallic precursor can flow from the first precursor source 334 into the reaction chamber 322 while the second supply source 344 is kept closed. During this stage, the first metallic precursor is adsorbed on the active sites of the substrate 324 to form an adsorbed monolayer. During a second stage, the excess first precursor and any by-product is removed from the reactor 320. This is accomplished by shutting off the first precursor flow while continuing the flow of purge gas through the three-way valve 332. In a third stage, the second precursor is supplied to the reaction chamber 322. Specifically, the second precursor supply source 344 is opened and the electrodes 346 are activated to generate a plasma 348 in the second inlet 338. The reactants generated by the plasma 348 are highly reactive. As such, the adsorbed monolayer of the first precursor reacts instantly with the reactants of the second precursor that are introduced into the chamber 322. This produces the desired thin film on the substrate 324. The reaction terminates once the entire amount of the adsorbed first precursor on the substrate has been reacted. In a fourth stage, the excess second precursor and any by-product is removed from the reaction chamber 322. This is accomplished by shutting off the second precursor while the purging flow from the purging source 336 is turned on. In a modified arrangement, the purging gas source (not shown) in communication with the second inlet 338 is turned on and the purging gas pushes any residual second precursor gas away from the space between the electrodes 346 towards the reaction chamber 322 until essentially all of the excess second precursor and any reaction by-product have left the reactor. The cycle described above can be repeated as necessary to grow the film to a desired thickness. Of course, purge phases can be replaced with evacuation phases.
  • The illustrated embodiment has several advantages. For example, because the electrodes 346 are positioned in the second inlet 338, they are not exposed to the metal precursor. As such, the electrodes 346 do not become short-circuited, as may happen if an electrically conductive film is deposited on the electrodes 346.
  • Of course, the foregoing description is that of preferred embodiments of the invention and various changes, modifications, combinations and sub-combinations may be made without departing from the spirit and scope of the invention, as defined by the appended claims.

Claims (15)

1. A reactor configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants, comprising:
a reaction chamber that defines a reaction space;
a showerhead plate disposed within the reaction space and dividing the reaction space into a first part in which the substrate is positioned and a second part;
a first precursor source that is in communication with the first part of the reaction space such that first precursor from the first precursor source communicates directly with the substrate without flowing through the showerhead plate;
a second precursor source that is in communication with the second part of the reaction space such that second precursor from the second precursor source communicates with the substrate by flowing through the showerhead plate; and
the showerhead plate being configured to adjust in a horizontal direction the surface reactions on the substrate.
2. The reactor of claim 1, wherein the showerhead plate includes a first plate that defines, at least in part, a plurality passages that extend from the second part to the first part of the reaction chamber.
3. The reactor of claim 2, wherein the first plate has a variable thickness
4. The reactor of claim 2, comprising a shutter plate configured to selectively open and close the plurality passages of the first plate.
5. The reactor of claim 4, wherein the shutter plate includes a plurality passages that are geometrically offset form the plurality passages of the first plate.
6. The reactor of claim 4, wherein the showerhead plate has a variable thickness.
7. The reactor of claim 1, wherein the showerhead plate is a single integrally formed plate.
8. The reactor of claim 1, wherein the showerhead plate is configured to modify the flow conditions at a reaction surface of the substrate.
9. The reactor of claim 1, wherein the reactor include a plasma generating source and the second part of the reactor forms a plasma cavity for generating in-situ radicals.
10. The reactor of claim 9, wherein the plasma generating source is configured to create an inductively coupled plasma.
11. The reactor of claim 9, wherein the plasma generating source is configured to create a capacitively coupled plasma.
12. The reactor of claim 11, wherein the plasma generating source includes first electrode and a second electrode.
13. The reactor of claim 12, wherein the first electrode is positioned outside the reaction chamber and the showerhead is the second electrode.
14. The reactor of claim 12, wherein the first electrode is positioned inside the reaction chamber and the showerhead is the second electrode.
15-23. (canceled)
US10/991,556 2001-08-15 2004-11-18 Atomic layer deposition reactor Abandoned US20050092249A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/991,556 US20050092249A1 (en) 2001-08-15 2004-11-18 Atomic layer deposition reactor

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31262801P 2001-08-15 2001-08-15
US10/222,005 US6820570B2 (en) 2001-08-15 2002-08-14 Atomic layer deposition reactor
US10/991,556 US20050092249A1 (en) 2001-08-15 2004-11-18 Atomic layer deposition reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/222,005 Continuation US6820570B2 (en) 2001-08-15 2002-08-14 Atomic layer deposition reactor

Publications (1)

Publication Number Publication Date
US20050092249A1 true US20050092249A1 (en) 2005-05-05

Family

ID=23212311

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/222,005 Expired - Lifetime US6820570B2 (en) 2001-08-15 2002-08-14 Atomic layer deposition reactor
US10/991,556 Abandoned US20050092249A1 (en) 2001-08-15 2004-11-18 Atomic layer deposition reactor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/222,005 Expired - Lifetime US6820570B2 (en) 2001-08-15 2002-08-14 Atomic layer deposition reactor

Country Status (5)

Country Link
US (2) US6820570B2 (en)
JP (1) JP2004538374A (en)
KR (1) KR100943695B1 (en)
TW (1) TW578212B (en)
WO (1) WO2003016587A1 (en)

Cited By (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034664A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Apparatus for depositing
US20060096531A1 (en) * 2002-06-10 2006-05-11 Tokyo Electron Limited Processing device and processing method
US20060223315A1 (en) * 2005-04-05 2006-10-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20070090301A1 (en) * 2005-10-21 2007-04-26 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20080075858A1 (en) * 2006-09-22 2008-03-27 Asm Genitech Korea Ltd. Ald apparatus and method for depositing multiple layers using the same
US20080131979A1 (en) * 2006-12-04 2008-06-05 Sumitomo Electric Industries, Ltd. Vapor-Phase Growth System and Vapor-Phase Growth Method
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080248200A1 (en) * 2005-06-02 2008-10-09 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20090117271A1 (en) * 2006-04-18 2009-05-07 Ulvac, Inc. Film forming apparatus and a barrier film producing method
US20090136665A1 (en) * 2007-11-27 2009-05-28 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20090217871A1 (en) * 2008-02-28 2009-09-03 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
KR20150011317A (en) * 2013-07-22 2015-01-30 에이에스엠 아이피 홀딩 비.브이. Semiconductor reaction chamber with plasma capabilities
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
EP3478872A4 (en) * 2016-06-30 2020-03-11 Beneq OY Method of coating a substrate and an apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
EP3697943A4 (en) * 2017-10-18 2021-01-13 Beneq OY Nozzle head
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11111579B2 (en) * 2018-05-10 2021-09-07 Samsung Electronics Co., Ltd. Deposition equipment and method of fabricating semiconductor device using the same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7470329B2 (en) * 2003-08-12 2008-12-30 University Of Maryland Method and system for nanoscale plasma processing of objects
US7282244B2 (en) * 2003-09-05 2007-10-16 General Electric Company Replaceable plate expanded thermal plasma apparatus and method
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100590554B1 (en) * 2004-05-28 2006-06-19 삼성전자주식회사 Apparatus for atomic layer deposition having improved reactor and sample holder
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2006019414A (en) * 2004-06-30 2006-01-19 Canon Inc Plasma processing device
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
DE102004056170A1 (en) * 2004-08-06 2006-03-16 Aixtron Ag Apparatus and method for high throughput chemical vapor deposition
JP2006093557A (en) * 2004-09-27 2006-04-06 Sharp Corp Vapor deposition system
JP4934595B2 (en) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP4807960B2 (en) * 2005-03-17 2011-11-02 株式会社アルバック Film forming apparatus and film forming method
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006106767A1 (en) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. Transmission line pair and transmission line group
KR100721576B1 (en) * 2005-04-06 2007-05-23 삼성에스디아이 주식회사 Method for fabricating organic electroluminescence deivce
KR101272321B1 (en) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 Multiple inlet atomic layer deposition reactor
TWI261313B (en) 2005-07-29 2006-09-01 Ind Tech Res Inst A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100799735B1 (en) * 2006-07-10 2008-02-01 삼성전자주식회사 Method of forming metal oxide and apparatus for performing the same
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR101355638B1 (en) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 Atomic Layer Deposition Apparatus
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
FI123322B (en) * 2007-12-17 2013-02-28 Beneq Oy Method and apparatus for generating plasma
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
DE102007063380A1 (en) * 2007-12-20 2009-06-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Coating device for coating a substrate under atmospheric conditions
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5060324B2 (en) * 2008-01-31 2012-10-31 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and processing container
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
KR100940770B1 (en) * 2008-11-06 2010-02-10 주식회사 시스넥스 Gas injection units of chemical vapor deposition chamber
WO2010053866A2 (en) * 2008-11-07 2010-05-14 Asm America, Inc. Reaction chamber
JP2012511106A (en) * 2008-12-05 2012-05-17 ロータス アプライド テクノロジー エルエルシー High speed deposition of thin films with improved barrier layer properties
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
CN102239544A (en) * 2009-09-17 2011-11-09 东京毅力科创株式会社 Plasma processing apparatus and gas supply mechanism for plasma processing apparatus
JP5432686B2 (en) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 Plasma processing equipment
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
JP2011144412A (en) * 2010-01-13 2011-07-28 Honda Motor Co Ltd Plasma film-forming apparatus
WO2011121508A1 (en) * 2010-03-29 2011-10-06 Koolerheadz Modular gas injection device
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8801858B2 (en) * 2010-12-23 2014-08-12 First Solar, Inc. Non-wear shutter apparatus for a vapor deposition apparatus
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
DE102011104132B3 (en) * 2011-06-14 2012-11-29 Oliver Feddersen-Clausen Plasma assisted atomic layer deposition useful for forming thin layer on substrate, in reaction zone, comprises carrying out coating cycles, rinsing reaction area and converting adsorbed fraction of layer-forming process gas into thin layer
KR101328980B1 (en) 2011-07-13 2013-11-13 삼성디스플레이 주식회사 Vapor deposition apparatus, method for vapor deposition and method for manufacturing organic light emitting display apparatus
KR101288129B1 (en) 2011-07-13 2013-07-19 삼성디스플레이 주식회사 Vapor deposition apparatus, method for vapor deposition and method for manufacturing organic light emitting display apparatus
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
WO2014064779A1 (en) * 2012-10-24 2014-05-01 株式会社Jcu Plasma treatment device and method
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
JP5938491B1 (en) * 2015-03-20 2016-06-22 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
WO2016204974A1 (en) 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
CN106544646B (en) * 2015-09-18 2019-02-01 沈阳拓荆科技有限公司 A kind of atomic layer deposition apparatus
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
FI127769B (en) * 2016-03-11 2019-02-15 Beneq Oy Apparatus and method
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10752991B2 (en) 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Precursor supply cabinet
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210027601A (en) * 2019-08-29 2021-03-11 삼성전자주식회사 An apparatus for conducting a plasma surface treatment, a board treatment system having the same and a method of conducting a plasma surface treatment using the same
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11004703B1 (en) * 2019-10-25 2021-05-11 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Gas flow guiding device for semiconductor processing apparatus and method of using the same
JP2023507111A (en) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド High density plasma chemical vapor deposition chamber
US20210355580A1 (en) * 2020-05-13 2021-11-18 Tokyo Electron Limited Systems and Methods for Depositing a Layer on a Substrate Using Atomic Oxygen
US20220246403A1 (en) * 2021-01-29 2022-08-04 Applied Materials, Inc. Digital control of plasma processing

Citations (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4134425A (en) * 1976-03-12 1979-01-16 Siemens Aktiengesellschaft Device for distributing flowing media over a flow cross section
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4991540A (en) * 1987-06-30 1991-02-12 Aixtron Gmbh Quartz-glass reactor for MOCVD systems
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5370738A (en) * 1992-03-06 1994-12-06 Pioneer Electronic Corporation Compound semiconductor vapor phase epitaxial device
US5453305A (en) * 1991-12-13 1995-09-26 International Business Machines Corporation Plasma reactor for processing substrates
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US5724015A (en) * 1995-06-01 1998-03-03 California Institute Of Technology Bulk micromachined inductive transducers on silicon
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5831431A (en) * 1994-01-31 1998-11-03 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Miniaturized coil arrangement made by planar technology, for the detection of ferromagnetic materials
US5904780A (en) * 1996-05-02 1999-05-18 Tokyo Electron Limited Plasma processing apparatus
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6113759A (en) * 1998-12-18 2000-09-05 International Business Machines Corporation Anode design for semiconductor deposition having novel electrical contact assembly
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6156151A (en) * 1996-07-19 2000-12-05 Tokyo Electron Limited Plasma processing apparatus
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6263831B1 (en) * 1998-02-17 2001-07-24 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixtures
US6266712B1 (en) * 1999-03-27 2001-07-24 Joseph Reid Henrichs Optical data storage fixed hard disk drive using stationary magneto-optical microhead array chips in place of flying-heads and rotary voice-coil actuators
US6270571B1 (en) * 1998-11-10 2001-08-07 Canon Kabushiki Kaisha Method for producing narrow wires comprising titanium oxide, and narrow wires and structures produced by the same method
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6306216B1 (en) * 1999-07-15 2001-10-23 Moohan Co., Ltd. Apparatus for deposition of thin films on wafers through atomic layer epitaxial process
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6368987B1 (en) * 1997-09-30 2002-04-09 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6446573B2 (en) * 1999-05-31 2002-09-10 Tadahiro Ohmi Plasma process device
US20020164423A1 (en) * 2001-03-19 2002-11-07 Chiang Tony P. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20030010452A1 (en) * 2001-07-16 2003-01-16 Jong-Chul Park Shower head of a wafer treatment apparatus having a gap controller
US20030015137A1 (en) * 2001-06-18 2003-01-23 Japan Pionics Co., Ltd. Chemical vapor deposition apparatus and chemical vapor deposition method
US20030089314A1 (en) * 1999-03-18 2003-05-15 Nobuo Matsuki Plasma CVD film-forming device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62146268A (en) * 1985-12-20 1987-06-30 Anelva Corp Apparatus for producing thin film
JPH01246365A (en) * 1987-11-21 1989-10-02 Kureha Chem Ind Co Ltd Production of solid film utilizing specific gravity difference between gaseous reactants and device therefor
JPH05198512A (en) * 1991-10-04 1993-08-06 Ulvac Japan Ltd Optical cvd device
JPH1060673A (en) * 1996-08-21 1998-03-03 Sony Corp Etching device
DE69840127D1 (en) 1998-08-28 2008-11-27 Okuma Machinery Works Ltd METHOD AND DEVICE FOR ANALYSIS AND FOR GENERATING A PROGRAM FOR MEASURING COORDINATES AND SURFACE PROPERTIES
KR100273473B1 (en) 1999-04-06 2000-11-15 이경수 Method for forming a thin film
WO2000079576A1 (en) * 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
KR100624030B1 (en) * 1999-06-19 2006-09-19 에이에스엠지니텍코리아 주식회사 Chemical deposition reactor and method of forming a thin film using the same
SE9903213D0 (en) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US6306333B1 (en) 1999-06-24 2001-10-23 The Dow Chemical Company Process for making cellulose ethers having reduced yellowing and discoloration
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001284269A (en) * 2000-04-03 2001-10-12 Shiro Sakai Vapor phase growth apparatus and method
FI20001694A0 (en) 2000-07-20 2000-07-20 Asm Microchemistry Oy A method for growing a thin film on a substrate
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6553934B2 (en) 2001-01-03 2003-04-29 Senseability, Inc. Method and apparatus for monitoring milking facility pulsation
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor

Patent Citations (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4134425A (en) * 1976-03-12 1979-01-16 Siemens Aktiengesellschaft Device for distributing flowing media over a flow cross section
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
US4991540A (en) * 1987-06-30 1991-02-12 Aixtron Gmbh Quartz-glass reactor for MOCVD systems
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5453305A (en) * 1991-12-13 1995-09-26 International Business Machines Corporation Plasma reactor for processing substrates
US5370738A (en) * 1992-03-06 1994-12-06 Pioneer Electronic Corporation Compound semiconductor vapor phase epitaxial device
US5292370A (en) * 1992-08-14 1994-03-08 Martin Marietta Energy Systems, Inc. Coupled microwave ECR and radio-frequency plasma source for plasma processing
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5831431A (en) * 1994-01-31 1998-11-03 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Miniaturized coil arrangement made by planar technology, for the detection of ferromagnetic materials
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5724015A (en) * 1995-06-01 1998-03-03 California Institute Of Technology Bulk micromachined inductive transducers on silicon
US5767628A (en) * 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US5904780A (en) * 1996-05-02 1999-05-18 Tokyo Electron Limited Plasma processing apparatus
US6156151A (en) * 1996-07-19 2000-12-05 Tokyo Electron Limited Plasma processing apparatus
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5942855A (en) * 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6368987B1 (en) * 1997-09-30 2002-04-09 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6263831B1 (en) * 1998-02-17 2001-07-24 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixtures
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6136720A (en) * 1998-08-28 2000-10-24 Micron Technology, Inc. Plasma processing tools dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6114252A (en) * 1998-08-28 2000-09-05 Micron Technology, Inc. Plasma processing tools, dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6184146B1 (en) * 1998-08-28 2001-02-06 Micron Technology, Inc. Plasma producing tools, dual-source plasma etchers, dual-source plasma etching methods, and method of forming planar coil dual-source plasma etchers
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6270571B1 (en) * 1998-11-10 2001-08-07 Canon Kabushiki Kaisha Method for producing narrow wires comprising titanium oxide, and narrow wires and structures produced by the same method
US6113759A (en) * 1998-12-18 2000-09-05 International Business Machines Corporation Anode design for semiconductor deposition having novel electrical contact assembly
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20030089314A1 (en) * 1999-03-18 2003-05-15 Nobuo Matsuki Plasma CVD film-forming device
US6266712B1 (en) * 1999-03-27 2001-07-24 Joseph Reid Henrichs Optical data storage fixed hard disk drive using stationary magneto-optical microhead array chips in place of flying-heads and rotary voice-coil actuators
US6446573B2 (en) * 1999-05-31 2002-09-10 Tadahiro Ohmi Plasma process device
US6306216B1 (en) * 1999-07-15 2001-10-23 Moohan Co., Ltd. Apparatus for deposition of thin films on wafers through atomic layer epitaxial process
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020164421A1 (en) * 2000-12-06 2002-11-07 Chiang Tony P. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076490A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Variable gas conductance control for a process chamber
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020164423A1 (en) * 2001-03-19 2002-11-07 Chiang Tony P. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20030015137A1 (en) * 2001-06-18 2003-01-23 Japan Pionics Co., Ltd. Chemical vapor deposition apparatus and chemical vapor deposition method
US20030010452A1 (en) * 2001-07-16 2003-01-16 Jong-Chul Park Shower head of a wafer treatment apparatus having a gap controller

Cited By (469)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034664A1 (en) * 2001-11-08 2005-02-17 Koh Won Yong Apparatus for depositing
US20060096531A1 (en) * 2002-06-10 2006-05-11 Tokyo Electron Limited Processing device and processing method
US20060223315A1 (en) * 2005-04-05 2006-10-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US8497193B2 (en) 2005-04-05 2013-07-30 Applied Materials, Inc. Method of thermally treating silicon with oxygen
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20080248200A1 (en) * 2005-06-02 2008-10-09 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US7432513B2 (en) * 2005-10-21 2008-10-07 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
US20070090301A1 (en) * 2005-10-21 2007-04-26 Asml Netherlands B.V. Gas shower, lithographic apparatus and use of a gas shower
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20090117271A1 (en) * 2006-04-18 2009-05-07 Ulvac, Inc. Film forming apparatus and a barrier film producing method
US20080075858A1 (en) * 2006-09-22 2008-03-27 Asm Genitech Korea Ltd. Ald apparatus and method for depositing multiple layers using the same
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20100322604A1 (en) * 2006-10-10 2010-12-23 Kyle Fondurulia Precursor delivery system
US20080131979A1 (en) * 2006-12-04 2008-06-05 Sumitomo Electric Industries, Ltd. Vapor-Phase Growth System and Vapor-Phase Growth Method
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20090136665A1 (en) * 2007-11-27 2009-05-28 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8545940B2 (en) 2007-11-27 2013-10-01 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20090217871A1 (en) * 2008-02-28 2009-09-03 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100307415A1 (en) * 2009-04-06 2010-12-09 Eric Shero Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110070380A1 (en) * 2009-08-14 2011-03-24 Eric Shero Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
KR102166199B1 (en) 2013-07-22 2020-10-16 에이에스엠 아이피 홀딩 비.브이. Semiconductor reaction chamber with plasma capabilities
CN104332427A (en) * 2013-07-22 2015-02-04 Asmip控股有限公司 Semiconductor reaction chamber with plasma capabilities
KR20150011317A (en) * 2013-07-22 2015-01-30 에이에스엠 아이피 홀딩 비.브이. Semiconductor reaction chamber with plasma capabilities
TWI626700B (en) * 2013-07-22 2018-06-11 Asm智慧財產控股公司 Semiconductor reaction chamber with plasma capabilities
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
EP3478872A4 (en) * 2016-06-30 2020-03-11 Beneq OY Method of coating a substrate and an apparatus
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
EP3697943A4 (en) * 2017-10-18 2021-01-13 Beneq OY Nozzle head
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11111579B2 (en) * 2018-05-10 2021-09-07 Samsung Electronics Co., Ltd. Deposition equipment and method of fabricating semiconductor device using the same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11306393B2 (en) 2018-07-31 2022-04-19 Applied Materials, Inc. Methods and apparatus for ALD processes
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
KR20040063893A (en) 2004-07-14
US6820570B2 (en) 2004-11-23
KR100943695B1 (en) 2010-02-22
WO2003016587A1 (en) 2003-02-27
TW578212B (en) 2004-03-01
JP2004538374A (en) 2004-12-24
US20030075273A1 (en) 2003-04-24

Similar Documents

Publication Publication Date Title
US6820570B2 (en) Atomic layer deposition reactor
US20130263783A1 (en) Atomic layer deposition reactor
TWI597378B (en) Method of depositing metals using high frequency plasma
KR100979575B1 (en) Ald apparatus and method
US6720260B1 (en) Sequential electron induced chemical vapor deposition
US6689220B1 (en) Plasma enhanced pulsed layer deposition
US7153542B2 (en) Assembly line processing method
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US6921555B2 (en) Method and system for sequential processing in a two-compartment chamber
US20070215036A1 (en) Method and apparatus of time and space co-divided atomic layer deposition
US20140023794A1 (en) Method And Apparatus For Low Temperature ALD Deposition
JP6942188B2 (en) Methods and Equipment for Low Temperature Silicon Nitride Membranes
US20110212625A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20040058293A1 (en) Assembly line processing system
US20060046412A1 (en) Method and system for sequential processing in a two-compartment chamber
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
WO2006104863A2 (en) A plasma enhanced atomic layer deposition system
WO2006104864A2 (en) A plasma enhanced atomic layer deposition system
JP2014515790A (en) Hot wire atomic layer deposition apparatus and method of use
US11725278B2 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
EP1733069A1 (en) Method and apparatus for forming a metal layer
US20070186857A1 (en) Plasma processing apparatus and method of using the same
KR100721504B1 (en) Plasma enhanced atomic layer deposition equipment and method of forming a thin film using the same
US6858085B1 (en) Two-compartment chamber for sequential processing
US20230395372A1 (en) Method and system for forming patterned structures using multiple patterning process

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION