US20050067620A1 - Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers - Google Patents

Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers Download PDF

Info

Publication number
US20050067620A1
US20050067620A1 US10/914,433 US91443304A US2005067620A1 US 20050067620 A1 US20050067620 A1 US 20050067620A1 US 91443304 A US91443304 A US 91443304A US 2005067620 A1 US2005067620 A1 US 2005067620A1
Authority
US
United States
Prior art keywords
semiconductor device
integrated circuit
layer
soi
interconnect structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/914,433
Inventor
Victor Chan
Kathryn Guarini
Meikei Ieong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/914,433 priority Critical patent/US20050067620A1/en
Publication of US20050067620A1 publication Critical patent/US20050067620A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to complementary metal oxide semiconductor (CMOS) integrated circuits, and more particularly to three-dimensional CMOS integrated circuits having semiconductor device layers that are built on different crystal oriented wafers.
  • CMOS complementary metal oxide semiconductor
  • CMOS devices such as nFETs or pFETs
  • semiconductor wafers such as Si
  • Si semiconductor wafers
  • most of today's semiconductor devices are built upon Si having a (100) crystal orientation.
  • Electrons are known to have a high mobility for a (100) Si surface orientation, but holes are known to have high mobility for a (110) surface orientation. That is, hole mobility values on (100) Si are roughly 2 ⁇ -4 ⁇ lower than the corresponding electron hole mobility for this crystallographic orientation.
  • pFETs are typically designed with larger widths in order to balance pull-up currents against the nFET pull-down currents and achieve uniform circuit switching pFETs having larger widths are undesirable since they take up a significant amount of chip area.
  • the (110) Si surface is optimal for pFET devices because of excellent hole mobility, yet such a crystal orientation is completely inappropriate for nFET devices.
  • the (100) Si surface is optimal for nFET devices since that crystal orientation favors electron mobility.
  • the lowest device layer is fabricated on a bulk substrate or a silicon-on-insulator (SOI) substrate and then a second device layer is formed.
  • the second device layer can be formed by epitaxial Si growth.
  • Such a method is described, for example, in S. Pae, et al., “Multiple layers of silicon-on-insulator island fabrication by selective epitaxial growth,” IEEE Elec. Dev. Lett 20:196-196 (1999).
  • Another prior art technique to form the second device layer is by recrystallization of an amorphous Si layer. This approach is described, for example, in V. Subramanian, et al.
  • Circuits fabricated in this manner suffer from two main drawbacks: (1) the recrystallized top layer often has poor electrical properties and it may result in lower device and circuit performance; it is also difficult to control the surface orientation of the recrystallized layer; (2) the thermal cycling from the top layer formation and sequential device fabrication degrades underlying device performance.
  • 3D integrated circuits are achieved by wafer bonding.
  • the 3D integration scheme using wafer bonding is disclosed, for example, in R. J. Gutmann, et al. “Three dimensional (3D) ICs: A technology platform for integrated systems and opportunities for new polmeric adhesives” Proc IEEE Int'l Conf on Polymers and Adhesives in Microelectronics and Photonics, Germany, 173-180 (2001); R. Reif, et al. “Fabrication technologies for three dimensional integrated circuits” Proc IEEE Int'l Symposium on Quality Electronic Design 33-37 (2002); and A. W. Topol, et al. A demonstration of wafer level layer transfer of high performance devices and circuits for three-dimensional integrated circuit fabrication” Proc. AVS ICMI, 5-7 (2003).
  • the present invention provides a three-dimensional (3D) integration scheme of fabricating a 3D integrated circuit in which the pFETs are located on a (110) crystallographic surface and the nFETs are located on a (100) crystallographic surface.
  • 3D integrated circuit can be defined as an IC that contains multiple layers of active devices with vertical interconnections between the layers. In a 3D IC, each transistor can access a greater number of nearest neighbors than in a conventional two-dimensional (2D) circuit, such that each transistor or functional block will have a higher bandwidth.
  • 3D integration is increased packing density; by adding a third dimension to the conventional 2D layout, the transistor packing density can be improved thereby allowing a reduced chip footprint. This is particularly appealing for wireless or portable electronics.
  • Another advantage of 3D integration is that the total interconnect lengths are shorten. This provides shorter interconnect delays, less noise and improved electro-migration reliability.
  • a further benefit of 3D integration is that the overall chip performance at a given power consumption can be substantially improved over a conventional 2D IC.
  • first semiconductor devices are pre-built on a semiconductor surface of a first silicon-on-insulator (SOI) substrate that is optimal for the first semiconductor devices and second semiconductor devices, which are different from the first semiconductor devices, are pre-built on a semiconductor surface of a second SOI substrate that is optimal for the second semiconductor devices.
  • SOI silicon-on-insulator
  • the first 3D integration scheme of the present invention comprises the steps of:
  • a first interconnect structure comprising at least a first semiconductor device located on a surface of a first Si-containing layer of a first silicon-on-insulator substrate, said first Si-containing layer having a first surface orientation that is optimal for said first semiconductor device;
  • a second interconnect structure comprising at least a second semiconductor device that differs from the first semiconductor device on a surface of a second Si-containing layer of a second silicon-on-insulator substrate, said second Si-containing layer having a second surface orientation that is optimal for said second semiconductor device;
  • vertical interconnects are provided between the first and second semiconductor devices.
  • the present invention also provides a second 3D integration scheme.
  • the second 3D integration scheme of the present invention comprises:
  • the first semiconductor device may be a pFET and the first crystallographic orientation may be (110), while the second semiconductor device may be an nFET and the second crystallographic orientation may be (100). It is also possible in the present invention, that the first semiconductor device is an nFET and the first crystallographic orientation may be (100) and that the second semiconductor device is a pFET and the second crystallographic orientation may be (110).
  • the present invention also provides a three dimensional (3D) integrated circuit that includes:
  • a first interconnect structure comprising at least a first semiconductor device located on a surface of a first Si-containing layer of a first silicon-on-insulator substrate, said first Si-containing layer having a first surface orientation that is optimal for said first semiconductor device;
  • a second interconnect structure comprising at least a second semiconductor device that differs from the first semiconductor device located on a surface of a second Si-containing layer of a second silicon-on-insulator substrate, said second Si-containing layer having a second surface orientation that is optimal for said second semiconductor device;
  • FIGS. 1A-1C are pictorial representations (through cross sectional views) illustrating a 3D integration scheme of the present invention.
  • FIGS. 2A-2C are pictorial representations (through cross sectional views) illustrating an alternative 3D integration scheme of the present invention.
  • the terms “silicon-on-insulator” or “SOI” wafer are used to define a semiconductor structure in which a buried insulating layer, such as a buried oxide layer, separates a top Si-containing layer (also referred to as the SOI layer or the device layer) from a bottom Si-containing substrate layer.
  • a buried insulating layer such as a buried oxide layer
  • Si-containing is used in the present invention to denote a semiconductor material that includes silicon.
  • Illustrative examples of such Si-containing materials include, but are not limited to: Si, SiGe, SiC, SiGeC, Si/Si, Si/SiGe, Si/SiC and Si/SiGeC.
  • the buried insulating layer may be continuous or it may be non-continuous, i.e., a patterned buried insulating region.
  • the non-continuous buried insulating regions are discrete and isolated regions or islands that are surrounded on all sides by a Si-containing material.
  • the SOI substrates that are employed in the present invention are made using techniques well known to those skilled in the art.
  • the SOI substrates can be made by wafer bonding and cutting.
  • the SOI substrates can be made by a process known as SIMOX (separation by ion implantation of oxygen).
  • SIMOX separation by ion implantation of oxygen
  • oxygen ions are implanted into a surface of a Si-containing substrate and then the substrate containing the implanted oxygen ions is annealed such that a buried oxide layer forms.
  • the SOI substrate can be made by forming an insulating film atop a Si-containing substrate by deposition or thermal means, optionally patterning the insulating layer; and then forming a top Si-containing layer overlying the insulating film.
  • the buried insulating layer of each SOI substrate employed in the present invention typically has a thickness from about 10 to about 1000 nm, with a thickness of about 100 to about 200 nm being more typical.
  • the thickness of the top Si-containing layer of each SOI substrate employed in the present invention is typically from about 20 to about 200 nm, with a thickness from about 50 to about 100 nm being more typical.
  • the thickness of the bottom Si-containing substrate layer of each SOI substrate employed in the present invention is inconsequential to the present invention.
  • each SOI substrate may have various crystallographic surface orientations.
  • the SOI substrates may have a SOI layer that comprises a (100) crystal orientation, or a (110) crystal orientation.
  • each SOI substrate employed contains an SOI layer that has a different crystal orientation.
  • the present invention contemplates the use of a first SOI substrate having a first SOI layer of a first crystallographic orientation and a second SOI substrate having a second SOI layer of a second crystallographic orientation, wherein the first crystallographic orientation is different from the second crystallographic orientation.
  • each SOI layer will contain at least one semiconductor device such as an nFET or pFET, with the proviso that the at least one semiconductor device is located on a crystallographic surface that provides optimal device performance.
  • the at least one semiconductor device is a pFET
  • the pFET would be located atop a (110) crystal oriented SOI layer.
  • the at least one semiconductor device is an nFET
  • the nFET is located atop a (100) crystal oriented SOI layer.
  • the at least one semiconductor device is fabricated using conventional CMOS processing steps well known to those skilled in the art.
  • the FETs are formed by providing a gate dielectric layer on a surface of an SOI layer, forming a patterned gate conductor atop the gate dielectric, said patterned gate conductor including an optional patterned hardmask located thereon, implanting dopants into the SOI layer and optionally the gate conductor and forming sidewall spacers on vertical sidewalls of the patterned gate conductor.
  • Trench isolation regions may be formed in each SOI substrate which extend partially, or entirely through the SOI layer stopping on a surface of the buried insulating layer.
  • At least one interconnect level including an interconnect dielectric having conductive lines and vias is formed on the SOI structure utilizing a conventional back-end-of-the-line (BEOL) processing scheme.
  • BEOL processing includes deposition of the dielectric, patterning the deposited dielectric by lithography and etching and filling the patterned regions with a metal conductor.
  • a single damascene or dual damascene technique, both of which are well known to those skilled in the art, may be used.
  • FIGS. 1A-1C illustrate a first 3D integration scheme of the present invention.
  • first semiconductor devices are pre-built on a semiconductor surface of a first silicon-on-insulator (SOI) substrate that is optimal for the first semiconductor devices and second semiconductor devices, which are different from the first semiconductor devices, are pre-built on a semiconductor surface of a second SOI substrate that is optimal for the second semiconductor devices.
  • SOI silicon-on-insulator
  • FIG. 1A shows an initial step of the first 3D integration scheme of the present invention in which a handling wafer 80 is attached to a first interconnect structure 10 .
  • the first interconnect structure 10 includes at least a first semiconductor device 20 located on a surface of a first Si-containing layer 18 of a first silicon on-insulator (SOI) substrate 12 .
  • the first Si-containing layer 18 has a first surface orientation that is optimal for the first semiconductor device 20 .
  • the first semiconductor device 20 is an nFET and the first Si-containing layer 18 has a (100) crystal orientation. In another embodiment, the first semiconductor device 20 is a pFET and the first Si-containing layer 18 has a (110) crystal orientation. The first semiconductor device 20 is fabricated as described above.
  • the first interconnect structure 10 also includes at least one dielectric 22 that has conductive wiring, i.e., lines and vias, located therein.
  • the conductive wiring is designed by reference numeral 24 in FIG. 1A .
  • the handling wafer 80 comprises a bulk semiconductor substrate, another SOI substrate or glass which is attached to a surface of the first interconnect structure 10 via a polymeric adhesive.
  • the initial structure includes polymeric adhesive layer 28 between the first interconnect structure 10 and the handling wafer 80 .
  • Illustrative types of polymeric adhesives that can be employed in the present invention may be conductive or non-conductive materials.
  • a preferred polymeric adhesive employed in the present invention is a non-conductive material.
  • the polymeric adhesive is typically applied to the uppermost surface of the first interconnect structure 10 and attachment is achieved by bringing the handling wafer 80 into intimate contact with the first interconnect structure 10 .
  • the attachment may be facilitated by applying an external force to the two structures or by heating the structures to a temperature that is slightly above the melting point of the polymeric adhesive.
  • the arrow labeled as 30 indicates the direction in which contact occurs.
  • the bottom Si-containing substrate designated by reference numeral 14 of the first SOI substrate 12 is removed by a planarization process such as, for example, chemical mechanical polishing (CMP), grinding and/or etching.
  • CMP chemical mechanical polishing
  • the planarization process stops once a surface of the buried insulating layer, designated by reference numeral 16 is reached.
  • the arrows labeled as 32 show this thinning step of the present invention.
  • a second interconnect structure 50 comprising at least a second semiconductor device 60 located on a surface of a second Si-containing layer 58 of a second silicon on-insulator substrate 52 is provided and brought into contact with the structure shown in FIG. 1A .
  • FIG. 1B illustrates this step of the present invention.
  • the second Si-containing layer 58 has a second surface orientation that is optimal for the second semiconductor device.
  • the second pre-fabricated SOI substrate 52 also includes a bottom Si-containing layer 54 and a buried insulating layer 56 .
  • the second interconnect structure also includes interconnect dielectric 62 and wiring regions 64 .
  • the second semiconductor device 60 is a pFET that is located on the second Si-containing layer 58 which has a (110) crystal orientation. In another embodiment, and when the first semiconductor device 20 is a pFET, the second semiconductor device 60 is an nFET that is located on the second Si-containing layer 58 that has a (100) crystal orientation. It should be noted that the first semiconductor device 20 is different from the second semiconductor device 60 and that the crystallographic orientation of the first Si-containing layer 18 is different from the crystallographic orientation of the second Si-containing layer 58 .
  • the first and second interconnect structure ( 10 and 50 , respectively) are then bonded to each other.
  • bonding of the two interconnect structure is achieved by first bringing the two structures into intimate contact with other; optionally applying an external force to the contacted wafers; and then heating the two contacted structures under conditions that are capable of bonding the two structures together.
  • the heating step may be performed in the presence or absence of an external force.
  • the arrow designated by reference numeral 70 denotes the direction of the contacting.
  • the heating step is typically performed in an inert ambient at a temperature of from about 200° to about 1050° C. for a time period of from about 2 to about 20 hours. More preferably, the bonding is performed at a temperature of from about 200° to about 400° C. for a time period of from about 2 to about 20 hours.
  • inert ambient is used in the present invention to denote an atmosphere in which an inert gas, such as He, Ar, N 2 , Xe, Kr or a mixture thereof, is employed.
  • a preferred ambient used during the bonding process is N 2 .
  • FIG. 1C shows the structure after the handling wafer 80 and the adhesive layer 28 are removed from the bonded structures.
  • the handling wafer 80 and the adhesive layer 28 may be removed utilizing one of the planarization process mentioned above. That is, grinding, chemical mechanical polishing and/or etching may be used to remove the handling wafer and the adhesive layer from the bonded structures. Alternatively, the handling wafer 80 is removed by laser ablation, and the adhesive layer 28 is removed by a chemical etching process.
  • FIG. 1C also shows the presence of optional vertical interconnects 75 that are formed by lithography, etching and deposition of a conductive metal. Despite being optional, the vertical interconnects 75 are preferred in some embodiments of the present invention wherein a direct connection between the two semiconductor devices is required.
  • the 3D integrated circuit shown in FIG. 1C includes semiconductor devices 20 and 60 such as nFETs and pFETs that are built upon a SOI layer ( 18 or 58 ) of a specific crystallographic orientation that is optimized for each device.
  • the 3D integrated circuit includes nFETs on a (100) SOI layer and pFETs on a (110) SOI layer.
  • the present invention also contemplates a second 3D integration scheme.
  • the second 3D integration scheme will now be described in greater detail by referring to FIGS. 2A-2C .
  • a blanket SOI wafer 90 is stacked on a pre-fabricated device wafer 120 each wafer having an SOI layer with a different crystallographic orientation. The two wafers are then bonded and the blanket SOI wafer is subjected to further fabrication processes to make active devices and interconnects.
  • FIG. 2A illustrates an initial structure including a pre-fabricating wafer 120 having second semiconductor devices 130 on a second SOI layer 128 .
  • Layer 124 represents a bottom Si-containing layer and layer 126 represents a buried insulating layer.
  • the pre-fabricating wafer 120 may also include an interconnect region including dielectric 132 and conductive wiring 134 located therein.
  • a blanket SOI wafer 90 having a first SOI layer 96 that has a different crystrallographic orientation than that of the second SOI layer 128 is stacked atop the structure shown in FIG. 2A such that the bottom Si-containing layer 92 of the blanket SOI wafer 90 becomes the upper most layer of the stacked structure.
  • the stacked structures are then bonded as described above providing the structure shown in FIG. 2B .
  • Reference numeral 94 denotes the buried insulating layer of the blanket SOI wafer 90 .
  • the bottom Si-containing layer 92 and the buried insulating layer 94 of the blanket SOI wafer 90 are then removed utilizing grinding, chemical mechanical polishing and/or etching to expose the first SOI layer 96 of the blanket SOI wafer 90 .
  • First semiconductor devices 118 such as nFET or pFET are then fabricatED on the first SOI layer 96 utilizing the techniques described above.
  • Back-end-of the-line processing can be used to form an interconnect structure 150 atop the now fabricated blanket SOI wafer and the above-mentioned processing can be employed in forming the vertical interconnects 75 .
  • the resulting structure is shown in FIG. 2C .

Abstract

Three-dimensional (3D) integration schemes of fabricating a 3D integrated circuit in which the pFETs are located on an optimal crystallographic surface for that device and the nFETs are located on a optimal crystallographic surface for that type of device are provided. In accordance with a first 3D integration scheme of the present invention, first semiconductor devices are pre-built on a semiconductor surface of a first silicon-on-insulator (SOI) substrate and second semiconductor devices are pre-built on a semiconductor surface of a second SOI substrate. After pre-building those two structures, the structure are bonded together and interconnect through wafer-via through vias. In a second 3D integration scheme, a blanket silicon-on-insulator (SOI) substrate having a first SOI layer of a first crystallographic orientation is bonded to a surface of a pre-fabricating wafer having second semiconductor devices on a second SOI layer that has a different crystallographic orientation than the first SOI layer; and forming first semiconductor device on the first SOI layer.

Description

    FIELD OF THE INVENTION
  • The present invention relates to complementary metal oxide semiconductor (CMOS) integrated circuits, and more particularly to three-dimensional CMOS integrated circuits having semiconductor device layers that are built on different crystal oriented wafers.
  • BACKGROUND OF THE INVENTION
  • In present semiconductor technology, CMOS devices, such as nFETs or pFETs, are typically fabricated upon semiconductor wafers, such as Si, that have a single crystal orientation. In particular, most of today's semiconductor devices are built upon Si having a (100) crystal orientation.
  • Electrons are known to have a high mobility for a (100) Si surface orientation, but holes are known to have high mobility for a (110) surface orientation. That is, hole mobility values on (100) Si are roughly 2×-4× lower than the corresponding electron hole mobility for this crystallographic orientation. To compensate for this discrepancy, pFETs are typically designed with larger widths in order to balance pull-up currents against the nFET pull-down currents and achieve uniform circuit switching pFETs having larger widths are undesirable since they take up a significant amount of chip area.
  • On the other hand, hole mobilities on (110) Si are 2× higher than on (100) Si; therefore, pFETs formed on a (110) surface will exhibit significantly higher drive currents than pFETs formed on a (100) surface. Unfortunately, electron mobilities on (110) Si surfaces are significantly degraded compared to (100) Si surfaces.
  • As can be deduced from the above discussion, the (110) Si surface is optimal for pFET devices because of excellent hole mobility, yet such a crystal orientation is completely inappropriate for nFET devices. Instead, the (100) Si surface is optimal for nFET devices since that crystal orientation favors electron mobility.
  • It is becoming more difficult to achieve substantial integrated circuit (IC) performance enhancement by traditional CMOS device and interconnect scaling. New materials introduced into the front-end and back-end of IC fabrication are enabling the continuation of the performance trends, but such innovations may provide only a one-time or a short-lived boost, and fundamental physical limits may soon be reached.
  • There are several prior art techniques that are currently employed in fabricating 3D integrated circuits. In one prior art technique, the lowest device layer is fabricated on a bulk substrate or a silicon-on-insulator (SOI) substrate and then a second device layer is formed. The second device layer can be formed by epitaxial Si growth. Such a method is described, for example, in S. Pae, et al., “Multiple layers of silicon-on-insulator island fabrication by selective epitaxial growth,” IEEE Elec. Dev. Lett 20:196-196 (1999). Another prior art technique to form the second device layer is by recrystallization of an amorphous Si layer. This approach is described, for example, in V. Subramanian, et al. “High performance Germanium seeded laterally crystallized TFTs for vertical device integration”, IEEE Trans. Electron Devices 45, 1934-1939 (1998); T. Kunio, et al. “Three dimensional IC's having four stacked active device layers”, IEDM Tech Dig 837-840 (1989); and V. W. C. Chan, et al. “Three-dimensional CMOS SOI integrated circuit using high-temperature metal-induced lateral crystallization”, IEEE Trans Elec Dev 48:1394-1399 (2001).
  • Subsequent processes can then be performed in such prior art techniques to fabricate additional active devices and interconnecting wiring. Circuits fabricated in this manner suffer from two main drawbacks: (1) the recrystallized top layer often has poor electrical properties and it may result in lower device and circuit performance; it is also difficult to control the surface orientation of the recrystallized layer; (2) the thermal cycling from the top layer formation and sequential device fabrication degrades underlying device performance.
  • In some prior art techniques, 3D integrated circuits are achieved by wafer bonding. The 3D integration scheme using wafer bonding is disclosed, for example, in R. J. Gutmann, et al. “Three dimensional (3D) ICs: A technology platform for integrated systems and opportunities for new polmeric adhesives” Proc IEEE Int'l Conf on Polymers and Adhesives in Microelectronics and Photonics, Germany, 173-180 (2001); R. Reif, et al. “Fabrication technologies for three dimensional integrated circuits” Proc IEEE Int'l Symposium on Quality Electronic Design 33-37 (2002); and A. W. Topol, et al. A demonstration of wafer level layer transfer of high performance devices and circuits for three-dimensional integrated circuit fabrication” Proc. AVS ICMI, 5-7 (2003).
  • Despite these current advances using 3D integration, there is no prior art that fabricates 3D integrated circuits having nFETs and pFETs which are built on different surface orientations. Hence, there is a need for providing a new and improved 3D integration scheme that allows for each type of device present on a semiconductor chip or wafer to be formed upon a crystallographic surface orientation that provides optimal performance for each specific device. For example, there is a need for providing a 3D integration scheme wherein all nFETs are built on a (100) crystallographic surface and all pFETs are built on a (110) crystallographic surface.
  • SUMMARY OF THE INVENTION
  • The present invention provides a three-dimensional (3D) integration scheme of fabricating a 3D integrated circuit in which the pFETs are located on a (110) crystallographic surface and the nFETs are located on a (100) crystallographic surface. The term “3D integrated circuit” can be defined as an IC that contains multiple layers of active devices with vertical interconnections between the layers. In a 3D IC, each transistor can access a greater number of nearest neighbors than in a conventional two-dimensional (2D) circuit, such that each transistor or functional block will have a higher bandwidth.
  • One advantage of 3D integration is increased packing density; by adding a third dimension to the conventional 2D layout, the transistor packing density can be improved thereby allowing a reduced chip footprint. This is particularly appealing for wireless or portable electronics. Another advantage of 3D integration is that the total interconnect lengths are shorten. This provides shorter interconnect delays, less noise and improved electro-migration reliability. A further benefit of 3D integration is that the overall chip performance at a given power consumption can be substantially improved over a conventional 2D IC.
  • In accordance with a first 3D integration scheme of the present invention, first semiconductor devices are pre-built on a semiconductor surface of a first silicon-on-insulator (SOI) substrate that is optimal for the first semiconductor devices and second semiconductor devices, which are different from the first semiconductor devices, are pre-built on a semiconductor surface of a second SOI substrate that is optimal for the second semiconductor devices. After pre-building those two structures, the structures are bonded together and interconnected through wafer-via through vias.
  • In broad terms, the first 3D integration scheme of the present invention comprises the steps of:
  • providing a first interconnect structure comprising at least a first semiconductor device located on a surface of a first Si-containing layer of a first silicon-on-insulator substrate, said first Si-containing layer having a first surface orientation that is optimal for said first semiconductor device;
  • attaching a handling wafer to a surface of the first interconnect structure;
  • providing a second interconnect structure comprising at least a second semiconductor device that differs from the first semiconductor device on a surface of a second Si-containing layer of a second silicon-on-insulator substrate, said second Si-containing layer having a second surface orientation that is optimal for said second semiconductor device;
  • bonding the first and second interconnect structures to each other; and
  • removing the handling wafer.
  • In some embodiments of the present 3D integration scheme, vertical interconnects are provided between the first and second semiconductor devices.
  • In addition to the first 3D integration scheme mentioned above, the present invention also provides a second 3D integration scheme. The second 3D integration scheme of the present invention comprises:
  • bonding a blanket silicon-on-insulator (SOI) substrate having a first SOI layer of a first crystallographic orientation to a surface of a pre-fabricating wafer having at least one second semiconductor device on a second SOI layer that has a different crystallographic orientation than the first SOI layer; and
  • forming at least one first semiconductor device in said first SOI layer.
  • In accordance with the present invention, the first semiconductor device may be a pFET and the first crystallographic orientation may be (110), while the second semiconductor device may be an nFET and the second crystallographic orientation may be (100). It is also possible in the present invention, that the first semiconductor device is an nFET and the first crystallographic orientation may be (100) and that the second semiconductor device is a pFET and the second crystallographic orientation may be (110).
  • The present invention also provides a three dimensional (3D) integrated circuit that includes:
  • a first interconnect structure comprising at least a first semiconductor device located on a surface of a first Si-containing layer of a first silicon-on-insulator substrate, said first Si-containing layer having a first surface orientation that is optimal for said first semiconductor device;
  • a second interconnect structure comprising at least a second semiconductor device that differs from the first semiconductor device located on a surface of a second Si-containing layer of a second silicon-on-insulator substrate, said second Si-containing layer having a second surface orientation that is optimal for said second semiconductor device; and
  • vertical interconnects connecting the first interconnect structure to the second interconnect structure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1C are pictorial representations (through cross sectional views) illustrating a 3D integration scheme of the present invention.
  • FIGS. 2A-2C are pictorial representations (through cross sectional views) illustrating an alternative 3D integration scheme of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention, which provides 3D integration schemes for forming a 3D CMOS integrated circuit having device layers built on different crystal oriented SOI wafers, will now be described in greater detail by referring to the drawings that accompany the present invention. In the accompanying drawings, like and/or corresponding elements are referred to by like reference numerals.
  • In the present invention, the terms “silicon-on-insulator” or “SOI” wafer (the term ‘substrate’ can interchangeable used with the term ‘wafer’) are used to define a semiconductor structure in which a buried insulating layer, such as a buried oxide layer, separates a top Si-containing layer (also referred to as the SOI layer or the device layer) from a bottom Si-containing substrate layer. The term “Si-containing” is used in the present invention to denote a semiconductor material that includes silicon. Illustrative examples of such Si-containing materials include, but are not limited to: Si, SiGe, SiC, SiGeC, Si/Si, Si/SiGe, Si/SiC and Si/SiGeC. The buried insulating layer may be continuous or it may be non-continuous, i.e., a patterned buried insulating region. The non-continuous buried insulating regions are discrete and isolated regions or islands that are surrounded on all sides by a Si-containing material.
  • The SOI substrates that are employed in the present invention are made using techniques well known to those skilled in the art. For example, the SOI substrates can be made by wafer bonding and cutting. Alternatively, the SOI substrates can be made by a process known as SIMOX (separation by ion implantation of oxygen). In a typical SIMOX process, oxygen ions are implanted into a surface of a Si-containing substrate and then the substrate containing the implanted oxygen ions is annealed such that a buried oxide layer forms. In yet a further method, the SOI substrate can be made by forming an insulating film atop a Si-containing substrate by deposition or thermal means, optionally patterning the insulating layer; and then forming a top Si-containing layer overlying the insulating film.
  • Notwithstanding which technique is employed, the buried insulating layer of each SOI substrate employed in the present invention typically has a thickness from about 10 to about 1000 nm, with a thickness of about 100 to about 200 nm being more typical. The thickness of the top Si-containing layer of each SOI substrate employed in the present invention is typically from about 20 to about 200 nm, with a thickness from about 50 to about 100 nm being more typical. The thickness of the bottom Si-containing substrate layer of each SOI substrate employed in the present invention is inconsequential to the present invention.
  • The SOI layers of each SOI substrate may have various crystallographic surface orientations. For example, the SOI substrates may have a SOI layer that comprises a (100) crystal orientation, or a (110) crystal orientation. In accordance with the present invention, each SOI substrate employed contains an SOI layer that has a different crystal orientation. Thus, the present invention contemplates the use of a first SOI substrate having a first SOI layer of a first crystallographic orientation and a second SOI substrate having a second SOI layer of a second crystallographic orientation, wherein the first crystallographic orientation is different from the second crystallographic orientation.
  • In accordance with the present invention, each SOI layer will contain at least one semiconductor device such as an nFET or pFET, with the proviso that the at least one semiconductor device is located on a crystallographic surface that provides optimal device performance. Thus, for example, if the at least one semiconductor device, is a pFET, the pFET would be located atop a (110) crystal oriented SOI layer. When the at least one semiconductor device is an nFET, the nFET is located atop a (100) crystal oriented SOI layer.
  • The at least one semiconductor device is fabricated using conventional CMOS processing steps well known to those skilled in the art. For example, the FETs are formed by providing a gate dielectric layer on a surface of an SOI layer, forming a patterned gate conductor atop the gate dielectric, said patterned gate conductor including an optional patterned hardmask located thereon, implanting dopants into the SOI layer and optionally the gate conductor and forming sidewall spacers on vertical sidewalls of the patterned gate conductor. Trench isolation regions may be formed in each SOI substrate which extend partially, or entirely through the SOI layer stopping on a surface of the buried insulating layer.
  • After completion of the FETs, at least one interconnect level including an interconnect dielectric having conductive lines and vias is formed on the SOI structure utilizing a conventional back-end-of-the-line (BEOL) processing scheme. The BEOL processing includes deposition of the dielectric, patterning the deposited dielectric by lithography and etching and filling the patterned regions with a metal conductor. A single damascene or dual damascene technique, both of which are well known to those skilled in the art, may be used.
  • The above discussion provides some basics of the present invention including terminology, materials and processes for making initial interconnect structures that can be employed in the present invention. The following description with reference to specific drawings provides details of the 3D integrations schemes that may be employed in the present invention.
  • Reference is first made to FIGS. 1A-1C which illustrate a first 3D integration scheme of the present invention. In accordance with the first 3D integration scheme of the present invention, first semiconductor devices are pre-built on a semiconductor surface of a first silicon-on-insulator (SOI) substrate that is optimal for the first semiconductor devices and second semiconductor devices, which are different from the first semiconductor devices, are pre-built on a semiconductor surface of a second SOI substrate that is optimal for the second semiconductor devices. After pre-building those two structures, the structures are bonded together and interconnected through wafer-via through vias.
  • FIG. 1A shows an initial step of the first 3D integration scheme of the present invention in which a handling wafer 80 is attached to a first interconnect structure 10. The first interconnect structure 10 includes at least a first semiconductor device 20 located on a surface of a first Si-containing layer 18 of a first silicon on-insulator (SOI) substrate 12. In accordance with the present invention, the first Si-containing layer 18 has a first surface orientation that is optimal for the first semiconductor device 20.
  • In one embodiment, the first semiconductor device 20 is an nFET and the first Si-containing layer 18 has a (100) crystal orientation. In another embodiment, the first semiconductor device 20 is a pFET and the first Si-containing layer 18 has a (110) crystal orientation. The first semiconductor device 20 is fabricated as described above.
  • The first interconnect structure 10 also includes at least one dielectric 22 that has conductive wiring, i.e., lines and vias, located therein. The conductive wiring is designed by reference numeral 24 in FIG. 1A.
  • The handling wafer 80 comprises a bulk semiconductor substrate, another SOI substrate or glass which is attached to a surface of the first interconnect structure 10 via a polymeric adhesive. In FIG. 1A, the initial structure includes polymeric adhesive layer 28 between the first interconnect structure 10 and the handling wafer 80.
  • Illustrative types of polymeric adhesives that can be employed in the present invention may be conductive or non-conductive materials. A preferred polymeric adhesive employed in the present invention is a non-conductive material. The polymeric adhesive is typically applied to the uppermost surface of the first interconnect structure 10 and attachment is achieved by bringing the handling wafer 80 into intimate contact with the first interconnect structure 10. The attachment may be facilitated by applying an external force to the two structures or by heating the structures to a temperature that is slightly above the melting point of the polymeric adhesive. In FIG. 1A, the arrow labeled as 30 indicates the direction in which contact occurs.
  • After attachment, the bottom Si-containing substrate designated by reference numeral 14 of the first SOI substrate 12 is removed by a planarization process such as, for example, chemical mechanical polishing (CMP), grinding and/or etching. The planarization process stops once a surface of the buried insulating layer, designated by reference numeral 16 is reached. The arrows labeled as 32 show this thinning step of the present invention.
  • A second interconnect structure 50 comprising at least a second semiconductor device 60 located on a surface of a second Si-containing layer 58 of a second silicon on-insulator substrate 52 is provided and brought into contact with the structure shown in FIG. 1A. FIG. 1B illustrates this step of the present invention. In accordance with the present invention, the second Si-containing layer 58 has a second surface orientation that is optimal for the second semiconductor device. The second pre-fabricated SOI substrate 52 also includes a bottom Si-containing layer 54 and a buried insulating layer 56. The second interconnect structure also includes interconnect dielectric 62 and wiring regions 64.
  • In one embodiment, and when the first semiconductor device 20 is an nFET, the second semiconductor device 60 is a pFET that is located on the second Si-containing layer 58 which has a (110) crystal orientation. In another embodiment, and when the first semiconductor device 20 is a pFET, the second semiconductor device 60 is an nFET that is located on the second Si-containing layer 58 that has a (100) crystal orientation. It should be noted that the first semiconductor device 20 is different from the second semiconductor device 60 and that the crystallographic orientation of the first Si-containing layer 18 is different from the crystallographic orientation of the second Si-containing layer 58.
  • The first and second interconnect structure (10 and 50, respectively) are then bonded to each other. Specifically, bonding of the two interconnect structure is achieved by first bringing the two structures into intimate contact with other; optionally applying an external force to the contacted wafers; and then heating the two contacted structures under conditions that are capable of bonding the two structures together. The heating step may be performed in the presence or absence of an external force. The arrow designated by reference numeral 70 denotes the direction of the contacting.
  • The heating step is typically performed in an inert ambient at a temperature of from about 200° to about 1050° C. for a time period of from about 2 to about 20 hours. More preferably, the bonding is performed at a temperature of from about 200° to about 400° C. for a time period of from about 2 to about 20 hours. The term “inert ambient” is used in the present invention to denote an atmosphere in which an inert gas, such as He, Ar, N2, Xe, Kr or a mixture thereof, is employed. A preferred ambient used during the bonding process is N2.
  • FIG. 1C shows the structure after the handling wafer 80 and the adhesive layer 28 are removed from the bonded structures. The handling wafer 80 and the adhesive layer 28 may be removed utilizing one of the planarization process mentioned above. That is, grinding, chemical mechanical polishing and/or etching may be used to remove the handling wafer and the adhesive layer from the bonded structures. Alternatively, the handling wafer 80 is removed by laser ablation, and the adhesive layer 28 is removed by a chemical etching process. FIG. 1C also shows the presence of optional vertical interconnects 75 that are formed by lithography, etching and deposition of a conductive metal. Despite being optional, the vertical interconnects 75 are preferred in some embodiments of the present invention wherein a direct connection between the two semiconductor devices is required.
  • The 3D integrated circuit shown in FIG. 1C includes semiconductor devices 20 and 60 such as nFETs and pFETs that are built upon a SOI layer (18 or 58) of a specific crystallographic orientation that is optimized for each device. In a preferred embodiment, the 3D integrated circuit includes nFETs on a (100) SOI layer and pFETs on a (110) SOI layer.
  • In addition to the first 3D integration scheme described above, the present invention also contemplates a second 3D integration scheme. The second 3D integration scheme will now be described in greater detail by referring to FIGS. 2A-2C. In the second integration scheme, a blanket SOI wafer 90 is stacked on a pre-fabricated device wafer 120 each wafer having an SOI layer with a different crystallographic orientation. The two wafers are then bonded and the blanket SOI wafer is subjected to further fabrication processes to make active devices and interconnects.
  • FIG. 2A illustrates an initial structure including a pre-fabricating wafer 120 having second semiconductor devices 130 on a second SOI layer 128. Layer 124 represents a bottom Si-containing layer and layer 126 represents a buried insulating layer. The pre-fabricating wafer 120 may also include an interconnect region including dielectric 132 and conductive wiring 134 located therein.
  • Next, a blanket SOI wafer 90 having a first SOI layer 96 that has a different crystrallographic orientation than that of the second SOI layer 128 is stacked atop the structure shown in FIG. 2A such that the bottom Si-containing layer 92 of the blanket SOI wafer 90 becomes the upper most layer of the stacked structure. The stacked structures are then bonded as described above providing the structure shown in FIG. 2B. Reference numeral 94 denotes the buried insulating layer of the blanket SOI wafer 90.
  • The bottom Si-containing layer 92 and the buried insulating layer 94 of the blanket SOI wafer 90 are then removed utilizing grinding, chemical mechanical polishing and/or etching to expose the first SOI layer 96 of the blanket SOI wafer 90.
  • First semiconductor devices 118 such as nFET or pFET are then fabricatED on the first SOI layer 96 utilizing the techniques described above. Back-end-of the-line processing can be used to form an interconnect structure 150 atop the now fabricated blanket SOI wafer and the above-mentioned processing can be employed in forming the vertical interconnects 75. The resulting structure is shown in FIG. 2C.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (15)

1-19. (Cancelled)
20 A three dimensional (3D) integrated circuit comprising:
a first interconnect structure comprising at least a first semiconductor device located on a surface of a first Si-containing layer of a first silicon-on-insulator substrate, said first Si-containing layer having a first surface orientation that is optimal for said first semiconductor device;
a second interconnect structure comprising at least a second semiconductor device that differs from the first semiconductor device located on a surface of a second Si-containing layer of a second silicon-on-insulator substrate, said second Si-containing layer having a second surface orientation that is optimal for said second semiconductor device; and
vertical interconnects connecting the first interconnect structure to the second interconnect structure.
21 The 3D integrated circuit of claim 20 wherein the first semiconductor device is a pFET, the first Si-containing layer has a (110) crystallographic orientation, the second semiconductor device is an nFET, and the second Si-containing layer has a (100) crystallographic orientation.
22 The 3D integrated circuit of claim 20 wherein the first semiconductor device is an nFET, the first Si-containing layer has a (100) crystallographic orientation, the second semiconductor device is a pFET, and the second Si-containing layer has a (110) crystallographic orientation.
23 The 3D integrated circuit of claim 20 wherein said first and second interconnect structure comprise at least a patterned interconnect dielectric having conductive wiring located therein.
24 The 3D integrated circuit of claim 20 wherein said first and second Si-containing layers comprise Si, SiGe, SiC, SiGeC, Si/Si, Si/SiGe, Si/SiGeC or any other semiconductor material that includes silicon.
25 The 3D integrated circuit of claim 20 wherein said first and second silicon-on-insulators each comprise a buried insulating layer beneath said Si-containing layers.
26 The 3D integrated circuit of claim 25 wherein said buried insulating layer is continuous.
27 The 3D integrated circuit of claim 25 wherein said buried insulating layer is non-continuous.
28 The 3D integrated circuit of claim 25 wherein said buried insulating layer has a thickness from about 10 to about 1000 nm.
29 The 3D integrated circuit of claim 28 wherein said thickness is from about 100 to about 200 nm.
30 The 3D integrated circuit of claim 20 wherein said first and second Si-containing layers each have a thickness from about 20 to about 200 nm.
31 The 3D integrated circuit of claim 30 wherein said thickness is from about 50 to about 100 nm.
32 The 3D integrated circuit of claim 20 wherein said first semiconductor device and said second semiconductor device are both field effect transistors.
33 The 3D integrated circuit of claim 20 wherein said first interconnect structure and said second interconnect structure are joined together with a polymeric adhesive.
US10/914,433 2003-09-30 2004-08-09 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers Abandoned US20050067620A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/914,433 US20050067620A1 (en) 2003-09-30 2004-08-09 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/674,644 US6821826B1 (en) 2003-09-30 2003-09-30 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US10/914,433 US20050067620A1 (en) 2003-09-30 2004-08-09 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/674,644 Division US6821826B1 (en) 2003-09-30 2003-09-30 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Publications (1)

Publication Number Publication Date
US20050067620A1 true US20050067620A1 (en) 2005-03-31

Family

ID=33435562

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/674,644 Expired - Lifetime US6821826B1 (en) 2003-09-30 2003-09-30 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US10/914,433 Abandoned US20050067620A1 (en) 2003-09-30 2004-08-09 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/674,644 Expired - Lifetime US6821826B1 (en) 2003-09-30 2003-09-30 Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Country Status (5)

Country Link
US (2) US6821826B1 (en)
JP (1) JP2005109498A (en)
KR (1) KR100915534B1 (en)
CN (1) CN100342523C (en)
TW (1) TWI315098B (en)

Cited By (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060108627A1 (en) * 2004-11-24 2006-05-25 Samsung Electronics Co., Ltd. NAND flash memory devices including multi-layer memory cell transistor structures and methods of fabricating the same
US20060186441A1 (en) * 2002-03-26 2006-08-24 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device, liquid-crystal display device and method for manufacturing same
US20060290001A1 (en) * 2005-06-28 2006-12-28 Micron Technology, Inc. Interconnect vias and associated methods of formation
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
US20080119046A1 (en) * 2006-11-21 2008-05-22 Sparks Terry G Method of making a contact on a backside of a die
US20080288720A1 (en) * 2007-05-18 2008-11-20 International Business Machines Corporation Multi-wafer 3d cam cell
US20080283995A1 (en) * 2007-05-18 2008-11-20 International Business Machines Corporation Compact multi-port cam cell implemented in 3d vertical integration
US20090014799A1 (en) * 2007-07-11 2009-01-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20090294984A1 (en) * 2008-05-28 2009-12-03 International Business Machines Corporation Three-dimensional integrated heterogeneous semiconductor structure
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US20100096759A1 (en) * 2008-10-16 2010-04-22 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7759800B2 (en) 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US20100193964A1 (en) * 2009-02-03 2010-08-05 International Business Machines Corporation method of making 3d integrated circuits and structures formed thereby
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
WO2010117355A1 (en) * 2009-04-06 2010-10-14 Hewlett-Packard Development Company, L.P. Three dimensional multilayer circuit
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US20110049577A1 (en) * 2009-04-14 2011-03-03 NuPGA Corporation System comprising a semiconductor device and structure
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20110084314A1 (en) * 2009-10-12 2011-04-14 NuPGA Corporation System comprising a semiconductor device and structure
US7973411B2 (en) 2006-08-28 2011-07-05 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US20120139050A1 (en) * 2008-07-08 2012-06-07 MCube Inc. Method and structure of monolithically integrated ic-mems oscillator using ic foundry-compatible processes
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US20120223436A1 (en) * 2011-03-06 2012-09-06 Sekar Deepak C Semiconductor device and structure for heat removal
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8322031B2 (en) 2004-08-27 2012-12-04 Micron Technology, Inc. Method of manufacturing an interposer
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
JP2013531878A (en) * 2010-05-20 2013-08-08 インターナショナル・ビジネス・マシーンズ・コーポレーション Graphene channel based device and method of fabrication
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8704286B2 (en) 2008-12-18 2014-04-22 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US8822337B2 (en) 2009-03-05 2014-09-02 International Business Machines Corporation Two-sided semiconductor structure
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US8999835B2 (en) 2008-07-28 2015-04-07 MCube Inc. Method and structure of monolithically integrated ESD supperssion device
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US20150123203A1 (en) * 2013-11-06 2015-05-07 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US9165888B2 (en) 2008-09-11 2015-10-20 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US9443869B2 (en) 2013-11-05 2016-09-13 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9595479B2 (en) 2008-07-08 2017-03-14 MCube Inc. Method and structure of three dimensional CMOS transistors with hybrid crystal orientations
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
EP2599112A4 (en) * 2010-07-30 2017-07-26 MonolithIC 3D S.A. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US10157252B2 (en) 2013-12-20 2018-12-18 Taiwan Semiconductor Manufacturing Company Method and apparatus of a three dimensional integrated circuit
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US20190067110A1 (en) * 2011-06-28 2019-02-28 Monolithic 3D Inc. 3d semiconductor device and system
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11956952B2 (en) 2016-08-22 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
KR100989546B1 (en) * 2008-05-21 2010-10-25 이상윤 Method for fabricating three-dimensional semiconductor device
US7023055B2 (en) * 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US7094634B2 (en) * 2004-06-30 2006-08-22 International Business Machines Corporation Structure and method for manufacturing planar SOI substrate with multiple orientations
US7348658B2 (en) * 2004-08-30 2008-03-25 International Business Machines Corporation Multilayer silicon over insulator device
US7631813B1 (en) 2004-12-17 2009-12-15 The Toro Company Sprinkler assembly
KR100655437B1 (en) 2005-08-09 2006-12-08 삼성전자주식회사 Semiconductor wafer and method of fabricating the same
US20070040235A1 (en) * 2005-08-19 2007-02-22 International Business Machines Corporation Dual trench isolation for CMOS with hybrid orientations
US20070194450A1 (en) * 2006-02-21 2007-08-23 Tyberg Christy S BEOL compatible FET structure
US7589390B2 (en) * 2006-03-10 2009-09-15 Teledyne Technologies, Incorporated Shielded through-via
US7408798B2 (en) * 2006-03-31 2008-08-05 International Business Machines Corporation 3-dimensional integrated circuit architecture, structure and method for fabrication thereof
US7684224B2 (en) * 2006-03-31 2010-03-23 International Business Machines Corporation Structure comprising 3-dimensional integrated circuit architecture, circuit structure, and instructions for fabrication thereof
US8013342B2 (en) 2007-11-14 2011-09-06 International Business Machines Corporation Double-sided integrated circuit chips
US7670927B2 (en) * 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
KR100833250B1 (en) * 2006-12-08 2008-05-28 (주)실리콘화일 Manufacturing method of integrated circuit having multilayer structure and the integreted circuit
JP5016938B2 (en) * 2007-02-06 2012-09-05 セイコーインスツル株式会社 Semiconductor device
US7432174B1 (en) * 2007-03-30 2008-10-07 Advanced Micro Devices, Inc. Methods for fabricating semiconductor substrates with silicon regions having differential crystallographic orientations
KR100886429B1 (en) 2007-05-14 2009-03-02 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR101461206B1 (en) * 2007-05-17 2014-11-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing the same
JP5460984B2 (en) 2007-08-17 2014-04-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP5268305B2 (en) 2007-08-24 2013-08-21 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2009076879A (en) * 2007-08-24 2009-04-09 Semiconductor Energy Lab Co Ltd Semiconductor device
US8232598B2 (en) * 2007-09-20 2012-07-31 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US8044464B2 (en) 2007-09-21 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7982250B2 (en) * 2007-09-21 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7875529B2 (en) * 2007-10-05 2011-01-25 Micron Technology, Inc. Semiconductor devices
JP5394043B2 (en) * 2007-11-19 2014-01-22 株式会社半導体エネルギー研究所 Semiconductor substrate, semiconductor device using the same, and manufacturing method thereof
JP5430846B2 (en) * 2007-12-03 2014-03-05 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP5366517B2 (en) * 2007-12-03 2013-12-11 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US7566632B1 (en) 2008-02-06 2009-07-28 International Business Machines Corporation Lock and key structure for three-dimensional chip connection and process thereof
FR2934926B1 (en) * 2008-08-05 2011-01-21 St Microelectronics Sa MINIATURE IMAGE SENSOR.
JP2010056156A (en) * 2008-08-26 2010-03-11 Renesas Technology Corp Semiconductor device, and manufacturing method thereof
CN101409296B (en) * 2008-11-28 2011-01-05 西安电子科技大学 Three-dimensional strain NMOS integrated device and preparation method thereof
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
TWI405321B (en) * 2009-09-08 2013-08-11 Ind Tech Res Inst 3d multi-wafer stacked semiconductor structure and method for manufacturing the same
US8159247B2 (en) 2009-10-06 2012-04-17 International Business Machines Corporation Yield enhancement for stacked chips through rotationally-connecting-interposer
US9385088B2 (en) * 2009-10-12 2016-07-05 Monolithic 3D Inc. 3D semiconductor device and structure
EP2494595A4 (en) * 2009-10-30 2015-08-26 Semiconductor Energy Lab Semiconductor device
US8247895B2 (en) 2010-01-08 2012-08-21 International Business Machines Corporation 4D device process and structure
US8330262B2 (en) 2010-02-02 2012-12-11 International Business Machines Corporation Processes for enhanced 3D integration and structures generated using the same
US9275888B2 (en) 2010-07-15 2016-03-01 Soitec Temporary substrate, transfer method and production method
FR2962848B1 (en) * 2010-07-15 2014-04-25 Soitec Silicon On Insulator TEMPORARY SUBSTRATE, TRANSFER METHOD, AND MANUFACTURING METHOD
US8557677B2 (en) * 2010-11-10 2013-10-15 Institute of Microelectronics, Chinese Academy of Sciences Stack-type semiconductor device and method for manufacturing the same
US9070686B2 (en) 2011-05-31 2015-06-30 International Business Machines Corporation Wiring switch designs based on a field effect device for reconfigurable interconnect paths
US8624323B2 (en) 2011-05-31 2014-01-07 International Business Machines Corporation BEOL structures incorporating active devices and mechanical strength
US9947688B2 (en) * 2011-06-22 2018-04-17 Psemi Corporation Integrated circuits with components on both sides of a selected substrate and methods of fabrication
CN102623406B (en) * 2012-03-31 2014-09-03 上海华力微电子有限公司 Method for producing two layers of semiconductor devices with half empty structure
CN102610567A (en) * 2012-03-31 2012-07-25 上海华力微电子有限公司 Method for producing double-layer semiconductor device
US8563403B1 (en) 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
CN102738160B (en) * 2012-07-16 2015-08-19 西安电子科技大学 A kind of mixing crystal face SOI BiCMOS integrated device based on hollow raceway groove technique and preparation method
JP5960000B2 (en) * 2012-09-05 2016-08-02 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
US9275911B2 (en) 2012-10-12 2016-03-01 Globalfoundries Inc. Hybrid orientation fin field effect transistor and planar field effect transistor
JP2014170872A (en) * 2013-03-05 2014-09-18 Toyota Industries Corp Semiconductor wafer and semiconductor wafer manufacturing method
US9123546B2 (en) * 2013-11-14 2015-09-01 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor device structures with different channel materials
JP2014090186A (en) * 2013-12-04 2014-05-15 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor device
US9287257B2 (en) 2014-05-30 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
JP6385727B2 (en) * 2014-06-13 2018-09-05 株式会社ディスコ Bonded wafer forming method
WO2015195083A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Silicon die with integrated high voltage devices
US9559013B1 (en) 2015-11-23 2017-01-31 International Business Machines Corporation Stacked nanowire semiconductor device
US9994741B2 (en) 2015-12-13 2018-06-12 International Business Machines Corporation Enhanced adhesive materials and processes for 3D applications
US10290574B2 (en) 2017-01-18 2019-05-14 Globalfoundries Inc. Embedded metal-insulator-metal (MIM) decoupling capacitor in monolitic three-dimensional (3D) integrated circuit (IC) structure
JP2019004007A (en) * 2017-06-14 2019-01-10 富士通株式会社 Semiconductor device and method of manufacturing the same
CN113892047A (en) * 2018-12-10 2022-01-04 洛克利光子有限公司 Optoelectronic component and method for the production thereof

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612083A (en) * 1984-07-20 1986-09-16 Nec Corporation Process of fabricating three-dimensional semiconductor device
US4768076A (en) * 1984-09-14 1988-08-30 Hitachi, Ltd. Recrystallized CMOS with different crystal planes
US5128732A (en) * 1987-05-30 1992-07-07 Kozo Iizuka, Director General, Agency Of Industrial Science & Technology Stacked semiconductor device
US5138437A (en) * 1987-07-27 1992-08-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit device in which integrated circuit units having different functions are stacked in three dimensional manner
US5189500A (en) * 1989-09-22 1993-02-23 Mitsubishi Denki Kabushiki Kaisha Multi-layer type semiconductor device with semiconductor element layers stacked in opposite directions and manufacturing method thereof
US5236118A (en) * 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
US5384473A (en) * 1991-10-01 1995-01-24 Kabushiki Kaisha Toshiba Semiconductor body having element formation surfaces with different orientations
US5698869A (en) * 1994-09-13 1997-12-16 Kabushiki Kaisha Toshiba Insulated-gate transistor having narrow-bandgap-source
US5741733A (en) * 1994-01-14 1998-04-21 Siemens Aktiengesellschaft Method for the production of a three-dimensional circuit arrangement
US6093623A (en) * 1998-08-04 2000-07-25 Micron Technology, Inc. Methods for making silicon-on-insulator structures
US6287940B1 (en) * 1999-08-02 2001-09-11 Honeywell International Inc. Dual wafer attachment process
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US20020093012A1 (en) * 2000-02-21 2002-07-18 Rohm Co., Ltd. Method for manufacturing semiconductor device and ultrathin semiconductor device
US20020142571A1 (en) * 2000-12-11 2002-10-03 Takashi Noguchi Semiconductor device
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6627953B1 (en) * 1990-12-31 2003-09-30 Kopin Corporation High density electronic circuit modules
US6717180B2 (en) * 1991-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US20040065884A1 (en) * 2002-10-03 2004-04-08 Arup Bhattacharyya High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US20040266168A1 (en) * 2001-11-05 2004-12-30 Mitsumasa Koyanagi Semiconductor device comprising low dielectric material film and its production method
US6838774B2 (en) * 2002-04-11 2005-01-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6943067B2 (en) * 2002-01-08 2005-09-13 Advanced Micro Devices, Inc. Three-dimensional integrated semiconductor devices
US20060115944A1 (en) * 2004-11-26 2006-06-01 Kun-Ho Kwak Methods of fabricating a semiconductor device having a node contact structure of a CMOS inverter

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60154549A (en) * 1984-01-24 1985-08-14 Fujitsu Ltd Manufacture of semiconductor device
JPS6418248A (en) * 1987-07-13 1989-01-23 Nec Corp Manufacture of semiconductor device
JPH03285351A (en) * 1990-04-02 1991-12-16 Oki Electric Ind Co Ltd Cmis semiconductor device and manufacture thereof
JPH0645567A (en) * 1992-03-26 1994-02-18 Sony Corp Manufacture of laminated semiconductor device
KR100219522B1 (en) * 1997-01-10 1999-09-01 윤종용 A semiconductor device having single crystal ferroelectric film and fabrication method of the same
KR100305686B1 (en) * 1997-09-29 2001-10-19 신현준 Soi(silicon on insulator) wafer having single crystal line structure
JP4126747B2 (en) * 1998-02-27 2008-07-30 セイコーエプソン株式会社 Manufacturing method of three-dimensional device
JP4085459B2 (en) * 1998-03-02 2008-05-14 セイコーエプソン株式会社 Manufacturing method of three-dimensional device
JP2002134375A (en) * 2000-10-25 2002-05-10 Canon Inc Semiconductor base body and its manufacturing method, and surface shape measurement method of laminated base body
JP2003270664A (en) * 2002-03-14 2003-09-25 Seiko Epson Corp Method of manufacturing electrooptic device

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612083A (en) * 1984-07-20 1986-09-16 Nec Corporation Process of fabricating three-dimensional semiconductor device
US4768076A (en) * 1984-09-14 1988-08-30 Hitachi, Ltd. Recrystallized CMOS with different crystal planes
US5128732A (en) * 1987-05-30 1992-07-07 Kozo Iizuka, Director General, Agency Of Industrial Science & Technology Stacked semiconductor device
US5138437A (en) * 1987-07-27 1992-08-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit device in which integrated circuit units having different functions are stacked in three dimensional manner
US5189500A (en) * 1989-09-22 1993-02-23 Mitsubishi Denki Kabushiki Kaisha Multi-layer type semiconductor device with semiconductor element layers stacked in opposite directions and manufacturing method thereof
US6627953B1 (en) * 1990-12-31 2003-09-30 Kopin Corporation High density electronic circuit modules
US6717180B2 (en) * 1991-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5384473A (en) * 1991-10-01 1995-01-24 Kabushiki Kaisha Toshiba Semiconductor body having element formation surfaces with different orientations
US5236118A (en) * 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
US5741733A (en) * 1994-01-14 1998-04-21 Siemens Aktiengesellschaft Method for the production of a three-dimensional circuit arrangement
US5698869A (en) * 1994-09-13 1997-12-16 Kabushiki Kaisha Toshiba Insulated-gate transistor having narrow-bandgap-source
US6093623A (en) * 1998-08-04 2000-07-25 Micron Technology, Inc. Methods for making silicon-on-insulator structures
US6287940B1 (en) * 1999-08-02 2001-09-11 Honeywell International Inc. Dual wafer attachment process
US20020093012A1 (en) * 2000-02-21 2002-07-18 Rohm Co., Ltd. Method for manufacturing semiconductor device and ultrathin semiconductor device
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US20020142571A1 (en) * 2000-12-11 2002-10-03 Takashi Noguchi Semiconductor device
US20040266168A1 (en) * 2001-11-05 2004-12-30 Mitsumasa Koyanagi Semiconductor device comprising low dielectric material film and its production method
US6943067B2 (en) * 2002-01-08 2005-09-13 Advanced Micro Devices, Inc. Three-dimensional integrated semiconductor devices
US6838774B2 (en) * 2002-04-11 2005-01-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US20040065884A1 (en) * 2002-10-03 2004-04-08 Arup Bhattacharyya High performance three-dimensional TFT-based CMOS inverters, and computer systems utilizing such novel CMOS inverters
US20060115944A1 (en) * 2004-11-26 2006-06-01 Kun-Ho Kwak Methods of fabricating a semiconductor device having a node contact structure of a CMOS inverter

Cited By (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060186441A1 (en) * 2002-03-26 2006-08-24 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device, liquid-crystal display device and method for manufacturing same
US8293552B2 (en) 2002-03-26 2012-10-23 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device, liquid-crystal display device and method for manufacturing same
US7935967B2 (en) 2002-03-26 2011-05-03 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device, liquid-crystal display device and method for manufacturing same
US7759800B2 (en) 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US9653420B2 (en) 2003-11-13 2017-05-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US11177175B2 (en) 2003-12-10 2021-11-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8748311B2 (en) 2003-12-10 2014-06-10 Micron Technology, Inc. Microelectronic devices and methods for filing vias in microelectronic devices
US8664562B2 (en) 2004-05-05 2014-03-04 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US10010977B2 (en) 2004-05-05 2018-07-03 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US9452492B2 (en) 2004-05-05 2016-09-27 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8686313B2 (en) 2004-05-05 2014-04-01 Micron Technology, Inc. System and methods for forming apertures in microfeature workpieces
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US8322031B2 (en) 2004-08-27 2012-12-04 Micron Technology, Inc. Method of manufacturing an interposer
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US8669179B2 (en) 2004-09-02 2014-03-11 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7956443B2 (en) 2004-09-02 2011-06-07 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US8502353B2 (en) 2004-09-02 2013-08-06 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US20060108627A1 (en) * 2004-11-24 2006-05-25 Samsung Electronics Co., Ltd. NAND flash memory devices including multi-layer memory cell transistor structures and methods of fabricating the same
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US8008192B2 (en) 2005-06-28 2011-08-30 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US9293367B2 (en) 2005-06-28 2016-03-22 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US20060290001A1 (en) * 2005-06-28 2006-12-28 Micron Technology, Inc. Interconnect vias and associated methods of formation
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US11476160B2 (en) 2005-09-01 2022-10-18 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US8610279B2 (en) 2006-08-28 2013-12-17 Micron Technologies, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7973411B2 (en) 2006-08-28 2011-07-05 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US9570350B2 (en) 2006-08-31 2017-02-14 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US9099539B2 (en) 2006-08-31 2015-08-04 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
US20080119046A1 (en) * 2006-11-21 2008-05-22 Sparks Terry G Method of making a contact on a backside of a die
US7544605B2 (en) 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
US8513791B2 (en) * 2007-05-18 2013-08-20 International Business Machines Corporation Compact multi-port CAM cell implemented in 3D vertical integration
US8576599B2 (en) 2007-05-18 2013-11-05 International Business Machines Corporation Multi-wafer 3D CAM cell
US20090305462A1 (en) * 2007-05-18 2009-12-10 International Business Machines Corporation Compact multi-port cam cell implemented in 3d vertical integration
US8343814B2 (en) 2007-05-18 2013-01-01 International Business Machines Corporation Compact multi-port cam cell implemented in 3D vertical integration
US20080283995A1 (en) * 2007-05-18 2008-11-20 International Business Machines Corporation Compact multi-port cam cell implemented in 3d vertical integration
US20080288720A1 (en) * 2007-05-18 2008-11-20 International Business Machines Corporation Multi-wafer 3d cam cell
US20090014799A1 (en) * 2007-07-11 2009-01-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8841730B2 (en) 2007-07-11 2014-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8470688B2 (en) 2007-07-11 2013-06-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8049253B2 (en) 2007-07-11 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8536046B2 (en) 2007-08-31 2013-09-17 Micron Technology Partitioned through-layer via and associated systems and methods
US8367538B2 (en) 2007-08-31 2013-02-05 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US8247907B2 (en) 2007-12-06 2012-08-21 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US9281241B2 (en) 2007-12-06 2016-03-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7741645B2 (en) 2008-05-28 2010-06-22 International Business Machines Corporation Three-dimensional integrated heterogeneous semiconductor structure
US20090294984A1 (en) * 2008-05-28 2009-12-03 International Business Machines Corporation Three-dimensional integrated heterogeneous semiconductor structure
US8704238B2 (en) * 2008-07-08 2014-04-22 MCube Inc. Method and structure of monolithically integrated IC-MEMS oscillator using IC foundry-compatible processes
US20120139050A1 (en) * 2008-07-08 2012-06-07 MCube Inc. Method and structure of monolithically integrated ic-mems oscillator using ic foundry-compatible processes
US9595479B2 (en) 2008-07-08 2017-03-14 MCube Inc. Method and structure of three dimensional CMOS transistors with hybrid crystal orientations
US8999835B2 (en) 2008-07-28 2015-04-07 MCube Inc. Method and structure of monolithically integrated ESD supperssion device
US9165888B2 (en) 2008-09-11 2015-10-20 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US8629057B2 (en) 2008-10-16 2014-01-14 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US9508628B2 (en) 2008-10-16 2016-11-29 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US9935085B2 (en) 2008-10-16 2018-04-03 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US20100096759A1 (en) * 2008-10-16 2010-04-22 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US9129848B2 (en) 2008-12-18 2015-09-08 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US8704286B2 (en) 2008-12-18 2014-04-22 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US20100193964A1 (en) * 2009-02-03 2010-08-05 International Business Machines Corporation method of making 3d integrated circuits and structures formed thereby
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
US8822337B2 (en) 2009-03-05 2014-09-02 International Business Machines Corporation Two-sided semiconductor structure
WO2010117355A1 (en) * 2009-04-06 2010-10-14 Hewlett-Packard Development Company, L.P. Three dimensional multilayer circuit
US8373440B2 (en) 2009-04-06 2013-02-12 Hewlett-Packard Development Company, L.P. Three dimensional multilayer circuit
TWI497648B (en) * 2009-04-06 2015-08-21 Hewlett Packard Development Co Three dimensional multilayer circuit and method for constructing the same
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8378494B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US20110049577A1 (en) * 2009-04-14 2011-03-03 NuPGA Corporation System comprising a semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8987079B2 (en) 2009-04-14 2015-03-24 Monolithic 3D Inc. Method for developing a custom device
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9412645B1 (en) 2009-04-14 2016-08-09 Monolithic 3D Inc. Semiconductor devices and structures
US9406670B1 (en) 2009-10-12 2016-08-02 Monolithic 3D Inc. System comprising a semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8664042B2 (en) 2009-10-12 2014-03-04 Monolithic 3D Inc. Method for fabrication of configurable systems
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20110084314A1 (en) * 2009-10-12 2011-04-14 NuPGA Corporation System comprising a semiconductor device and structure
US8907442B2 (en) 2009-10-12 2014-12-09 Monolthic 3D Inc. System comprising a semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8237228B2 (en) 2009-10-12 2012-08-07 Monolithic 3D Inc. System comprising a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8846463B1 (en) 2010-02-16 2014-09-30 Monolithic 3D Inc. Method to construct a 3D semiconductor device
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US9564432B2 (en) 2010-02-16 2017-02-07 Monolithic 3D Inc. 3D semiconductor device and structure
JP2013531878A (en) * 2010-05-20 2013-08-08 インターナショナル・ビジネス・マシーンズ・コーポレーション Graphene channel based device and method of fabrication
US8709880B2 (en) 2010-07-30 2014-04-29 Monolithic 3D Inc Method for fabrication of a semiconductor device and structure
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8912052B2 (en) 2010-07-30 2014-12-16 Monolithic 3D Inc. Semiconductor device and structure
EP2599112A4 (en) * 2010-07-30 2017-07-26 MonolithIC 3D S.A. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8703597B1 (en) 2010-09-30 2014-04-22 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US9419031B1 (en) 2010-10-07 2016-08-16 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US8440542B2 (en) 2010-10-11 2013-05-14 Monolithic 3D Inc. Semiconductor device and structure
US8203148B2 (en) 2010-10-11 2012-06-19 Monolithic 3D Inc. Semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8956959B2 (en) 2010-10-11 2015-02-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device with two monocrystalline layers
US9818800B2 (en) 2010-10-11 2017-11-14 Monolithic 3D Inc. Self aligned semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11374042B1 (en) 2010-10-13 2022-06-28 Monolithic 3D Inc. 3D micro display semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US8753913B2 (en) 2010-10-13 2014-06-17 Monolithic 3D Inc. Method for fabricating novel semiconductor and optoelectronic devices
US8823122B2 (en) 2010-10-13 2014-09-02 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US9136153B2 (en) 2010-11-18 2015-09-15 Monolithic 3D Inc. 3D semiconductor device and structure with back-bias
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US20120223436A1 (en) * 2011-03-06 2012-09-06 Sekar Deepak C Semiconductor device and structure for heat removal
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8901613B2 (en) * 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US20190067110A1 (en) * 2011-06-28 2019-02-28 Monolithic 3D Inc. 3d semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9030858B2 (en) 2011-10-02 2015-05-12 Monolithic 3D Inc. Semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8836073B1 (en) 2012-04-09 2014-09-16 Monolithic 3D Inc. Semiconductor device and structure
US9305867B1 (en) 2012-04-09 2016-04-05 Monolithic 3D Inc. Semiconductor devices and structures
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US9252134B2 (en) 2012-12-22 2016-02-02 Monolithic 3D Inc. Semiconductor device and structure
US8921970B1 (en) 2012-12-22 2014-12-30 Monolithic 3D Inc Semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9460991B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9911627B1 (en) 2012-12-29 2018-03-06 Monolithic 3D Inc. Method of processing a semiconductor device
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8803206B1 (en) 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9460978B1 (en) 2012-12-29 2016-10-04 Monolithic 3D Inc. Semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10355121B2 (en) 2013-03-11 2019-07-16 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US9496271B2 (en) 2013-03-11 2016-11-15 Monolithic 3D Inc. 3DIC system with a two stable state memory and back-bias region
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10964807B2 (en) 2013-03-11 2021-03-30 Monolithic 3D Inc. 3D semiconductor device with memory
US11515413B2 (en) 2013-03-11 2022-11-29 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11121246B2 (en) 2013-03-11 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US10127344B2 (en) 2013-04-15 2018-11-13 Monolithic 3D Inc. Automation for monolithic 3D devices
US9773809B2 (en) 2013-11-05 2017-09-26 Taiwan Semiconductor Manufacturing Co., Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US9443869B2 (en) 2013-11-05 2016-09-13 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US20150123203A1 (en) * 2013-11-06 2015-05-07 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US20170309642A1 (en) * 2013-11-06 2017-10-26 Taiwan Semiconductor Manufacturing Company Limited Systems and Methods for a Semiconductor Structure Having Multiple Semiconductor-Device Layers
US9704880B2 (en) * 2013-11-06 2017-07-11 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10157252B2 (en) 2013-12-20 2018-12-18 Taiwan Semiconductor Manufacturing Company Method and apparatus of a three dimensional integrated circuit
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11956952B2 (en) 2016-08-22 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11961827B1 (en) 2023-12-23 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers

Also Published As

Publication number Publication date
KR20050031876A (en) 2005-04-06
TW200512934A (en) 2005-04-01
TWI315098B (en) 2009-09-21
CN1604306A (en) 2005-04-06
KR100915534B1 (en) 2009-09-04
CN100342523C (en) 2007-10-10
US6821826B1 (en) 2004-11-23
JP2005109498A (en) 2005-04-21

Similar Documents

Publication Publication Date Title
US6821826B1 (en) Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US7393732B2 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US7525121B2 (en) Coplanar silicon-on-insulator (SOI) regions of different crystal orientations and methods of making the same
US5973363A (en) CMOS circuitry with shortened P-channel length on ultrathin silicon on insulator
US7141853B2 (en) Method and structure for buried circuits and devices
US5943574A (en) Method of fabricating 3D multilayer semiconductor circuits
US6057555A (en) High-frequency wireless communication system on a single ultrathin silicon on sapphire chip
US7364958B2 (en) CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US7485508B2 (en) Two-sided semiconductor-on-insulator structures and methods of manufacturing the same
US7691688B2 (en) Strained silicon CMOS on hybrid crystal orientations
US9355887B2 (en) Dual trench isolation for CMOS with hybrid orientations
US9997607B2 (en) Mirrored contact CMOS with self-aligned source, drain, and back-gate
US20090224369A1 (en) IC Substrate and Method of Manufacture of IC Substrate
CN100466267C (en) Semiconductor structure and its production method
JP2001230423A (en) Soi mosfet device and manufacturing method thereof
US20080258222A1 (en) Design Structure Incorporating a Hybrid Substrate
US11757039B2 (en) Method for inducing stress in semiconductor devices
WO2007139862A2 (en) Integrated circuit interconnect

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910