US20050067103A1 - Interferometer endpoint monitoring device - Google Patents

Interferometer endpoint monitoring device Download PDF

Info

Publication number
US20050067103A1
US20050067103A1 US10/672,420 US67242003A US2005067103A1 US 20050067103 A1 US20050067103 A1 US 20050067103A1 US 67242003 A US67242003 A US 67242003A US 2005067103 A1 US2005067103 A1 US 2005067103A1
Authority
US
United States
Prior art keywords
chamber
detection system
substrate
endpoint detection
disposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/672,420
Inventor
Khiem Nguyen
Peter Satitpunwaycha
Alfred Mak
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/672,420 priority Critical patent/US20050067103A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAK, ALFRED W., SATITPUNWAYCHA, PETER, NGUYEN, KHIEM K.
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAK, ALFRED W., NGUYEN, KHIEM K., SATITPUNWAYCHA, PETER
Publication of US20050067103A1 publication Critical patent/US20050067103A1/en
Priority to US11/531,467 priority patent/US7682984B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Definitions

  • Embodiments of the present invention generally relate to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
  • circuit densities have placed additional demands on processes used to fabricate semi-conductor devices.
  • the widths of vias, contacts and other features, as well as the dielectric materials between them decrease to sub-micron dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases.
  • Reliable formation of high aspect ratio features is important to the success of sub-micron technology and to the continued effort to increase circuit density and quality of individual substrates.
  • High aspect ratio features are conventionally formed by patterning a surface of a substrate to define the dimensions of the features and then etching the substrate to remove material and define the features.
  • the dimensions of the features are required to be formed within certain parameters, which are typically defined as the critical dimensions of the features. Consequently, reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate.
  • Photolithography is a technique used to form precise patterns on the substrate surface.
  • the patterned substrate surface is etched to form the desired device or features.
  • Photolithography techniques use light patterns and resist materials deposited on a substrate surface to develop the patterns on the substrate surface prior to the etching process.
  • a resist is applied on the layer to be etched, and the features to be etched in the layer, such as contacts, vias, or interconnects, are defined by exposing the resist to a pattern of light through a photolithographic reticle having a photomask layer disposed thereon.
  • the photomask layer corresponds to the desired configuration of features.
  • a light source emitting ultraviolet (UV) light or low X-ray light may be used to expose the resist to alter the composition of the resist.
  • UV light or low X-ray light may be used to expose the resist to alter the composition of the resist.
  • the exposed resist material is removed by a chemical process to expose the underlying substrate material.
  • the exposed underlying substrate material is then etched to form the features in the substrate surface while the retained resist material remains as a protective coating for the unexposed underlying substrate material.
  • Photolithographic reticles typically include a substrate made of an optically transparent material, such as quartz (i.e., silicon dioxide, SiO2), having an opaque light-shielding layer of metal, typically chromium, disposed on the surface of the substrate.
  • the light-shielding layer is patterned to correspond to the features to be transferred to the substrate.
  • conventional photolithographic reticles are fabricated by first depositing a thin metal layer on a substrate comprising an optically transparent material, such as quartz, and depositing a resist layer on the thin metal layer.
  • the resist is then patterned using conventional laser or electron beam patterning equipment to define the critical dimensions to be transferred to the metal layer.
  • the metal layer is then etched to remove the metal material not protected by the patterned resist, thereby exposing the underlying material and forming a patterned photomask layer.
  • Photomask layers allow light to pass therethrough in a precise pattern onto the substrate surface.
  • endpoint data from the patterns disposed on the photolithographic reticles may be used to determine whether the process meets the desired recipe.
  • Each photolithographic reticle generally has its own set of patterns. Consequently, different photolithographic reticles operating under the same recipe generally yield different endpoint data, thereby making it difficult to determine whether the processing conditions in the chamber meet the desired recipe.
  • Embodiments of the present invention are generally directed to a photomask etch chamber, which includes a substrate support member disposed inside the chamber.
  • the substrate support member is configured to support a photomask substrate.
  • the chamber further includes a ceiling disposed on the chamber and an endpoint detection system configured to detect a peripheral region of the photomask substrate.
  • the endpoint detection system is disposed through a peripheral region of the ceiling and positioned directly above the peripheral region of the photomask substrate.
  • the endpoint detection system is disposed through a peripheral region of the substrate support member and positioned directly below the peripheral region of the photomask substrate.
  • the endpoint detection system is an interferometer endpoint detection system.
  • FIG. 1 illustrates a schematic cross sectional view of an inductively coupled plasma etch chamber in accordance with one embodiment of the invention.
  • FIG. 2 illustrates an endpoint detection system in accordance with another embodiment of the invention.
  • FIG. 3 illustrates a top view of a substrate in accordance with one embodiment of the invention.
  • FIG. 1 is a schematic cross sectional view of an inductively coupled plasma etch chamber 10 in accordance with one embodiment of the invention.
  • Suitable inductively coupled plasma etch chambers include the ETEC TetraTM II photomask etch chamber available from ETEC of Hayward, Calif., or optionally, the Decoupled Plasma Source (DPSTM) chamber available from Applied Materials, Inc., of Santa Clara, Calif.
  • DPSTM Decoupled Plasma Source
  • Other process chambers may be used in connection with embodiments of the invention, including, for example, capacitive coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs.
  • the processing chamber 10 generally includes a cylindrical sidewall or chamber body 12 , an energy transparent dome ceiling 13 mounted on the body 12 , and a chamber bottom 17 .
  • the ceiling 13 may be flat, rectangular, arcuate, conical, dome or multi-radius shaped.
  • An inductive coil 26 is disposed around at least a portion of the dome 13 .
  • the chamber body 12 and the chamber bottom 17 of the processing chamber 10 can be made of a metal, such as anodized aluminum, and the ceiling 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • a substrate support member 16 is disposed in the processing chamber 10 to support a substrate 20 during processing.
  • the support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode.
  • a reticle adapter may be used to secure the reticle on the support member 16 .
  • the reticle adapter generally includes a lower portion milled to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a reticle.
  • a suitable reticle adapter is disclosed in U. S. Pat. No. 6,251,217, issued on Jun. 26, 2001, which is incorporated herein by reference to the extent not inconsistent with aspects and claims of the invention.
  • Processing gases are introduced into the processing chamber 10 from a process gas source 48 through a gas distributor 22 peripherally disposed about the support member 16 .
  • Mass flow controllers (not shown) for each processing gas, or alternatively, for mixtures of the processing gas, are disposed between the processing chamber 10 and the process gas source 48 to regulate the respective flow rates of the process gases.
  • a plasma zone 14 is defined by the process chamber 10 , the substrate support member 16 and the ceiling 13 .
  • a plasma is formed in the plasma zone 14 from the processing gases using a coil power supply 27 which supplies power to the inductor coil 26 to generate an electromagnetic field in the plasma zone 14 through an RF match network 35 .
  • the support member 16 may include an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10 through an RF match network 25 .
  • RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded.
  • the capacitive electric field is transverse to the plane of the support member 16 , and influences the directionality of charged species more normal to the substrate 20 to provide more vertically oriented anisotropic etching of the substrate 20 .
  • Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust system 30 .
  • the exhaust system 30 may be disposed in the bottom 17 of the processing chamber 10 or may be disposed in the body 12 of the processing chamber 10 for removal of processing gases.
  • a throttle valve 32 is provided in an exhaust port 34 for controlling the pressure in the processing chamber 10 .
  • FIG. 1 further illustrates an endpoint detection system 64 connected to the processing chamber 10 in accordance with one embodiment of the invention.
  • the endpoint detection system 64 may be an interferometer endpoint (IEP) detection system.
  • IEP interferometer endpoint
  • the endpoint detection system 64 is positioned through the peripheral portion of the ceiling 13 , i.e., over a peripheral portion of the substrate. In this manner, the endpoint detection system 64 has a direct line of sight to detect the peripheral region 315 of the substrate surface (shown in FIG. 3 ).
  • the endpoint detection system 64 generally comprises a light source 66 for emitting a light beam, a focusing assembly 68 for focusing an incident light beam 76 , which illuminates an area or spot 80 on the surface of substrate 20 , and a light detector 70 that measures the intensity of a reflected light beam 78 , which is reflected from the beam spot 80 on substrate 20 surface.
  • a computer 72 calculates portions of the real-time measured waveform spectra of light reflected from the beam spot 80 on substrate 20 and compares these with a stored characteristic waveform pattern.
  • the light source 66 comprises a monochromatic or polychromatic light source that generates an incident light beam 76 , which illuminates a beam spot 80 on substrate 20 .
  • a reflected light beam 78 is reflected from beam spot 80 .
  • the intensity of the incident light beam 76 is selected to be sufficiently high to provide a reflected light beam 78 which has a measurable intensity.
  • the light source 66 provides polychromatic light, such as an Hg—Cd lamp, which generates an emission spectrum of light in wavelengths from about 200 nm to about 600 nm.
  • the polychromatic light source 66 can be filtered to provide an incident light beam 76 having selected frequencies.
  • Color filters can be placed in front of the light detector 70 to filter out all wavelengths except for the desired wavelength of light, prior to measuring the intensity of the reflected light beam 78 entering the light detector 70 .
  • the light source 66 can also comprise a flash lamp or a monochromatic light source that provides a selected wavelength of light, for example, an He—Ne or ND-YAG laser.
  • One or more convex focusing lenses 74 a, 74 b may be used to focus an incident light beam 76 from the light source 66 to form a beam spot 80 on the substrate surface, and to focus the reflected light beam 78 back on the active surface of light detector 70 .
  • the size or area of the beam spot 80 should be sufficiently large to compensate for variations in surface topography of the substrate 20 and device design features. This enables detection of etch endpoints for high aspect ratio features having small openings, such as vias or deep narrow trenches, which may be densely present or more isolated.
  • the area of the reflected light beam should be sufficiently large to activate a large portion of the active light-detecting surface of the light detector 70 .
  • the incident and reflected light beams 76 , 78 are directed through a transparent window 82 in the process chamber 10 that allows the light beams to pass in and out of the processing environment.
  • the diameter of the beam spot 80 is generally about 2 mm to about 10 mm. However, if the beam spot 80 encompasses large isolated areas of the substrate, containing only a small number of etched features, it may be necessary to use a larger beam spot in order to encompass a greater number of etched features. The size of the beam spot can therefore be optimized, depending on the design features for a particular device.
  • a light beam positioner 84 may be used to move the incident light beam 76 across the substrate 20 to locate a suitable portion of the substrate surface on which to position the beam spot 80 to monitor an etching process.
  • the light beam positioner 84 may include one or more primary mirrors 86 that rotate at small angles to deflect the light beam from the light source 66 onto different positions of the substrate surface. Additional secondary mirrors may be used (not shown) to intercept the reflected light beam 78 that is reflected from the substrate 20 surface and focus the reflected light beam 78 on the light detector 70 .
  • the light beam positioner 84 may also be used to scan the light beam in a raster pattern across the substrate 20 surface.
  • the light beam positioner 84 comprises a scanning assembly consisting of a movable stage (not shown), upon which the light source 66 , the focusing assembly 68 and the detector 70 are mounted.
  • the movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor, to move the beam spot 80 across the substrate 20 surface.
  • the light detector 70 comprises a light-sensitive electronic component, such as a photovoltaic cell, photodiode, or phototransistor, which provides a signal in response to a measured intensity of the reflected light beam 78 that is reflected from the substrate 20 surface.
  • the signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component.
  • the reflected light beam 78 undergoes constructive and/or destructive interference which increases or decreases the intensity of the light beam, and the light detector 70 provides an electrical output signal in relation to the measured intensity of the reflected light beam 78 .
  • the electrical output signal is plotted as a function of time to provide a waveform spectra having numerous waveform patterns corresponding to the varying intensity of the reflected light beam 78 .
  • a computer program on a computer system 72 compares the shape of the measured waveform pattern of the reflected light beam 78 to a stored characteristic waveform pattern and determines the endpoint of the etching process when the measured waveform pattern is the same as the characteristic waveform pattern. As such, the period of interference signal may be used to calculate the depth and etch rate.
  • the program may also operate on the measured waveform to detect a characteristic waveform, such as, an inflection point.
  • the operations can be simple mathematic operations, such as evaluating a moving derivative to detect an inflection point.
  • the endpoint detection system 64 is configured to detect patterns disposed in any region of the substrate surface. In one embodiment of the invention, the endpoint detection system 64 is used to detect the endpoint of one or more test patterns 330 disposed on the peripheral region 315 of the substrate surface or on the corners 325 of the substrate surface. As such, the endpoint detection system 64 may be disposed directly above the peripheral region 315 or corner regions 325 of the substrate surface. For example, in detecting a 6 inch by 6 inch substrate, the endpoint detection system 64 may be disposed about 2.6-2.9 inches from a horizontal center line 310 of the substrate 20 and about 2.6-2.9 inches from a vertical center line 320 of the substrate 20 , as illustrated in FIG. 3 .
  • the light beams reflected from each substrate having the same test patterns are configured to have the same waveform patterns when detected by the endpoint detection system 64 .
  • the waveform patterns derived from the same test patterns may be used to determine whether the chamber is operating according to a particular recipe.
  • FIG. 2 illustrates an endpoint detection system 264 in accordance with another embodiment of the invention.
  • the endpoint detection system 264 is disposed through the substrate support member 216 .
  • the endpoint detection system 264 comprises a light source 266 for emitting a light beam, a focusing assembly 268 for focusing an incident light beam 276 , which illuminates an area or spot 280 on the bottom surface of substrate 220 , and a light detector 270 that measures the intensity of a reflected light beam 278 that is reflected from the beam spot 280 on substrate bottom surface.
  • a computer 272 calculates portions of the real-time measured waveform spectra of light reflected from the beam spot 280 on substrate 220 and compares these with a stored characteristic waveform pattern.
  • the light source 66 may also apply to the light source 266 , the focusing assembly 268 , the light detector 270 , the computer 272 , and other components of the endpoint detection system 264 .

Abstract

A photomask etch chamber, which includes a substrate support member disposed inside the chamber. The substrate support member is configured to support a photomask substrate. The chamber further includes a ceiling disposed on the chamber and an endpoint detection system configured to detect a peripheral region of the photomask substrate.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to the fabrication of integrated circuits and to the fabrication of photomasks useful in the manufacture of integrated circuits.
  • b 2. Description of the Related Art
  • Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 0.15 μm and even 0.13 μm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.
  • The increasing circuit densities have placed additional demands on processes used to fabricate semi-conductor devices. For example, as circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions, whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increases. Reliable formation of high aspect ratio features is important to the success of sub-micron technology and to the continued effort to increase circuit density and quality of individual substrates.
  • High aspect ratio features are conventionally formed by patterning a surface of a substrate to define the dimensions of the features and then etching the substrate to remove material and define the features. To form high aspect ratio features with a desired ratio of height to width, the dimensions of the features are required to be formed within certain parameters, which are typically defined as the critical dimensions of the features. Consequently, reliable formation of high aspect ratio features with desired critical dimensions requires precise patterning and subsequent etching of the substrate.
  • Photolithography is a technique used to form precise patterns on the substrate surface. The patterned substrate surface is etched to form the desired device or features. Photolithography techniques use light patterns and resist materials deposited on a substrate surface to develop the patterns on the substrate surface prior to the etching process. In conventional photolithographic processes, a resist is applied on the layer to be etched, and the features to be etched in the layer, such as contacts, vias, or interconnects, are defined by exposing the resist to a pattern of light through a photolithographic reticle having a photomask layer disposed thereon. The photomask layer corresponds to the desired configuration of features. A light source emitting ultraviolet (UV) light or low X-ray light, for example, may be used to expose the resist to alter the composition of the resist. Generally, the exposed resist material is removed by a chemical process to expose the underlying substrate material. The exposed underlying substrate material is then etched to form the features in the substrate surface while the retained resist material remains as a protective coating for the unexposed underlying substrate material.
  • Photolithographic reticles typically include a substrate made of an optically transparent material, such as quartz (i.e., silicon dioxide, SiO2), having an opaque light-shielding layer of metal, typically chromium, disposed on the surface of the substrate. The light-shielding layer is patterned to correspond to the features to be transferred to the substrate. Generally, conventional photolithographic reticles are fabricated by first depositing a thin metal layer on a substrate comprising an optically transparent material, such as quartz, and depositing a resist layer on the thin metal layer. The resist is then patterned using conventional laser or electron beam patterning equipment to define the critical dimensions to be transferred to the metal layer. The metal layer is then etched to remove the metal material not protected by the patterned resist, thereby exposing the underlying material and forming a patterned photomask layer. Photomask layers allow light to pass therethrough in a precise pattern onto the substrate surface.
  • During processing, endpoint data from the patterns disposed on the photolithographic reticles may be used to determine whether the process meets the desired recipe. Each photolithographic reticle generally has its own set of patterns. Consequently, different photolithographic reticles operating under the same recipe generally yield different endpoint data, thereby making it difficult to determine whether the processing conditions in the chamber meet the desired recipe.
  • Therefore, a need exists in the art for an improved apparatus and method for generating endpoint data that would be consistent for each photolithographic reticle.
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention are generally directed to a photomask etch chamber, which includes a substrate support member disposed inside the chamber. The substrate support member is configured to support a photomask substrate. The chamber further includes a ceiling disposed on the chamber and an endpoint detection system configured to detect a peripheral region of the photomask substrate.
  • In one embodiment, the endpoint detection system is disposed through a peripheral region of the ceiling and positioned directly above the peripheral region of the photomask substrate.
  • In another embodiment, the endpoint detection system is disposed through a peripheral region of the substrate support member and positioned directly below the peripheral region of the photomask substrate.
  • In yet another embodiment, the endpoint detection system is an interferometer endpoint detection system.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 illustrates a schematic cross sectional view of an inductively coupled plasma etch chamber in accordance with one embodiment of the invention.
  • FIG. 2 illustrates an endpoint detection system in accordance with another embodiment of the invention.
  • FIG. 3 illustrates a top view of a substrate in accordance with one embodiment of the invention.
  • While the invention is described herein by way of example for several embodiments and illustrative drawings, those skilled in the art will recognize that the invention is not limited to the embodiments or drawings described. It should be understood, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. The headings used herein are for organizational purposes only and are not meant to be used to limit the scope of the description or the claims.
  • DETAILED DESCRIPTION
  • FIG. 1 is a schematic cross sectional view of an inductively coupled plasma etch chamber 10 in accordance with one embodiment of the invention. Suitable inductively coupled plasma etch chambers include the ETEC Tetra™ II photomask etch chamber available from ETEC of Hayward, Calif., or optionally, the Decoupled Plasma Source (DPS™) chamber available from Applied Materials, Inc., of Santa Clara, Calif. Other process chambers may be used in connection with embodiments of the invention, including, for example, capacitive coupled parallel plate chambers and magnetically enhanced ion etch chambers, as well as inductively coupled plasma etch chambers of different designs.
  • The processing chamber 10 generally includes a cylindrical sidewall or chamber body 12, an energy transparent dome ceiling 13 mounted on the body 12, and a chamber bottom 17. The ceiling 13 may be flat, rectangular, arcuate, conical, dome or multi-radius shaped. An inductive coil 26 is disposed around at least a portion of the dome 13. The chamber body 12 and the chamber bottom 17 of the processing chamber 10 can be made of a metal, such as anodized aluminum, and the ceiling 13 can be made of an energy transparent material such as a ceramic or other dielectric material.
  • A substrate support member 16 is disposed in the processing chamber 10 to support a substrate 20 during processing. The support member 16 may be a conventional mechanical or electrostatic chuck with at least a portion of the support member 16 being electrically conductive and capable of serving as a process bias cathode. While not shown, a reticle adapter may be used to secure the reticle on the support member 16. The reticle adapter generally includes a lower portion milled to cover an upper portion of the support member and a top portion having an opening that is sized and shaped to hold a reticle. A suitable reticle adapter is disclosed in U. S. Pat. No. 6,251,217, issued on Jun. 26, 2001, which is incorporated herein by reference to the extent not inconsistent with aspects and claims of the invention.
  • Processing gases are introduced into the processing chamber 10 from a process gas source 48 through a gas distributor 22 peripherally disposed about the support member 16. Mass flow controllers (not shown) for each processing gas, or alternatively, for mixtures of the processing gas, are disposed between the processing chamber 10 and the process gas source 48 to regulate the respective flow rates of the process gases.
  • A plasma zone 14 is defined by the process chamber 10, the substrate support member 16 and the ceiling 13. A plasma is formed in the plasma zone 14 from the processing gases using a coil power supply 27 which supplies power to the inductor coil 26 to generate an electromagnetic field in the plasma zone 14 through an RF match network 35. The support member 16 may include an electrode disposed therein, which is powered by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10 through an RF match network 25. Typically, RF power is applied to the electrode in the support member 16 while the body 12 is electrically grounded. The capacitive electric field is transverse to the plane of the support member 16, and influences the directionality of charged species more normal to the substrate 20 to provide more vertically oriented anisotropic etching of the substrate 20.
  • Process gases and etchant byproducts are exhausted from the process chamber 10 through an exhaust system 30. The exhaust system 30 may be disposed in the bottom 17 of the processing chamber 10 or may be disposed in the body 12 of the processing chamber 10 for removal of processing gases. A throttle valve 32 is provided in an exhaust port 34 for controlling the pressure in the processing chamber 10.
  • FIG. 1 further illustrates an endpoint detection system 64 connected to the processing chamber 10 in accordance with one embodiment of the invention. The endpoint detection system 64 may be an interferometer endpoint (IEP) detection system. The endpoint detection system 64 is positioned through the peripheral portion of the ceiling 13, i.e., over a peripheral portion of the substrate. In this manner, the endpoint detection system 64 has a direct line of sight to detect the peripheral region 315 of the substrate surface (shown in FIG. 3).
  • The endpoint detection system 64 generally comprises a light source 66 for emitting a light beam, a focusing assembly 68 for focusing an incident light beam 76, which illuminates an area or spot 80 on the surface of substrate 20, and a light detector 70 that measures the intensity of a reflected light beam 78, which is reflected from the beam spot 80 on substrate 20 surface. A computer 72 calculates portions of the real-time measured waveform spectra of light reflected from the beam spot 80 on substrate 20 and compares these with a stored characteristic waveform pattern.
  • The light source 66 comprises a monochromatic or polychromatic light source that generates an incident light beam 76, which illuminates a beam spot 80 on substrate 20. When the layer onto which the illuminated spot 80 is directed has a sufficient thickness, a reflected light beam 78 is reflected from beam spot 80. The intensity of the incident light beam 76 is selected to be sufficiently high to provide a reflected light beam 78 which has a measurable intensity. In one version, the light source 66 provides polychromatic light, such as an Hg—Cd lamp, which generates an emission spectrum of light in wavelengths from about 200 nm to about 600 nm. The polychromatic light source 66 can be filtered to provide an incident light beam 76 having selected frequencies. Color filters can be placed in front of the light detector 70 to filter out all wavelengths except for the desired wavelength of light, prior to measuring the intensity of the reflected light beam 78 entering the light detector 70. The light source 66 can also comprise a flash lamp or a monochromatic light source that provides a selected wavelength of light, for example, an He—Ne or ND-YAG laser.
  • One or more convex focusing lenses 74 a, 74 b may be used to focus an incident light beam 76 from the light source 66 to form a beam spot 80 on the substrate surface, and to focus the reflected light beam 78 back on the active surface of light detector 70. The size or area of the beam spot 80 should be sufficiently large to compensate for variations in surface topography of the substrate 20 and device design features. This enables detection of etch endpoints for high aspect ratio features having small openings, such as vias or deep narrow trenches, which may be densely present or more isolated. The area of the reflected light beam should be sufficiently large to activate a large portion of the active light-detecting surface of the light detector 70. The incident and reflected light beams 76, 78 are directed through a transparent window 82 in the process chamber 10 that allows the light beams to pass in and out of the processing environment.
  • The diameter of the beam spot 80 is generally about 2 mm to about 10 mm. However, if the beam spot 80 encompasses large isolated areas of the substrate, containing only a small number of etched features, it may be necessary to use a larger beam spot in order to encompass a greater number of etched features. The size of the beam spot can therefore be optimized, depending on the design features for a particular device.
  • Optionally, a light beam positioner 84 may be used to move the incident light beam 76 across the substrate 20 to locate a suitable portion of the substrate surface on which to position the beam spot 80 to monitor an etching process. The light beam positioner 84 may include one or more primary mirrors 86 that rotate at small angles to deflect the light beam from the light source 66 onto different positions of the substrate surface. Additional secondary mirrors may be used (not shown) to intercept the reflected light beam 78 that is reflected from the substrate 20 surface and focus the reflected light beam 78 on the light detector 70. The light beam positioner 84 may also be used to scan the light beam in a raster pattern across the substrate 20 surface. In this version, the light beam positioner 84 comprises a scanning assembly consisting of a movable stage (not shown), upon which the light source 66, the focusing assembly 68 and the detector 70 are mounted. The movable stage can be moved through set intervals by a drive mechanism, such as a stepper motor, to move the beam spot 80 across the substrate 20 surface.
  • The light detector 70 comprises a light-sensitive electronic component, such as a photovoltaic cell, photodiode, or phototransistor, which provides a signal in response to a measured intensity of the reflected light beam 78 that is reflected from the substrate 20 surface. The signal can be in the form of a change in the level of a current passing through an electrical component or a change in a voltage applied across an electrical component. The reflected light beam 78 undergoes constructive and/or destructive interference which increases or decreases the intensity of the light beam, and the light detector 70 provides an electrical output signal in relation to the measured intensity of the reflected light beam 78. The electrical output signal is plotted as a function of time to provide a waveform spectra having numerous waveform patterns corresponding to the varying intensity of the reflected light beam 78.
  • A computer program on a computer system 72 compares the shape of the measured waveform pattern of the reflected light beam 78 to a stored characteristic waveform pattern and determines the endpoint of the etching process when the measured waveform pattern is the same as the characteristic waveform pattern. As such, the period of interference signal may be used to calculate the depth and etch rate. The program may also operate on the measured waveform to detect a characteristic waveform, such as, an inflection point. The operations can be simple mathematic operations, such as evaluating a moving derivative to detect an inflection point.
  • The endpoint detection system 64 is configured to detect patterns disposed in any region of the substrate surface. In one embodiment of the invention, the endpoint detection system 64 is used to detect the endpoint of one or more test patterns 330 disposed on the peripheral region 315 of the substrate surface or on the corners 325 of the substrate surface. As such, the endpoint detection system 64 may be disposed directly above the peripheral region 315 or corner regions 325 of the substrate surface. For example, in detecting a 6 inch by 6 inch substrate, the endpoint detection system 64 may be disposed about 2.6-2.9 inches from a horizontal center line 310 of the substrate 20 and about 2.6-2.9 inches from a vertical center line 320 of the substrate 20, as illustrated in FIG. 3.
  • The light beams reflected from each substrate having the same test patterns are configured to have the same waveform patterns when detected by the endpoint detection system 64. In this manner, the waveform patterns derived from the same test patterns may be used to determine whether the chamber is operating according to a particular recipe.
  • FIG. 2 illustrates an endpoint detection system 264 in accordance with another embodiment of the invention. The endpoint detection system 264 is disposed through the substrate support member 216. The endpoint detection system 264 comprises a light source 266 for emitting a light beam, a focusing assembly 268 for focusing an incident light beam 276, which illuminates an area or spot 280 on the bottom surface of substrate 220, and a light detector 270 that measures the intensity of a reflected light beam 278 that is reflected from the beam spot 280 on substrate bottom surface. A computer 272 calculates portions of the real-time measured waveform spectra of light reflected from the beam spot 280 on substrate 220 and compares these with a stored characteristic waveform pattern. Detailed description of the light source 66, the focusing assembly 68, the light detector 70, the computer 72, and other components of the endpoint detection system 64 may also apply to the light source 266, the focusing assembly 268, the light detector 270, the computer 272, and other components of the endpoint detection system 264.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (22)

1. A photomask etch chamber, comprising:
a substrate support member disposed inside the chamber, wherein the substrate support member is configured to support a photomask substrate;
a ceiling disposed on the chamber; and
an endpoint detection system configured to detect a peripheral region of the photomask substrate.
2. The chamber of claim 1, wherein the endpoint detection system is disposed through a peripheral region of the ceiling and positioned directly above the peripheral region of the photomask substrate.
3. The chamber of claim 1, wherein the endpoint detection system is disposed through a peripheral region of the substrate support member and positioned directly below the peripheral region of the photomask substrate.
4. The chamber of claim 1, wherein the endpoint detection system is an interferometer endpoint detection system.
5. A photomask etch chamber, comprising:
a substrate support member disposed inside the chamber, wherein the substrate support member is configured to support a photomask substrate;
a ceiling disposed on the chamber; and
an interferometer endpoint detection system disposed through a peripheral region of the ceiling.
6. The chamber of claim 5, wherein the interferometer endpoint detection system is disposed directly above a corner region of the photomask substrate.
7. The chamber of claim 5, wherein the photomask substrate is about 6 inches wide and about 6 inches long and the interferometer endpoint detection system is disposed about 2.8 inches from a horizontal center line and about 2.8 inches from a vertical center line of the photomask substrate.
8. The chamber of claim 5, wherein the interferometer endpoint detection system is disposed directly above a peripheral region of the photomask substrate.
9. The chamber of claim 5, wherein the interferometer endpoint detection system is configured to detect a peripheral region of the photomask substrate.
10. The chamber of claim 5, wherein the interferometer endpoint detection system is configured to detect one or more test patterns disposed on a peripheral region of the photomask substrate.
11. The chamber of claim 5, wherein the interferometer endpoint detection system is configured to detect one or more test patterns disposed on a corner region of the photomask substrate.
12. The chamber of claim 5, wherein the interferometer endpoint detection system comprises:
a light source for sending a light beam to a surface of the substrate; and
a light detector for measuring the intensity of the light beam reflected from the substrate surface.
13. The chamber of claim 5, wherein the interferometer endpoint detection system further comprises a focusing assembly for focusing the light beam to a spot on the substrate surface.
14. The chamber of claim 5, wherein the interferometer endpoint detection system further comprises a computer for calculating at least a portion of the waveform spectra of the reflected light beam.
15. The chamber of claim 14, wherein the computer is configured to compare the waveform spectra of the reflected light beam with a stored characteristic waveform spectra pattern.
16. A photomask etch chamber, comprising:
a substrate support member disposed inside the chamber, wherein the substrate support member is configured to support a photomask substrate; and
an interferometer endpoint detection system disposed through a peripheral region of the substrate support member.
17. The chamber of claim 16, wherein the interferometer endpoint detection system is disposed directly below a corner region of the photomask substrate.
18. The chamber of claim 16, wherein the photomask substrate is about 6 inches wide and about 6 inches long and the interferometer endpoint detection system is disposed about 2.8 inches from a horizontal center line and about 2.8 inches from a vertical center line of the photomask substrate.
19. The chamber of claim 16, wherein the interferometer endpoint detection system is disposed directly below a peripheral region of the photomask substrate.
20. The chamber of claim 16, wherein the interferometer endpoint detection system is configured to detect a peripheral bottom region of the photomask substrate.
21. The chamber of claim 16, wherein the interferometer endpoint detection system is configured to detect one or more test patterns disposed on a peripheral region of the photomask substrate.
22. The chamber of claim 16, wherein the interferometer endpoint detection system is configured to detect one or more test patterns disposed on a corner region of the photomask substrate.
US10/672,420 2003-09-26 2003-09-26 Interferometer endpoint monitoring device Abandoned US20050067103A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/672,420 US20050067103A1 (en) 2003-09-26 2003-09-26 Interferometer endpoint monitoring device
US11/531,467 US7682984B2 (en) 2003-09-26 2006-09-13 Interferometer endpoint monitoring device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/672,420 US20050067103A1 (en) 2003-09-26 2003-09-26 Interferometer endpoint monitoring device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/531,467 Continuation US7682984B2 (en) 2003-09-26 2006-09-13 Interferometer endpoint monitoring device

Publications (1)

Publication Number Publication Date
US20050067103A1 true US20050067103A1 (en) 2005-03-31

Family

ID=34376357

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/672,420 Abandoned US20050067103A1 (en) 2003-09-26 2003-09-26 Interferometer endpoint monitoring device
US11/531,467 Expired - Fee Related US7682984B2 (en) 2003-09-26 2006-09-13 Interferometer endpoint monitoring device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/531,467 Expired - Fee Related US7682984B2 (en) 2003-09-26 2006-09-13 Interferometer endpoint monitoring device

Country Status (1)

Country Link
US (2) US20050067103A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070256785A1 (en) * 2006-05-03 2007-11-08 Sharma Pamarthy Apparatus for etching high aspect ratio features
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
CN100456434C (en) * 2005-12-08 2009-01-28 北京北方微电子基地设备工艺研究中心有限责任公司 Semiconductor etching apparatus
US20090218317A1 (en) * 2008-02-28 2009-09-03 Belen Rodolfo P Method to control uniformity using tri-zone showerhead
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US20150226540A1 (en) * 2012-10-26 2015-08-13 Applied Materials, Inc. Pecvd apparatus and process
CN108878250A (en) * 2018-06-29 2018-11-23 武汉华星光电技术有限公司 A kind of dry etching equipment and lithographic method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956809B2 (en) * 2012-08-03 2015-02-17 Applied Materials, Inc. Apparatus and methods for etching quartz substrate in photomask manufacturing applications
US11421977B2 (en) * 2018-10-19 2022-08-23 Applied Materials, Inc. Eliminating internal reflections in an interferometric endpoint detection system

Citations (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5441703A (en) * 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5674786A (en) * 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6139700A (en) * 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US20010014371A1 (en) * 1999-12-28 2001-08-16 Vaino Kilpi Apparatus for growing thin films
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US20010023312A1 (en) * 1997-12-01 2001-09-20 Pacey John A. Intubation instrument
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20010025979A1 (en) * 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20010028924A1 (en) * 1996-08-16 2001-10-11 Arthur Sherman Sequential chemical vapor deposition
US6306216B1 (en) * 1999-07-15 2001-10-23 Moohan Co., Ltd. Apparatus for deposition of thin films on wafers through atomic layer epitaxial process
US20010034123A1 (en) * 2000-04-20 2001-10-25 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020082296A1 (en) * 1997-03-03 2002-06-27 Adcock Ingram Limited A method for treating an immune disorder with a purified mycobacterial mycolic acid
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US20020085700A1 (en) * 2000-07-24 2002-07-04 Darrell Metcalf System and method for disconnecting and preventing unwanted telephone calls and for enhancing desired calls
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20020098627A1 (en) * 2000-11-24 2002-07-25 Pomarede Christophe F. Surface preparation prior to deposition
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US6447607B2 (en) * 1999-12-28 2002-09-10 Asm Microchemistry Oy Apparatus for growing thin films
US20020134307A1 (en) * 1999-12-17 2002-09-26 Choi Won-Sung Thin film deposition apparatus for semiconductor
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4611919A (en) * 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
GB2237303A (en) 1989-10-28 1991-05-01 Services Tech Sedco Forex Method of quantitative analysis of drilling fluid products
US5433651A (en) * 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
KR100277522B1 (en) * 1996-10-08 2001-01-15 이시다 아키라 Substrate Processing Equipment
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
JPH11176815A (en) * 1997-12-15 1999-07-02 Ricoh Co Ltd End point judging method of dry etching and dry etching equipment
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4291916B2 (en) 1999-05-24 2009-07-08 プレス工業株式会社 Toothed ring and method for forming convex teeth thereof
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
JP3774094B2 (en) * 1999-12-02 2006-05-10 株式会社日立製作所 Film thickness and processing depth measuring device and film forming method
IL133326A0 (en) * 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
JP4387022B2 (en) 2000-02-07 2009-12-16 東京エレクトロン株式会社 End point detection method
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
FI117978B (en) 2000-04-14 2007-05-15 Asm Int Method and apparatus for constructing a thin film on a substrate
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
FI118805B (en) 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US5441703A (en) * 1987-06-30 1995-08-15 Aixtron Gmbh Gas inlet for a plurality of reactant gases into reaction vessel
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5674786A (en) * 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5443647A (en) * 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) * 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US6084302A (en) * 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US20010028924A1 (en) * 1996-08-16 2001-10-11 Arthur Sherman Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US20010011526A1 (en) * 1997-03-03 2001-08-09 Kenneth Doering Processing chamber for atomic layer deposition processes
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US20020082296A1 (en) * 1997-03-03 2002-06-27 Adcock Ingram Limited A method for treating an immune disorder with a purified mycobacterial mycolic acid
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6284646B1 (en) * 1997-08-19 2001-09-04 Samsung Electronics Co., Ltd Methods of forming smooth conductive layers for integrated circuit devices
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6139700A (en) * 1997-10-01 2000-10-31 Samsung Electronics Co., Ltd. Method of and apparatus for forming a metal interconnection in the contact hole of a semiconductor device
US20010023312A1 (en) * 1997-12-01 2001-09-20 Pacey John A. Intubation instrument
US6416577B1 (en) * 1997-12-09 2002-07-09 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6379748B1 (en) * 1998-01-23 2002-04-30 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6270572B1 (en) * 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6451695B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6451119B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010002280A1 (en) * 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20010009140A1 (en) * 1999-05-10 2001-07-26 Niklas Bondestam Apparatus for fabrication of thin films
US6124158A (en) * 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6306216B1 (en) * 1999-07-15 2001-10-23 Moohan Co., Ltd. Apparatus for deposition of thin films on wafers through atomic layer epitaxial process
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020134307A1 (en) * 1999-12-17 2002-09-26 Choi Won-Sung Thin film deposition apparatus for semiconductor
US20010025979A1 (en) * 1999-12-22 2001-10-04 Min-Soo Kim Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US6447607B2 (en) * 1999-12-28 2002-09-10 Asm Microchemistry Oy Apparatus for growing thin films
US20010014371A1 (en) * 1999-12-28 2001-08-16 Vaino Kilpi Apparatus for growing thin films
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20010034123A1 (en) * 2000-04-20 2001-10-25 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020094689A1 (en) * 2000-06-24 2002-07-18 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020085700A1 (en) * 2000-07-24 2002-07-04 Darrell Metcalf System and method for disconnecting and preventing unwanted telephone calls and for enhancing desired calls
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020086106A1 (en) * 2000-11-07 2002-07-04 Park Chang-Soo Apparatus and method for thin film deposition
US20020098627A1 (en) * 2000-11-24 2002-07-25 Pomarede Christophe F. Surface preparation prior to deposition
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020092471A1 (en) * 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100456434C (en) * 2005-12-08 2009-01-28 北京北方微电子基地设备工艺研究中心有限责任公司 Semiconductor etching apparatus
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070256785A1 (en) * 2006-05-03 2007-11-08 Sharma Pamarthy Apparatus for etching high aspect ratio features
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20090014409A1 (en) * 2006-10-30 2009-01-15 Michael Grimbergen Endpoint detection for photomask etching
US20080261335A1 (en) * 2006-10-30 2008-10-23 Michael Grimbergen Endpoint detection for photomask etching
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20090218317A1 (en) * 2008-02-28 2009-09-03 Belen Rodolfo P Method to control uniformity using tri-zone showerhead
US8066895B2 (en) 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20100099266A1 (en) * 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US20150226540A1 (en) * 2012-10-26 2015-08-13 Applied Materials, Inc. Pecvd apparatus and process
US20160017497A1 (en) * 2012-10-26 2016-01-21 Applied Materials, Inc. Pecvd process
US9458537B2 (en) * 2012-10-26 2016-10-04 Applied Materials, Inc. PECVD process
KR101773117B1 (en) 2012-10-26 2017-08-30 어플라이드 머티어리얼스, 인코포레이티드 Pecvd apparatus and process
US9816187B2 (en) 2012-10-26 2017-11-14 Applied Materials, Inc. PECVD process
US10030306B2 (en) * 2012-10-26 2018-07-24 Applied Materials, Inc. PECVD apparatus and process
US10060032B2 (en) 2012-10-26 2018-08-28 Applied Materials, Inc. PECVD process
US10793954B2 (en) 2012-10-26 2020-10-06 Applied Materials, Inc. PECVD process
US11613812B2 (en) 2012-10-26 2023-03-28 Applied Materials, Inc. PECVD process
US11898249B2 (en) 2012-10-26 2024-02-13 Applied Materials, Inc. PECVD process
CN108878250A (en) * 2018-06-29 2018-11-23 武汉华星光电技术有限公司 A kind of dry etching equipment and lithographic method

Also Published As

Publication number Publication date
US20070023393A1 (en) 2007-02-01
US7682984B2 (en) 2010-03-23

Similar Documents

Publication Publication Date Title
US7682984B2 (en) Interferometer endpoint monitoring device
US9142467B2 (en) Etch rate detection for anti-reflective coating layer and absorber layer etching
US20070017898A1 (en) Method and apparatus for photomask plasma etching
US8092695B2 (en) Endpoint detection for photomask etching
EP1926125B1 (en) Endpoint detection for photomask etching
US20080099435A1 (en) Endpoint detection for photomask etching
US8961804B2 (en) Etch rate detection for photomask etching
US20080099436A1 (en) Endpoint detection for photomask etching
US10453696B2 (en) Dual endpoint detection for advanced phase shift and binary photomasks
TWI512393B (en) Apparatus and methods for etching quartz substrate in photomask manufacturing applications
CN210897191U (en) Process chamber and tilt window suitable for use in an endpoint detection system for a process chamber
US8808559B2 (en) Etch rate detection for reflective multi-material layers etching
US8778204B2 (en) Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US20200013588A1 (en) Tilted interferometric endpoint (iep) window for sensitivity improvement
TWI828781B (en) Method and processing chamber for eliminating internal reflections in an interferometric endpoint detection system
KR100823949B1 (en) Method and apparatus for photomask plasma etching

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NGUYEN, KHIEM K.;SATITPUNWAYCHA, PETER;MAK, ALFRED W.;REEL/FRAME:014561/0725;SIGNING DATES FROM 20030918 TO 20030922

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NGUYEN, KHIEM K.;SATITPUNWAYCHA, PETER;MAK, ALFRED W.;REEL/FRAME:014345/0335;SIGNING DATES FROM 20040128 TO 20040209

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION