US20050064687A1 - Silicide proximity structures for cmos device performance improvements - Google Patents

Silicide proximity structures for cmos device performance improvements Download PDF

Info

Publication number
US20050064687A1
US20050064687A1 US10/605,310 US60531003A US2005064687A1 US 20050064687 A1 US20050064687 A1 US 20050064687A1 US 60531003 A US60531003 A US 60531003A US 2005064687 A1 US2005064687 A1 US 2005064687A1
Authority
US
United States
Prior art keywords
field effect
effect transistor
type field
spacer
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/605,310
Other versions
US6869866B1 (en
Inventor
Dureseti Chidambarrao
Omer Dokumaci
Rajesh Rengarajan
An Steegen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US10/605,310 priority Critical patent/US6869866B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STEEGEN, AN L., RENGARAJAN, RAJESH, DOKUMACI, OMER H., CHIDAMBARRAO, DURESETI
Application granted granted Critical
Publication of US6869866B1 publication Critical patent/US6869866B1/en
Publication of US20050064687A1 publication Critical patent/US20050064687A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Definitions

  • the invention generally relates to methods for manufacturing a semiconductor device with improved device performance, and more particularly to methods for manufacturing semiconductor devices which impose tensile and compressive stresses in the substrate of the device during device fabrication.
  • n-type devices e.g., NFETs
  • p-type devices e.g., PFETs
  • the same stress component either tensile stress or compressive stress, discriminatively affects the characteristics of an n-type device and a p-type device.
  • the stress components should be engineered and applied differently for NFETs and PFETs. That is, because the type of stress which is beneficial for the performance of an NFET is generally disadvantageous for the performance of the PFET. More particularly, when a device is in tension, in the direction of current flow in a planar device, the performance characteristics of the NFET are enhanced while the performance characteristics of the PFET are diminished. To selectively create tensile stress in an NFET and compressive stress in a PFET, distinctive processes and different combinations of materials are used.
  • the isolation region for the NFET device contain a first isolation material which applies a first type of mechanical stress on the NFET device in a longitudinal direction (parallel to the direction of current flow) and in a transverse direction (perpendicular to the direction of current flow). Further, a first isolation region and a second isolation region are provided for the PFET and each of the isolation regions of the PFET device applies a unique mechanical stress on the PFET device in the transverse and longitudinal directions.
  • liners on gate sidewalls have been proposed to selectively induce the appropriate strain in the channels of the FET devices (see Ootsuka et al., IEDM 2000, p.575, for example).
  • the appropriate s tress is applied closer to the device than the stress applied as a result of the trench isolation fill technique.
  • the stresses in the channel are relatively moderate (i.e., for example, about 200 to about 300 MPa), which provide approximately a 10% benefit in device performance.
  • this invention provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a silicon wafer by creating a first spacer and a second spacer on the n-type field effect transistor and on the p-type field effect transistor. At least a portion of the first spacer is removed from the p-type field effect transistor, and silicide forming material is deposited on the p-type field effect transistor and the n-type field effect transistor, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive mechanical stresses are formed within a channel of the p-type field effect transistor.
  • this invention separately provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer by creating a spacer having a first width for the n-type field effect transistor.
  • a spacer is created having a second width for the p-type field effect transistor, the first width being greater than the second width.
  • Silicide forming material is deposited on the semiconductor wafer such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive stresses are formed within a channel of the p-type field effect transistor.
  • FIG. 1 depicts desired stress states for PFETs and NFETs
  • FIGS. 2 ( a ) through 2 ( f ) depict a process for forming stresses in MOSFETs according to the invention
  • FIG. 3 depicts stresses in a silicon structure after depositing silicide material on a FET according to the invention
  • FIG. 4 depicts stresses in a silicon structure after depositing silicide material on a PFET according to the invention.
  • FIG. 5 illustrates the locations of the stresses in an NFET and a PFET device according to the invention.
  • the invention provides a method for fabricating devices with improved performance characteristics.
  • the invention relates to the improved characteristics of a device.
  • silicidation is known to create significant stresses in the silicon and that stress distributions resulting from silicidation are highly nonuniform. These properties of silicidation are used by the invention to control the stresses in complimentary metal oxide semiconductor (CMOS) PFETs and NFETs.
  • CMOS complimentary metal oxide semiconductor
  • different spacer widths and undercut combinations are used to modulate silicide distances in order to control the stress in the channels of NFET and PFET devices.
  • the stresses are self-aligned to the gate.
  • the stresses are not self-aligned to the gate.
  • the stress levels attained under the gate in the silicon are much larger than the isolation-based or spacer-based or liner-based approaches. For example, stress of about a few hundred MPa to about a few GPa in the silicon under the gate may be attained according to the invention.
  • FIG. 1 illustrates desired stress states for improving the performance of PFETs and NFETs (see Wang et al., IEEE Trans. Electron Dev., v.50, p.529, 2003).
  • an NFET and a PFET are shown to have a source region, a gate region and a drain region.
  • the NFET and PFET are shown to have arrows extending outward from the active area to illustrate tensile stresses.
  • the arrows extending inward toward the PFET device are illustrative of compressive forces. More specifically, the outwardly extending arrows, shown extending from the NFET, illustrate a tensile stress that is desired in the transverse and longitudinal directions of the device.
  • the inwardly extending arrows shown with relation to the PFET, illustrate a desired longitudinal compressive stress.
  • the range of stresses typically desired to influence device drive currents is on the order of about a few hundred MPa, such as, for example 500 MPa, to about a few GPa, such as, for example 3 GPa.
  • the width and the length of the active area of each device is represented by “W” and “L”, respectively. It should be understood that each of the longitudinal or transverse stress components can be individually tailored to provide the performance enhancements for both devices (i.e., the NFET and the PFET).
  • FIGS. 2 ( a )- 2 ( f ) generally illustrate an exemplary process for forming an integrated circuit with p-type and n-type semiconductor devices.
  • FIGS. 2 ( a ) through 2 ( d ) explain processes that are known, and thus any known applicable processes may be used.
  • FIG. 2 ( a ) illustrates the structure after shallow trenches 5 (STI) are formed.
  • a SOI (silicon-on-insulator) wafer which has a stack of silicon 1 , buried oxide 2 , and a silicon layer 3 is used.
  • FIGS. 2 ( a ) through 2 ( j ) depict a general exemplary process for forming the MOSFETs according to this invention.
  • FIG. 2 ( a ) through 2 ( d ) explain processes that are known, and thus any known applicable processes may be used.
  • FIG. 2 ( a ) illustrates the structure after shallow trenches 5 (STI) are formed.
  • a SOI (silicon-on-insulator) wafer which has a stack of silicon 1 , buried oxide 2 , and a silicon layer 3 is used.
  • a thin ( ⁇ 50 ⁇ ) layer of silicon dioxide SiO 2 (pad oxide) (not shown) is grown on the silicon layer 3 , which is on the buried oxide layer 2 on the silicon substrate 1 , by reacting silicon and oxygen at high temperatures.
  • a thin layer (about 1000 ⁇ to about 2500 ⁇ ) of pad silicon nitride (Si 3 N 4 ) (not shown) is then deposited using chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • the patterned photoresist with a thickness of about 0.5 to about 1.0 microns is deposited, and the structure is exposed and developed to define the trench areas 5 .
  • RIE reactive ion etching
  • an oxygen plasma is used to burn off the photoresist layer.
  • a wet etch is used to remove the pad Si 3 N 4 and pad oxide.
  • an oxide layer is deposited to fill the trenches and the surface oxide is removed using chemical mechanical polishing (CMP). This completes the formation of STI as seen in FIG. 2 ( a ).
  • a sacrificial oxide (not shown) of about 50 ⁇ is grown on the silicon.
  • an n-well 10 and a p-well 12 are formed.
  • Patterned photoresist layers are used to successively form the n-well 10 (using, for example, multiple implants of Phosphorous ions) and the p-well 12 (using, for example, multiple implants of Boron ions).
  • the well implants 10 and 12 are then optionally annealed.
  • the sacrificial oxide layer is then removed using a wet HF solution, such that a clean silicon surface is left behind.
  • a gate oxide layer 14 of about 10 ⁇ to about 100 ⁇ is grown.
  • a polysilicon layer 16 is deposited using CVD to a thickness of about 500 ⁇ to about 1500 ⁇ to form the gate electrodes 18 and 20 shown in FIG. 2 ( c ).
  • Patterned photoresist layers (not shown) are used to define the gate electrodes. RIE is used to etch the exposed portions of the polysilicon layer 16 and the photoresist patterns are stripped away in order to complete formation of the gate stack of the n-type transistor 17 and the gate stack of the p-type transistor 19 .
  • FIG. 2 ( c ) shows the formed gate electrodes 18 and 20 .
  • a thin layer of oxide 15 is then grown on the remaining polysilicon.
  • Patterned photoresist layers (not shown), which are later removed, are used to successively tip (and halo countering doping implants) implant the n-type and p-type transistors.
  • a very shallow and low dose implant of arsenic ions for example, may be used to form the p-tip 22 (while a Boron implant, for example, may be used for halos).
  • a very shallow and low dose implant of BF 2 ions for example, may be used to form n-tip 24 (while an arsenic implant may, for example, be used for halos).
  • oxide spacers 26 are formed by depositing a oxide material via oxidation. After oxidation is performed, the oxide is etched using a mask (not shown) to form the oxide spacers 26 . The oxide along the edge of the gates forms an oxide spacer 26 which helps prevent a short between the gate of the NFET and PFET and the diffusions of the respective devices.
  • nitride layer (not shown) using CVD to a thickness of about 100 ⁇ to about 1000 ⁇ and then etching the nitride from the regions other than the side-walls of the gate to form nitride spacers 27 .
  • Patterned photoresist layers (not shown), which are removed prior to the next stage of the process, are used to successively create the source/drain regions of the transistors.
  • a shallow and high-dose of arsenic ions for example, may be used to form the source/drain regions 28 while the p-type transistors are covered with the corresponding photoresist layer.
  • a shallow and high dose of BF 2 ions for example, may be used to form the source/drain regions 30 while the n-type transistors are covered with the corresponding photoresist layer.
  • An anneal is then used to activate the implants.
  • the NFET devices are masked while at least a portion of the oxide remaining on the PFET devices is etched.
  • the NFET device is masked, using a mask (not shown) while the oxide on the PFET device is subjected to further etching.
  • the mask covers the NFETs so that the oxide 25 of the oxide spacer 26 below the nitride spacers 27 of the NFET is maintained while at least a portion of the oxide 25 of the oxide spacer 26 below the nitride spacers 27 of the PFET is removed, via, for example, etching.
  • the resulting structure is shown in FIG.
  • the oxide undercut region can be typically about 20 nm to about 50 nm from the edge of the spacer. In that case, the PFET silicide would then be closer to the channel by about 20 nm to about 50 nm.
  • the silicide to NFET gate distance is the same as the spacer width which is about 20 nm to about 100 nm.
  • the standard photoresist mask technique is used to mask the NFET while etching the oxide undercut for the PFET.
  • silicide material 38 having a thickness of about 30 ⁇ to about 200 ⁇ is deposited on the silicon.
  • the deposited material fills the space below the nitride spacer of the PFET.
  • the deposited material is closer to the gate region of the PFET device than the gate region of the NFET device.
  • Metal such as, for example, Co, HF, Mo, Ni, Pd 2 , Pt, Ta, Ti, W, and Zr may be used and the metal may be deposited over the wafer via, for example, evaporation, sputtering, or CVD techniques.
  • the silicide is formed through a high temperature reaction. The silicide is closer to the PFET gate by a distance that the oxide is undercut.
  • the structure is heated to a temperature of about 350° C. to about 800° C. depending on the type of silicide being considered in order to allow the deposited metal to react with the silicon.
  • silicide only forms in the regions where the metal is in direct contact with silicon or polysilicon.
  • the regions such as, the source, drain and gate regions, where the deposited metal is in contact with silicon
  • the deposited metal or low resistance material reacts with the silicon to form silicide.
  • the deposited metal remains unchanged. This process aligns the silicide to the exposed silicon and is called “self-aligned silicide” or salicide.
  • the remaining unreacted metal is then removed, for example, with a selective etch.
  • standard processes for middle-of-the-line are used such as nitride liner, oxide fill, contact formation, followed by interconnect formation, etc.
  • FIG. 3 illustrates lateral stresses created in a silicon wafer from silicide growth.
  • FIG. 3 shows the growth of CoSi 2 , for example, in the source/drain regions of a FET.
  • the source and drain regions are separated by a nitride/oxide spacer with a width of approximately 100 nm.
  • the dashed lines in FIG. 3 represent tensile stress and the solid lines in FIG. 3 represent compressive stress.
  • tensile stresses are present in the channel area of the gate and compressive stresses are present in the region next to the silicide.
  • the stress levels in the exemplary structure shown in FIG. 3 vary from being highly compressive next to the silicide for about 70 nm before swinging through zero and becoming highly tensile under the gate.
  • the stress levels in the compressive zone reach about ⁇ 1.5 GPa while the tensile stresses reach about +1.3 GPa. If, for example, a channel of a PFET is subjected to a compressive stress of about ⁇ 1.5 GPa, the PFET would have about a 35% improvement in device performance. With regard to NFET devices, if the channel of an NFET is subjected to a stress of about +1.3 GPa, the NFET would have about a 50% improvement in device performance.
  • PFET PFET
  • NFET NFET
  • a channel of an NFET is subjected to a tensile stress of about +1.1 GPa, the NFET would have about a 42% improvement in device performance.
  • the characteristics of the stresses created in the silicon are used to create the desired stresses (i.e., tensile or compressive) in the channel of the device.
  • FIG. 3 shows that the extent of a compressive zone and tensile zone in the wafer depends on the distance between the silicide and the gate edge.
  • the width of the spacers along with the characteristics of the stresses, as discussed above, are used to create regions with the desired stresses (i.e., tensile or compressive) in the channel of the device.
  • this invention is readily applicable to bulk or layered SiGe substrates. It should also be understood that this invention may also be used with damascene gate structures, which have been proposed for use of high k dielectric gate oxides.
  • the silicide material is present in the region beyond the spacer and tensile stress is created in the channel of the device.
  • the spacer illustrated in FIG. 3 which separates the silicide material from the gate edge by about 100 nm may be used for NFET devices. Accordingly, it is possible to provide silicide material in the region beyond a spacer, having a width of about 100 nm to create tensile stresses in the channel of the device.
  • FIG. 4 illustrates the stresses in a silicon structure after depositing silicide material on a PFET according to the invention.
  • a portion of the spacer situated on the silicon wafer is etched away. By etching away a portion of the spacer situated on the silicon wafer, a gap 50 is created.
  • the distance between the silicide and the gate edge is carefully controlled in order to obtain the desired magnitude and type of stress (i.e., compressive or tensile).
  • FIG. 5 illustrates the locations of the stresses in an NFET and a PFET device according to the invention.
  • tensile stresses “T” are present in the channel of the NFET and compressive stresses “C” are present in the channel of the PFET.
  • the oxide of the PFET is undercut in order to deposit the silicide material closer to the gate of the PFET in order to create the compressive stress under the gate region.
  • the magnitude and type i.e., compressive or tensile
  • the invention provides a method for providing tensile stresses and compressive stresses along the channels of NFET and PFET devices, respectively via silicidation.
  • this invention controls the level of tensile stresses and compressive stresses by using different spacer widths and by depositing suicide material closer to the gates of the PFETs than to the gates of the NFETs.

Abstract

A method for manufacturing an integrated circuit having a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer by creating a spacer having a first width for the n-type field effect transistor and creating a spacer having a second width for the p-type field effect transistor, the first width being greater than the second width and depositing silicide material on the semiconductor wafer such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive stresses are formed within a channel of the p-type field effect transistor.

Description

    BACKGROUND OF INVENTION
  • The invention generally relates to methods for manufacturing a semiconductor device with improved device performance, and more particularly to methods for manufacturing semiconductor devices which impose tensile and compressive stresses in the substrate of the device during device fabrication.
  • Mechanical stresses within a semiconductor device substrate can modulate device performance. That is, stresses within a semiconductor device are known to enhance semiconductor device characteristics. Thus, to improve the characteristics of a semiconductor device, tensile and/or compressive stresses are created in the channel of the n-type devices (e.g., NFETs) and/or p-type devices (e.g., PFETs). However, the same stress component, either tensile stress or compressive stress, discriminatively affects the characteristics of an n-type device and a p-type device.
  • In order to maximize the performance of both NFETs and PFETs within integrated circuit (IC) chips, the stress components should be engineered and applied differently for NFETs and PFETs. That is, because the type of stress which is beneficial for the performance of an NFET is generally disadvantageous for the performance of the PFET. More particularly, when a device is in tension, in the direction of current flow in a planar device, the performance characteristics of the NFET are enhanced while the performance characteristics of the PFET are diminished. To selectively create tensile stress in an NFET and compressive stress in a PFET, distinctive processes and different combinations of materials are used.
  • For example, a trench isolation structure has been proposed for forming the appropriate stresses in the NFETs and PFETs, respectively. When this method is used, the isolation region for the NFET device contain a first isolation material which applies a first type of mechanical stress on the NFET device in a longitudinal direction (parallel to the direction of current flow) and in a transverse direction (perpendicular to the direction of current flow). Further, a first isolation region and a second isolation region are provided for the PFET and each of the isolation regions of the PFET device applies a unique mechanical stress on the PFET device in the transverse and longitudinal directions.
  • Alternatively, liners on gate sidewalls, have been proposed to selectively induce the appropriate strain in the channels of the FET devices (see Ootsuka et al., IEDM 2000, p.575, for example). By providing spacers or liners, the appropriate s tress is applied closer to the device than the stress applied as a result of the trench isolation fill technique.
  • While these methods do provide structures that have tensile stresses being applied to the NFET device and compressive stresses being applied along the longitudinal direction of the PFET device, they may require additional materials and/or more complex processing, and thus, resulting in higher cost. In addition, in the methods described above, for example, the stresses in the channel are relatively moderate (i.e., for example, about 200 to about 300 MPa), which provide approximately a 10% benefit in device performance. Thus, it is desired to provide more cost-effective and simplified methods for creating stronger tensile and compressive stresses in the channels NFETs and PFETs, respectively. It is further desired to create larger tensile stresses in the channels of the NFETs than the tensile stresses created as a result of the known processes described above.
  • SUMMARY OF INVENTION
  • In the first aspect, this invention provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a silicon wafer by creating a first spacer and a second spacer on the n-type field effect transistor and on the p-type field effect transistor. At least a portion of the first spacer is removed from the p-type field effect transistor, and silicide forming material is deposited on the p-type field effect transistor and the n-type field effect transistor, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive mechanical stresses are formed within a channel of the p-type field effect transistor.
  • In a second aspect, this invention separately provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer by creating a spacer having a first width for the n-type field effect transistor. A spacer is created having a second width for the p-type field effect transistor, the first width being greater than the second width. Silicide forming material is deposited on the semiconductor wafer such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive stresses are formed within a channel of the p-type field effect transistor.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIG. 1 depicts desired stress states for PFETs and NFETs;
  • FIGS. 2(a) through 2(f) depict a process for forming stresses in MOSFETs according to the invention;
  • FIG. 3 depicts stresses in a silicon structure after depositing silicide material on a FET according to the invention;
  • FIG. 4 depicts stresses in a silicon structure after depositing silicide material on a PFET according to the invention; and
  • FIG. 5 illustrates the locations of the stresses in an NFET and a PFET device according to the invention.
  • DETAILED DESCRIPTION
  • The invention provides a method for fabricating devices with improved performance characteristics. The invention relates to the improved characteristics of a device. Generally, silicidation is known to create significant stresses in the silicon and that stress distributions resulting from silicidation are highly nonuniform. These properties of silicidation are used by the invention to control the stresses in complimentary metal oxide semiconductor (CMOS) PFETs and NFETs. In an embodiment of the invention, different spacer widths and undercut combinations are used to modulate silicide distances in order to control the stress in the channels of NFET and PFET devices.
  • In the methods and devices according to the invention, the stresses are self-aligned to the gate. In the devices formed with the isolation induced stress structures, the stresses are not self-aligned to the gate. In addition, in the methods and devices according to the invention, the stress levels attained under the gate in the silicon are much larger than the isolation-based or spacer-based or liner-based approaches. For example, stress of about a few hundred MPa to about a few GPa in the silicon under the gate may be attained according to the invention.
  • FIG. 1 illustrates desired stress states for improving the performance of PFETs and NFETs (see Wang et al., IEEE Trans. Electron Dev., v.50, p.529, 2003). In FIG. 1, an NFET and a PFET are shown to have a source region, a gate region and a drain region. The NFET and PFET are shown to have arrows extending outward from the active area to illustrate tensile stresses. The arrows extending inward toward the PFET device are illustrative of compressive forces. More specifically, the outwardly extending arrows, shown extending from the NFET, illustrate a tensile stress that is desired in the transverse and longitudinal directions of the device. Similarly, the inwardly extending arrows, shown with relation to the PFET, illustrate a desired longitudinal compressive stress. The range of stresses typically desired to influence device drive currents is on the order of about a few hundred MPa, such as, for example 500 MPa, to about a few GPa, such as, for example 3 GPa. The width and the length of the active area of each device is represented by “W” and “L”, respectively. It should be understood that each of the longitudinal or transverse stress components can be individually tailored to provide the performance enhancements for both devices (i.e., the NFET and the PFET).
  • FIGS. 2(a)-2(f) generally illustrate an exemplary process for forming an integrated circuit with p-type and n-type semiconductor devices. FIGS. 2(a) through 2(d) explain processes that are known, and thus any known applicable processes may be used. FIG. 2(a) illustrates the structure after shallow trenches 5 (STI) are formed. A SOI (silicon-on-insulator) wafer which has a stack of silicon 1, buried oxide 2, and a silicon layer 3 is used. FIGS. 2(a) through 2(j) depict a general exemplary process for forming the MOSFETs according to this invention. FIGS. 2(a) through 2(d) explain processes that are known, and thus any known applicable processes may be used. FIG. 2(a) illustrates the structure after shallow trenches 5 (STI) are formed. A SOI (silicon-on-insulator) wafer which has a stack of silicon 1, buried oxide 2, and a silicon layer 3 is used.
  • Generally, to form the STI on SOI wafers, a thin (˜50 Å) layer of silicon dioxide SiO2 (pad oxide) (not shown) is grown on the silicon layer 3, which is on the buried oxide layer 2 on the silicon substrate 1, by reacting silicon and oxygen at high temperatures. A thin layer (about 1000 Å to about 2500 Å) of pad silicon nitride (Si3N4) (not shown) is then deposited using chemical vapor deposition (CVD). Next, the patterned photoresist with a thickness of about 0.5 to about 1.0 microns is deposited, and the structure is exposed and developed to define the trench areas 5. Next, the exposed SiO2 and the Si3N are etched using reactive ion etching (RIE). Next, an oxygen plasma is used to burn off the photoresist layer. A wet etch is used to remove the pad Si3N4 and pad oxide. Then, an oxide layer is deposited to fill the trenches and the surface oxide is removed using chemical mechanical polishing (CMP). This completes the formation of STI as seen in FIG. 2(a).
  • Next, a sacrificial oxide (not shown) of about 50 Å is grown on the silicon. Then, as shown in FIG. 2(b) an n-well 10 and a p-well 12 are formed. Patterned photoresist layers are used to successively form the n-well 10 (using, for example, multiple implants of Phosphorous ions) and the p-well 12 (using, for example, multiple implants of Boron ions). The well implants 10 and 12 are then optionally annealed. The sacrificial oxide layer is then removed using a wet HF solution, such that a clean silicon surface is left behind.
  • Next, as shown in FIG. 2(b), a gate oxide layer 14 of about 10 Å to about 100 Å is grown. On the gate oxide layer 14, a polysilicon layer 16 is deposited using CVD to a thickness of about 500 Å to about 1500 Å to form the gate electrodes 18 and 20 shown in FIG. 2(c). Patterned photoresist layers (not shown) are used to define the gate electrodes. RIE is used to etch the exposed portions of the polysilicon layer 16 and the photoresist patterns are stripped away in order to complete formation of the gate stack of the n-type transistor 17 and the gate stack of the p-type transistor 19.
  • FIG. 2(c) shows the formed gate electrodes 18 and 20. A thin layer of oxide 15 is then grown on the remaining polysilicon. Patterned photoresist layers (not shown), which are later removed, are used to successively tip (and halo countering doping implants) implant the n-type and p-type transistors. For n-type transistors, a very shallow and low dose implant of arsenic ions, for example, may be used to form the p-tip 22 (while a Boron implant, for example, may be used for halos). For p-type transistors, a very shallow and low dose implant of BF2 ions, for example, may be used to form n-tip 24 (while an arsenic implant may, for example, be used for halos).
  • Still referring to FIG. 2(c), oxide spacers 26 are formed by depositing a oxide material via oxidation. After oxidation is performed, the oxide is etched using a mask (not shown) to form the oxide spacers 26. The oxide along the edge of the gates forms an oxide spacer 26 which helps prevent a short between the gate of the NFET and PFET and the diffusions of the respective devices. Next, as shown in FIG. 2(d), nitride layer (not shown) using CVD to a thickness of about 100 Å to about 1000 Å and then etching the nitride from the regions other than the side-walls of the gate to form nitride spacers 27.
  • Patterned photoresist layers (not shown), which are removed prior to the next stage of the process, are used to successively create the source/drain regions of the transistors. In FIG. 2(d), for the n-type transistors, a shallow and high-dose of arsenic ions, for example, may be used to form the source/drain regions 28 while the p-type transistors are covered with the corresponding photoresist layer. For the p-type transistors, a shallow and high dose of BF2 ions, for example, may be used to form the source/drain regions 30 while the n-type transistors are covered with the corresponding photoresist layer. An anneal is then used to activate the implants.
  • In methods according to the invention, the NFET devices are masked while at least a portion of the oxide remaining on the PFET devices is etched. In the structure shown in FIG. 2(e), the NFET device is masked, using a mask (not shown) while the oxide on the PFET device is subjected to further etching. In particular, the mask covers the NFETs so that the oxide 25 of the oxide spacer 26 below the nitride spacers 27 of the NFET is maintained while at least a portion of the oxide 25 of the oxide spacer 26 below the nitride spacers 27 of the PFET is removed, via, for example, etching. The resulting structure is shown in FIG. 2(h), which illustrates that at least a portion of the oxide below the nitride spacer 27 of the PFET is removed while the oxide spacer 25 below the nitride spacer 27 of the NFET is maintained. The mask covering the NFETs is then removed.
  • The oxide undercut region can be typically about 20 nm to about 50 nm from the edge of the spacer. In that case, the PFET silicide would then be closer to the channel by about 20 nm to about 50 nm. The silicide to NFET gate distance is the same as the spacer width which is about 20 nm to about 100 nm. The standard photoresist mask technique is used to mask the NFET while etching the oxide undercut for the PFET.
  • Next, as shown in FIG. 2(f), silicide material 38 having a thickness of about 30 Å to about 200 Å is deposited on the silicon. The deposited material fills the space below the nitride spacer of the PFET. As a result, the deposited material is closer to the gate region of the PFET device than the gate region of the NFET device. Metal, such as, for example, Co, HF, Mo, Ni, Pd2, Pt, Ta, Ti, W, and Zr may be used and the metal may be deposited over the wafer via, for example, evaporation, sputtering, or CVD techniques. The silicide is formed through a high temperature reaction. The silicide is closer to the PFET gate by a distance that the oxide is undercut.
  • Then, the structure is heated to a temperature of about 350° C. to about 800° C. depending on the type of silicide being considered in order to allow the deposited metal to react with the silicon. During sintering, silicide only forms in the regions where the metal is in direct contact with silicon or polysilicon. In the regions, such as, the source, drain and gate regions, where the deposited metal is in contact with silicon, the deposited metal or low resistance material reacts with the silicon to form silicide. In the other regions (i.e., where the deposited metal is not in contact with silicon), the deposited metal remains unchanged. This process aligns the silicide to the exposed silicon and is called “self-aligned silicide” or salicide. The remaining unreacted metal is then removed, for example, with a selective etch. After the silicidation steps, standard processes for middle-of-the-line are used such as nitride liner, oxide fill, contact formation, followed by interconnect formation, etc.
  • FIG. 3 illustrates lateral stresses created in a silicon wafer from silicide growth. In particular, FIG. 3 shows the growth of CoSi2, for example, in the source/drain regions of a FET. In the structure illustrated in FIG. 3, the source and drain regions are separated by a nitride/oxide spacer with a width of approximately 100 nm. The dashed lines in FIG. 3 represent tensile stress and the solid lines in FIG. 3 represent compressive stress. As can be seen from FIG. 3, tensile stresses are present in the channel area of the gate and compressive stresses are present in the region next to the silicide. More particularly, the stress levels in the exemplary structure shown in FIG. 3 vary from being highly compressive next to the silicide for about 70 nm before swinging through zero and becoming highly tensile under the gate.
  • In the exemplary structure shown in FIG. 3, the stress levels in the compressive zone reach about −1.5 GPa while the tensile stresses reach about +1.3 GPa. If, for example, a channel of a PFET is subjected to a compressive stress of about −1.5 GPa, the PFET would have about a 35% improvement in device performance. With regard to NFET devices, if the channel of an NFET is subjected to a stress of about +1.3 GPa, the NFET would have about a 50% improvement in device performance.
  • In another example, if a channel of a PFET is subjected to a compressive stress of about −0.9 GPa, the PFET would have about a 20% improvement in device performance.
  • Further, if a channel of an NFET is subjected to a tensile stress of about +1.1 GPa, the NFET would have about a 42% improvement in device performance.
  • In the invention, the characteristics of the stresses created in the silicon are used to create the desired stresses (i.e., tensile or compressive) in the channel of the device. FIG. 3 shows that the extent of a compressive zone and tensile zone in the wafer depends on the distance between the silicide and the gate edge. Thus, in the invention the width of the spacers along with the characteristics of the stresses, as discussed above, are used to create regions with the desired stresses (i.e., tensile or compressive) in the channel of the device.
  • It should be understood that this invention is readily applicable to bulk or layered SiGe substrates. It should also be understood that this invention may also be used with damascene gate structures, which have been proposed for use of high k dielectric gate oxides.
  • In the structure shown in FIG. 3, the silicide material is present in the region beyond the spacer and tensile stress is created in the channel of the device. Thus, the spacer illustrated in FIG. 3, which separates the silicide material from the gate edge by about 100 nm may be used for NFET devices. Accordingly, it is possible to provide silicide material in the region beyond a spacer, having a width of about 100 nm to create tensile stresses in the channel of the device.
  • However, as shown in FIG. 3, compressive stresses are created in the region next to the silicide material before transitioning into tensile stresses further from the silicide material. Thus, in order to create compressive stresses in the channels of PFET devices, the silicide should be closer to the gate region of the PFET devices. More particular, the width of the spacer illustrated in FIG. 3 is too large for creating compressive stresses in the channel of a PFET. Thus, for PFET devices, spacers with smaller widths than the spacers for NFET devices should be used in order to create the desired compressive stresses in the channel of the device. One way to reduce the width of the spacers of the PFET devices is to undercut part of the spacer of PFET which is situated on the silicon wafer.
  • FIG. 4 illustrates the stresses in a silicon structure after depositing silicide material on a PFET according to the invention. As shown in FIG. 4, in order to deposit the silicide material closer to the gate of the PFET device, a portion of the spacer situated on the silicon wafer is etched away. By etching away a portion of the spacer situated on the silicon wafer, a gap 50 is created. In the invention, the distance between the silicide and the gate edge is carefully controlled in order to obtain the desired magnitude and type of stress (i.e., compressive or tensile).
  • FIG. 5 illustrates the locations of the stresses in an NFET and a PFET device according to the invention. As shown in FIG. 5, tensile stresses “T” are present in the channel of the NFET and compressive stresses “C” are present in the channel of the PFET. Further, as shown in FIG. 5, according to the invention, the oxide of the PFET is undercut in order to deposit the silicide material closer to the gate of the PFET in order to create the compressive stress under the gate region. As discussed above, the magnitude and type (i.e., compressive or tensile) can be controlled by varying the width of the oxide spacer and/or the extent of the undercut region 40 in the PFET devices. Further, by varying the distance between the deposited silicide material and the edge of the gate, it is possible to create compressive stresses C in the PFET such that the stresses in the channel of the PFET can be primarily compressive and/or completely compressive (i.e., full compression). Similarly, by varying the distance between the deposited silicide material and the edge of the gate of the NFET, it is possible to create tensile stresses T in the NFET such that the stresses in the channel of the NFET can be primarily tensile and/or completely tensile.
  • By providing tensile stresses to the channel of the NFET and compressive stresses in the channel of the PFETs, the charge mobility along the channels of NFET devices and the hole mobility along the channels of the PFET devices are increased. Thus, as described above, the invention provides a method for providing tensile stresses and compressive stresses along the channels of NFET and PFET devices, respectively via silicidation. In particular, this invention controls the level of tensile stresses and compressive stresses by using different spacer widths and by depositing suicide material closer to the gates of the PFETs than to the gates of the NFETs.
  • While the invention has been described in terms of embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims.

Claims (23)

1. a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer, the method comprising:
creating a first spacer and a second spacer on the n-type field effect transistor and on the p-type field effect transistor;
removing at least a portion of the first spacer on the p-type field effect transistor; and
depositing silicide forming material on the silicon wafer, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive mechanical stresses are formed within a channel of the p-type field effect transistor.
2. The method of claim 1, wherein the step of removing comprises etching at least a portion of the first spacer of the p-type field effect transistor to form a gap beneath the second spacer, the portion of the first spacer being located under the second spacer of the p-type field effect transistor.
3. The method of claim 2, wherein the step of depositing suicide material comprises depositing silicide material on the n-type field effect transistor and on the p-type field effect transistor such that the silicide material fills at least a portion of the gap of the p-type field effect transistor and the silicide material is closer to a gate edge of the p-type field effect transistor than a gate edge of the n-type field effect transistor.
4. The method of claim 1, wherein the step of creating a first spacer and a second spacer comprises:
creating an oxide spacer on the n-type field effect transistor and on the p-type field effect transistor; and
creating a nitride spacer on the n-type field effect transistor and on the p-type field effect transistor.
5. The method of claim 4, wherein the step of creating oxide spacers comprises:
depositing oxide on the silicon wafer; and
etching a portion of the deposited oxide to form the oxide spacer.
6. The method of claim 5, wherein the step of creating nitride spacers comprises:
depositing nitride on the silicon wafer; and
etching a portion of the deposited nitride to form the nitride spacer, wherein the nitride spacer sits on the oxide spacer.
7. The method of claim 1, wherein tensile stresses between about 500 MPa and 3 GPa are formed in the channel of the n-type field effect transistor.
8. The method of claim 1, wherein compressive stresses between about 500 MPa and about 3 GPa are formed in the channel of the p-type field effect transistor.
9. The method of claim 1, further comprising removing silicide material from above the n-type field effect transistor and the p-type field effect transistor.
10. The method of claim 1, wherein the step of depositing silicide material comprises depositing at least one of Co, HF, Mo, Ni, Pd2, Pt, Ta, Ti, W, and Zr.
11. The method of claim 1, further comprising removing the mask used to cover the n-type field effect transistor.
12. A method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer, the method comprising the steps of:
creating a spacer having a first width for the n-type field effect transistor and creating a spacer having a second width for the p-type field effect transistor, the first width being greater than the second width; and
depositing suicide forming material on the semiconductor wafer such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive stresses are formed within a channel of the p-type field effect transistor.
13. The method of claim 12, wherein the creating step comprises the step of
etching at least about a 20 nm to about a 50 nm portion of an oxide spacer of the p-type field effect transistor.
14. The method of claim 12, wherein the creating step comprises the step of
etching at least about a 20 nm to about a 50 nm portion of an oxide spacer of the p-type field effect transistor.
15. The method of claim 12, wherein the silicide material is deposited closer to a gate edge of the p-type field effect transistor than a gate edge of the n-type field effect transistor.
16. The method of claim 12, wherein the creating step comprises the steps of:
depositing a first material on the silicon wafer;
etching at least a portion of the deposited first material to form a first spacer;
depositing a second material on the silicon wafer;
etching at least a portion of the deposited second material to form a second spacer, wherein at least a portion of the second spacer is formed on the first spacer.
17. The method of claim 16, wherein the first material is oxide.
18. The method of claim 17, wherein the second material is nitride.
19. The method of claim 16, further comprising etching at least a portion of the deposited first material located under the second spacer.
20. The method of claim 12, wherein tensile stresses between about 500M Pa and 3G Pa are formed in the channel of the n-type field effect transistor.
21. The method of claim 12, wherein tensile stresses between about 500M Pa and 3G Pa are formed in the channel of the n-type field effect transistor.
22. The method of claim 12, wherein the width of the spacer having the first width is about 20 nm to about 50 nm less than the width of the second spacer having the second width.
23. The method of claim 12, wherein the width of the spacer having the second width is between about 20 nm and about 100 nm.
US10/605,310 2003-09-22 2003-09-22 Silicide proximity structures for CMOS device performance improvements Expired - Fee Related US6869866B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/605,310 US6869866B1 (en) 2003-09-22 2003-09-22 Silicide proximity structures for CMOS device performance improvements

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/605,310 US6869866B1 (en) 2003-09-22 2003-09-22 Silicide proximity structures for CMOS device performance improvements

Publications (2)

Publication Number Publication Date
US6869866B1 US6869866B1 (en) 2005-03-22
US20050064687A1 true US20050064687A1 (en) 2005-03-24

Family

ID=34273194

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/605,310 Expired - Fee Related US6869866B1 (en) 2003-09-22 2003-09-22 Silicide proximity structures for CMOS device performance improvements

Country Status (1)

Country Link
US (1) US6869866B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US20080057653A1 (en) * 2006-08-30 2008-03-06 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US20110049627A1 (en) * 2009-09-01 2011-03-03 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949443B2 (en) * 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same
TWI463526B (en) * 2004-06-24 2014-12-01 Ibm Improved strained-silicon cmos device and method
US7037818B2 (en) * 2004-08-20 2006-05-02 International Business Machines Corporation Apparatus and method for staircase raised source/drain structure
US20060163670A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Dual silicide process to improve device performance
DE102005030583B4 (en) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Method for producing contact insulation layers and silicide regions having different properties of a semiconductor device and semiconductor device
US7348233B1 (en) * 2005-08-19 2008-03-25 Advanced Micro Devices, Inc. Methods for fabricating a CMOS device including silicide contacts
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7635620B2 (en) * 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070222035A1 (en) * 2006-03-23 2007-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Stress intermedium engineering
US20070249112A1 (en) * 2006-04-21 2007-10-25 International Business Machines Corporation Differential spacer formation for a field effect transistor
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
DE102006040764B4 (en) * 2006-08-31 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a locally provided Metallsilizidgebiet in contact areas and production thereof
KR100809335B1 (en) * 2006-09-28 2008-03-05 삼성전자주식회사 Semiconductor device and method of fabricating the same
US20080116521A1 (en) 2006-11-16 2008-05-22 Samsung Electronics Co., Ltd CMOS Integrated Circuits that Utilize Insulating Layers with High Stress Characteristics to Improve NMOS and PMOS Transistor Carrier Mobilities and Methods of Forming Same
US7534678B2 (en) * 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
US20090050972A1 (en) * 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
US7902082B2 (en) * 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US7923365B2 (en) * 2007-10-17 2011-04-12 Samsung Electronics Co., Ltd. Methods of forming field effect transistors having stress-inducing sidewall insulating spacers thereon
JP5211647B2 (en) * 2007-11-01 2013-06-12 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
US8883583B2 (en) * 2012-06-26 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, transistors, and methods of manufacture thereof

Citations (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5668024A (en) * 1996-07-17 1997-09-16 Taiwan Semiconductor Manufacturing Company CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation process
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5827118A (en) * 1996-08-28 1998-10-27 Seh America, Inc. Clean storage unit air flow system
US5829939A (en) * 1993-04-13 1998-11-03 Tokyo Electron Kabushiki Kaisha Treatment apparatus
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6054181A (en) * 1993-10-29 2000-04-25 Tokyo Electron Limited Method of substrate processing to form a film on multiple target objects
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6806584B2 (en) * 2002-10-21 2004-10-19 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3139426B2 (en) 1997-10-15 2001-02-26 日本電気株式会社 Semiconductor device

Patent Citations (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5565697A (en) * 1988-06-28 1996-10-15 Ricoh Company, Ltd. Semiconductor structure having island forming grooves
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5261935A (en) * 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5471948A (en) * 1991-06-14 1995-12-05 International Business Machines Corporation Method of making a compound semiconductor having metallic inclusions
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5946559A (en) * 1992-04-08 1999-08-31 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5571741A (en) * 1992-04-08 1996-11-05 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5592007A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation transistor fabrication
US5592018A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5840593A (en) * 1992-04-08 1998-11-24 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5829939A (en) * 1993-04-13 1998-11-03 Tokyo Electron Kabushiki Kaisha Treatment apparatus
US6054181A (en) * 1993-10-29 2000-04-25 Tokyo Electron Limited Method of substrate processing to form a film on multiple target objects
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US6046464A (en) * 1995-03-29 2000-04-04 North Carolina State University Integrated heterostructures of group III-V nitride semiconductor materials including epitaxial ohmic contact comprising multiple quantum well
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5668024A (en) * 1996-07-17 1997-09-16 Taiwan Semiconductor Manufacturing Company CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation process
US5827118A (en) * 1996-08-28 1998-10-27 Seh America, Inc. Clean storage unit air flow system
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6246095B1 (en) * 1997-03-11 2001-06-12 Agere Systems Guardian Corp. System and method for forming a uniform thin gate oxide layer
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6521964B1 (en) * 1998-11-13 2003-02-18 Intel Corporation Device having spacers for improved salicide resistance on polysilicon gates
US6509618B2 (en) * 1998-11-13 2003-01-21 Intel Corporation Device having thin first spacers and partially recessed thick second spacers for improved salicide resistance on polysilicon gates
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US20020074598A1 (en) * 1999-06-28 2002-06-20 Doyle Brian S. Methodology for control of short channel effects in MOS transistors
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US6806584B2 (en) * 2002-10-21 2004-10-19 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US20080057653A1 (en) * 2006-08-30 2008-03-06 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US20090079011A1 (en) * 2006-08-30 2009-03-26 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US7843024B2 (en) 2006-08-30 2010-11-30 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US20110049627A1 (en) * 2009-09-01 2011-03-03 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8367485B2 (en) * 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8597991B2 (en) 2009-09-01 2013-12-03 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8940591B2 (en) 2009-09-01 2015-01-27 International Business Machines Corporation Embedded silicon germanium N-type filed effect transistor for reduced floating body effect
US8969964B2 (en) 2009-09-01 2015-03-03 International Business Machines Corporation Embedded silicon germanium N-type field effect transistor for reduced floating body effect

Also Published As

Publication number Publication date
US6869866B1 (en) 2005-03-22

Similar Documents

Publication Publication Date Title
US6869866B1 (en) Silicide proximity structures for CMOS device performance improvements
US7928443B2 (en) Method and structure for forming strained SI for CMOS devices
US7091563B2 (en) Method and structure for improved MOSFETs using poly/silicide gate height control
US20060145274A1 (en) NFETs using gate induced stress modulation
EP1929537B1 (en) High performance mosfet comprising a stressed gate metal silicide layer and method of fabricating the same
US7871869B2 (en) Extremely-thin silicon-on-insulator transistor with raised source/drain
US6977194B2 (en) Structure and method to improve channel mobility by gate electrode stress modification
US8012820B2 (en) Ultra-thin SOI CMOS with raised epitaxial source and drain and embedded SiGe PFET extension
US9006836B2 (en) Method and structure for controlling stress in a transistor channel
US7514309B2 (en) Methods to selectively protect NMOS regions, PMOS regions, and gate layers during EPI process
JP2000243854A (en) Semiconductor device and its manufacture
US7384833B2 (en) Stress liner for integrated circuits
US6762468B2 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHIDAMBARRAO, DURESETI;DOKUMACI, OMER H.;RENGARAJAN, RAJESH;AND OTHERS;REEL/FRAME:013987/0434;SIGNING DATES FROM 20030822 TO 20030918

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20090322

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910