US20050059216A1 - Method of making direct contact on gate by using dielectric stop layer - Google Patents

Method of making direct contact on gate by using dielectric stop layer Download PDF

Info

Publication number
US20050059216A1
US20050059216A1 US10/664,211 US66421103A US2005059216A1 US 20050059216 A1 US20050059216 A1 US 20050059216A1 US 66421103 A US66421103 A US 66421103A US 2005059216 A1 US2005059216 A1 US 2005059216A1
Authority
US
United States
Prior art keywords
gate
silicon
forming
mosfet device
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/664,211
Other versions
US6861317B1 (en
Inventor
Purakh Verma
Sanford Chu
Lap Chan
Yelehanka Ramachandramurthy Pradeep
Kai Shao
Jia Zheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Priority to US10/664,211 priority Critical patent/US6861317B1/en
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING LTD. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAN, LAP, CHU, SANFORD, PRADEEP, YELEHANKA RAMACHANDRAMURTHY, SHAO, KAI, VERMA, PURAKH RAJ, ZHENG, JIA ZHEN
Priority to SG200701955-7A priority patent/SG131123A1/en
Priority to SG200405667A priority patent/SG121897A1/en
Priority to SG200405709A priority patent/SG110193A1/en
Priority to US11/045,958 priority patent/US7382027B2/en
Application granted granted Critical
Publication of US6861317B1 publication Critical patent/US6861317B1/en
Publication of US20050059216A1 publication Critical patent/US20050059216A1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Definitions

  • the present invention relates generally to a method of forming a semiconductor device, and more particularly to form low resistance gate contacts in a MOSTET device.
  • CMOS complementary metal-oxide-silicon
  • U.S. Pat. No. 5,731,239 describes a method for making low sheet resistance sub quarter micrometer gate electrode in FET devices.
  • the method involves first patterning the gate from a doped poly-silicon layer. After forming the sidewall spacers and source/drain contact regions with Ti contacts, the insulating layer is chemically mechanically polished to the silicon nitride on the gate electrode layer. A pre-amorphizing implantation is done and a titanium silicide is selectively formed on the gate electrodes resulting in small grain sizes and reduced sheet resistance. Alternatively, cobalt silicide can also be formed on gate electrode to reduce the gate resistance.
  • U.S. Patent No. 6,010,945 describes a method to form a “mushroom shaped” gate structure that increases the top gate silicide contact area and improves the salicidation process.
  • the upper gate extensions increase the top gate surface area so that silicide gate contacts will have low resistivity.
  • U.S. Pat. No. 6,271,087 B1 describes a method for forming self-aligned contacts and local interconnects.
  • Multi-layer structures are formed on a semiconductor substrate; sidewall spacers are formed around the multi-layer structures; source and drain regions are formed; a stop layer is deposited over the substrate followed by the deposition of a dielectric layer over said stop layer.
  • a first photo-resist contact mask is used to etch core contact and peripheral local interconnect openings. After stripping the first mask, a second contact mask is formed and the multi-layer structures are etched to form local interconnect openings. After removing the second mask, a conductive film is deposited over the dielectric layer and in the core and peripheral openings, followed by chemical mechanical polishing to remove the conductive film everywhere except in the core contact and local interconnect openings.
  • U.S. Pat. No. 6,281,059 B1 describes a method of forming ESD protective transistor. This is done by ion implantation into the drain contact hole of the ESD protective transistor, wherein the contact hole is fabricated simultaneously with gate contact holes of the fundamental transistor and the ESD protective transistor. Both of the transistors have a respective metal silicide layer to cap the poly-silicon layer to prevent penetration of p + ions into poly-silicon while implanting into the contact holes.
  • the main object of this invention is to describe a method of forming a MOSFET device with low resistance gate on a semiconductor substrate.
  • Another objective of the invention is to describe a MOSTET device with low resistance gate.
  • CMOS RF device and a method to fabricate said device with low gate contact resistance are described.
  • MOS transistor is first formed with isolation regions, gate structure, sidewall spacers, and implanted source/drain regions.
  • An oxide layer like TEOS is deposited, planarized with chemical mechanical polishing (CMP) to expose the gate.
  • Silicon nitride is then deposited and planarized with CMP, and then etched except around the gates using a gate poly-silicon oversize mask.
  • Inter-level dielectric mask is then deposited; contact holes etched; and contact metal deposited to form the transistor.
  • the resulting device structure achieves significantly reduced gate resistance.
  • FIG. 1 is a cross-section of a MOSFET device showing isolation trenches, gate structure, sidewall passivation, and lightly and heavily doped source/drain regions.
  • FIG. 2 is a cross-section of the MOSFET device after depositing a dielectric layer, planarization, and HF dip.
  • FIG. 3 is a cross-section of the MOSFET device after depositing a nitride layer and planarization.
  • FIG. 4 is a cross-section of the MOSFET device after forming oversize mask over gate poly-silicon.
  • FIG. 5 is a cross-section of the MOSFET device after etching the nitride and mask removal.
  • FIG. 6 is a cross-section of the MOSFET device showing inter-level dielectric layer with contact holes etched and contact metal deposited.
  • the invention process begins with forming a dielectric layer over a MOSFET device known in prior art.
  • Said MOSFET device 10 with its components—filled isolation trenches 12 , gate structure 14 with gate poly-silicon 15 , sidewall passivation 16 , source/drain regions 18 with lightly doped 20 and heavily doped 22 regions shown in FIG. 1 .
  • Said structure could be formed in a stub formed in n-type silicon substrate or an MOS device.
  • a dielectric layer 26 with a thickness of approximately between about 2000° A and 5000° A, comprising silicon dioxide, nitridized silicon dioxide, silicon oxy-fluoride (SiOF or FSG), tetra-ethyl-ortho-silicate (TEOS) is deposited using low pressure (LP) or plasma-enhanced (PE) chemical vapor deposition (CVD) methods known in prior art. Since the film is conformally deposited, the film covers the gate structures completely. The overburden is then planarized using a method comprising plasma etch back and/or chemical maechanical polishing. Planarization is done so as to expose the gate structures.
  • LP low pressure
  • PE plasma-enhanced
  • CVD chemical vapor deposition
  • planarized wafer is subjected to a brief dilute HF dip so as to recess the oxide layer slightly below the gate structure, as shown in FIG. 2 .
  • Amount of step below the gate level is approximately between 500 A and 1000 A, depending upon the thickness of gate poly-silicon used.
  • a silicon nitride layer 28 is deposited conformally and then planarized with CMP or etch back methods, to expose the gate structure as shown in FIG. 3 .
  • the preferred film is silicon nitride other films comprising oxygen doped silicon nitride, silicon oxy-nitride can alternatively be used.
  • An oversize photo-resist mask 30 is formed over the structure of FIG. 3 , such that only the nitride around the gate structure is preserved.
  • Nitride film 28 is etched selectively such that etching stops on the under-lying oxide film, using a plasma etching process known in prior art.
  • the selective etching process uses a mixture of halogen containing gases (e.g. F, Cl, or Br) at low ion bombardment energy typically less than about 100 eV.
  • the resulting structure after removing said resist mask is shown in FIG. 5 .
  • FIG. 6 shows the completed device after the inter-level dielectric film 30 is deposited; contact holes 32 are etched; and contact metal 34 deposited using processes known in prior art.
  • Said inter-level dielectric film with thickness approximately between 8,000° A and 15,000 ° A, comprises TEOS, borosilicate glass (BSG) and/or phosphorous silicate glass (PSG), deposited using LPCVD or PECVD method known in prior art.
  • Un-etched nitride film surrounding the gate area acts as etch-stop during etching contact hole over the gate structure, preserving the sidewall spacers 16 .

Abstract

A CMOS RF device and a method to fabricate said device with low gate contact resistance are described. Conventional MOS transistor is first formed with isolation regions, poly-silicon gate structure, sidewall spacers around poly gate, and implanted source/drain with lightly and heavily doped regions. A silicon dioxide layer such as TEOS is deposited, planarized with chemical mechanical polishing (CMP) to expose the gate and treated with dilute HF etchant to recess the silicon dioxide layer below the surface of the gate. Silicon nitride is then deposited and planarized with CMP and then etched except around the gates, using a oversize poly-silicon gate mask. Inter-level dielectric mask is then deposited, contact holes etched, and contact metal is deposited to form the transistor. During contact hole etch over poly-silicon gate, silicon nitride around the poly gate acts as an etch stop. Resulting structure with direct gate contact achieves significantly reduced gate resistance and thereby improved noise performance at high frequency operation, increased unit power gain frequency (f.,), and reduced gate delay.

Description

    BACKGROUND OF THE INVENTION
  • (1) Field of the Invention
  • The present invention relates generally to a method of forming a semiconductor device, and more particularly to form low resistance gate contacts in a MOSTET device.
  • (2) Description of the Prior Art
  • There has been increasing interest in the possible use of CMOS (complimentary metal-oxide-silicon) circuits for RF applications at >900 MHz, such as mobile tele-communication devices. The reasons for this are: low fabrication cost of CMOS devices compared to the currently used bipolar and GaAs integrated circuits (IC), easy integration of analog and digital components, and fundamental advantages if attainable dynamic range when a frequency mixer is required in specific applications. Furthermore, the cut-off frequency of small geometry MOSFET has become comparable to that of advanced bipolar transistors. In addition, minimum noise figure (NFmin) has also improved in the submicron devices. For these reasons, CMOS devices will be increasingly used in RF front-end IC's.
  • In the case of high-frequency analog MOSFET's, while high trans-conductance is important for high cut-off frequency and low noise characteristic, low gate resistance is essential for reducing thermal noise. In advanced device designs enabled by advances in process technologies, minimum feature sizes are continually decreasing. As a result, channel lengths in FET devices and thereby gate electrode widths have significantly decreased. One major problem associated with narrow gate electrode is its high electrical sheet resistance which impairs the device performance. Several methods have been proposed and used in prior art for reducing gate resistance. One method is to divide the gate electrode into several gate fingers, with each finger having provided with a contact hole, thereby increasing the total gate contact area. Another method is to use metal silicide contacts such as titanium, tungsten, or cobalt suicides or metal gates. The present invention proposes an alternate method of reducing gate contact resistance by directly contacting the gate poly-silicon with the contact metal.
  • U.S. Pat. No. 5,731,239 describes a method for making low sheet resistance sub quarter micrometer gate electrode in FET devices. The method involves first patterning the gate from a doped poly-silicon layer. After forming the sidewall spacers and source/drain contact regions with Ti contacts, the insulating layer is chemically mechanically polished to the silicon nitride on the gate electrode layer. A pre-amorphizing implantation is done and a titanium silicide is selectively formed on the gate electrodes resulting in small grain sizes and reduced sheet resistance. Alternatively, cobalt silicide can also be formed on gate electrode to reduce the gate resistance.
  • U.S. Patent No. 6,010,945 describes a method to form a “mushroom shaped” gate structure that increases the top gate silicide contact area and improves the salicidation process. The upper gate extensions increase the top gate surface area so that silicide gate contacts will have low resistivity.
  • U.S. Pat. No. 6,271,087 B1 describes a method for forming self-aligned contacts and local interconnects. Multi-layer structures are formed on a semiconductor substrate; sidewall spacers are formed around the multi-layer structures; source and drain regions are formed; a stop layer is deposited over the substrate followed by the deposition of a dielectric layer over said stop layer. A first photo-resist contact mask is used to etch core contact and peripheral local interconnect openings. After stripping the first mask, a second contact mask is formed and the multi-layer structures are etched to form local interconnect openings. After removing the second mask, a conductive film is deposited over the dielectric layer and in the core and peripheral openings, followed by chemical mechanical polishing to remove the conductive film everywhere except in the core contact and local interconnect openings.
  • U.S. Pat. No. 6,281,059 B1 describes a method of forming ESD protective transistor. This is done by ion implantation into the drain contact hole of the ESD protective transistor, wherein the contact hole is fabricated simultaneously with gate contact holes of the fundamental transistor and the ESD protective transistor. Both of the transistors have a respective metal silicide layer to cap the poly-silicon layer to prevent penetration of p+ ions into poly-silicon while implanting into the contact holes.
  • SUMMARY OF THE INVENTION
  • Accordingly, the main object of this invention is to describe a method of forming a MOSFET device with low resistance gate on a semiconductor substrate.
  • It is yet another object to describe a method to form low resistance gate contacts in a MOSFET device on a semiconductor substrate.
  • Another objective of the invention is to describe a MOSTET device with low resistance gate.
  • In accordance with these objectives, a CMOS RF device and a method to fabricate said device with low gate contact resistance are described. Conventional MOS transistor is first formed with isolation regions, gate structure, sidewall spacers, and implanted source/drain regions. An oxide layer like TEOS is deposited, planarized with chemical mechanical polishing (CMP) to expose the gate. Silicon nitride is then deposited and planarized with CMP, and then etched except around the gates using a gate poly-silicon oversize mask. Inter-level dielectric mask is then deposited; contact holes etched; and contact metal deposited to form the transistor. The resulting device structure achieves significantly reduced gate resistance.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects, advantages, and details of fabricating a semiconductor device according to this invention will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings.
  • FIG. 1 is a cross-section of a MOSFET device showing isolation trenches, gate structure, sidewall passivation, and lightly and heavily doped source/drain regions.
  • FIG. 2 is a cross-section of the MOSFET device after depositing a dielectric layer, planarization, and HF dip.
  • FIG. 3 is a cross-section of the MOSFET device after depositing a nitride layer and planarization.
  • FIG. 4 is a cross-section of the MOSFET device after forming oversize mask over gate poly-silicon.
  • FIG. 5 is a cross-section of the MOSFET device after etching the nitride and mask removal.
  • FIG. 6 is a cross-section of the MOSFET device showing inter-level dielectric layer with contact holes etched and contact metal deposited.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The invention process begins with forming a dielectric layer over a MOSFET device known in prior art. Said MOSFET device 10, with its components—filled isolation trenches 12, gate structure 14 with gate poly-silicon 15, sidewall passivation 16, source/drain regions 18 with lightly doped 20 and heavily doped 22 regions shown in FIG. 1. For simplicity, only n-channel part of the CMOS device formed in a p-type silicon substrate 24 is shown. Said structure could be formed in a stub formed in n-type silicon substrate or an MOS device.
  • A dielectric layer 26, with a thickness of approximately between about 2000° A and 5000° A, comprising silicon dioxide, nitridized silicon dioxide, silicon oxy-fluoride (SiOF or FSG), tetra-ethyl-ortho-silicate (TEOS) is deposited using low pressure (LP) or plasma-enhanced (PE) chemical vapor deposition (CVD) methods known in prior art. Since the film is conformally deposited, the film covers the gate structures completely. The overburden is then planarized using a method comprising plasma etch back and/or chemical maechanical polishing. Planarization is done so as to expose the gate structures. The planarized wafer is subjected to a brief dilute HF dip so as to recess the oxide layer slightly below the gate structure, as shown in FIG. 2. Amount of step below the gate level is approximately between 500 A and 1000 A, depending upon the thickness of gate poly-silicon used.
  • A silicon nitride layer 28, with a thickness of approximately between about 1000° A and 2000° A, is deposited conformally and then planarized with CMP or etch back methods, to expose the gate structure as shown in FIG. 3. Although the preferred film is silicon nitride other films comprising oxygen doped silicon nitride, silicon oxy-nitride can alternatively be used.
  • An oversize photo-resist mask 30, as shown in FIG. 4, is formed over the structure of FIG. 3, such that only the nitride around the gate structure is preserved.
  • Nitride film 28 is etched selectively such that etching stops on the under-lying oxide film, using a plasma etching process known in prior art. The selective etching process uses a mixture of halogen containing gases (e.g. F, Cl, or Br) at low ion bombardment energy typically less than about 100 eV. The resulting structure after removing said resist mask is shown in FIG. 5.
  • FIG. 6 shows the completed device after the inter-level dielectric film 30 is deposited; contact holes 32 are etched; and contact metal 34 deposited using processes known in prior art. Said inter-level dielectric film, with thickness approximately between 8,000° A and 15,000 ° A, comprises TEOS, borosilicate glass (BSG) and/or phosphorous silicate glass (PSG), deposited using LPCVD or PECVD method known in prior art. Un-etched nitride film surrounding the gate area acts as etch-stop during etching contact hole over the gate structure, preserving the sidewall spacers 16.
  • The advantages of this invention over prior art are:
      • a) low resistance poly-silicon gate in a MOSFET structure,
      • b) improved high frequency noise performance in an RF CMOS device,
      • c) significant increase in maximum oscillation frequency and unit power gain frequency,
      • d) reduced RC gate delay

Claims (22)

1. A method of forming a gate contact in a MOSFET device, the steps comprising:
forming a first dielectric layer on a substrate, having oxide-filled isolation trenches, gate structure, sidewall passivation around gate, source and drain with lightly and heavily doped regions;
planarizing said first dielectric layer and recessing said first dielectric layer below the level of said gate structure;
forming a second dielectric etch stop layer over said first dielectric layer;
planarizing said second dielectric layer in level with the gate structure;
pattern said second dielectric layer, using oversize gate mask to leave said second dielectric layer around said gate structure; and
forming electrical gate contact steps comprising: forming an inter-level dielectric layer over partially formed MOSFET device; patterning contact holes to source drain regions, patterning contact hole to gate stopping on said second dielectric layer; forming planarized metal patterns in and over said contact holes.
2. The method of forming a gate contact in a MOSFET device according to claim 1 wherein, said first dielectric layer comprises silicon dioxide, nitridized silicon dioxide, silicon oxy-fluoride, and/or tetraethylorthosilicate.
3. The method of forming a gate contact in a MOSFET device according to claim 2 wherein, said first dielectric layer thickness is approximately between about 1000° A and 3000° A after planarization.
4. The method of forming a gate contact in a MOSFET device according to claim 1 wherein, said first dielectric layer is planarized with steps comprising plasma etch back and/or chemical mechanical polishing.
5. The method of forming a gate contact in a MOSFET device according to claim 1 wherein, said first dielectric layer is recessed approximately between about 500° A and 1000° A.
6. The method of forming a gate contact in a MOSFET device according to claim 1 wherein, said second dielectric etch-stop layer comprises silicon nitride, oxygen doped silicon nitride, and/or silicon oxy-nitride.
7. The method of forming a gate contact in a MOSFET device according to claim 6 wherein, said second dielectric etch-stop layer thickness is approximately between about 500° A and 1000° A after planarization process.
8. The method of forming a gate contact in a MOSFET device according to claim 1 wherein, said second dielectric etch stop layer is planarized with steps comprising plasma etch back and/or chemical mechanical polishing.
9. The method of forming a gate contact in a MOSFET device according to claim 1 wherein, said inter-level dielectric layer comprises tetraethylortliosilicate, borosilicate glass and/or phosphorous silicate glass.
10. The method of forming a gate contact in a MOSFET device according to claim 9 wherein, said inter-level dielectric layer thickness is approximately between about 8,000° A and 15,000° A.
11. A method of forming a poly-silicon gate contact in a MOSFET device, the steps comprising:
forming a silicon dioxide layer on a silicon substrate having oxide-filled isolation trenches, gate structure, sidewall passivation around gate, source and drain with lightly and heavily doped regions;
planarizing said silicon dioxide layer and recessing said silicon dioxide layer below the level of said poly-silicon gate structure;
forming a silicon nitride etch stop layer over said silicon dioxide layer;
planarizing said silicon nitride etch stop layer in level with the gate structure;
pattern said silicon nitride etch stop layer, using oversize poly-silicon gate mask to leave said silicon nitride layer around said poly-silicon gate structure; and
forming electrical poly-silicon gate contact steps comprising: forming an inter-level dielectric layer over partially formed MOSFET device; patterning contact holes to source drain regions, patterning contact hole to poly-silicon gate stopping on said silicon nitride etch stop layer; forming planarized metal patterns in and over said contact holes.
12. The method of forming a poly-silicon gate contact in a MOSFET device according to claim 11 wherein, said post-planarized silicon dioxide layer thickness is approximately between about 1000° A and 3000° A.
13. The method of forming a poly-silicon gate contact in a MOSFET device according to claim 11 wherein, said silicon dioxide layer is planarized with steps comprising plasma etch back and/or chemical mechanical polishing.
14. The method of forming a poly-silicon gate contact in a MOSFET device according to claim 11 wherein, said silicon dioxide layer is recessed approximately between about 500° A and 1000° A.
15. The method of forming a poly-silicon gate contact in a MOSFET device according to claim 11 wherein, said silicon nitride layer thickness is approximately between about 500° A and 1000° A after planarization process.
16. The method of forming a poly-silicon gate contact in a MOSFET device according to claim 11 wherein, said silicon nitride layer is planarized with steps comprising plasma etch back and/or chemical mechanical polishing.
17. A MOSFET device structure comprising:
a semiconductor substrate having device elements comprising oxide-filled isolation trenches, gate structure, sidewall passivation around gate, source and drain with lightly and heavily doped regions;
first dielectric layer over said semiconductor substrate;
second dielectric etch stop layer over said first dielectric layer and around said gate structure;
inter-level dielectric layer over said MOSFET device structure; and
metal line, forming an electrical contacting with said gate.
18. A MOSFET device structure according to claim 17 wherein, said first dielectric layer comprises silicon dioxide, nitridized silicon dioxide, silicon oxy-fluoride, and/or tetraethyl-ortho-silicate.
19. The MOSFET device structure according to claim 17 wherein, said first dielectric layer thickness is approximately between about 1000° A and 3000° A.
20. The MOSFET device structure according to claim 17 wherein, said second dielectric etch-stop layer comprises silicon nitride, oxygen doped silicon nitride, and/or silicon oxy-nitride.
21. The MOSFET device structure according to claim 17 wherein, said second dielectric etch-stop layer thickness is approximately between about 500° A and 1000° A after planarization process.
22. The MOSFET device structure according to claim 17 wherein, said inter-level dielectric layer thickness is approximately between about 8,000° A and 15,000° A.
US10/664,211 2003-09-17 2003-09-17 Method of making direct contact on gate by using dielectric stop layer Expired - Fee Related US6861317B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/664,211 US6861317B1 (en) 2003-09-17 2003-09-17 Method of making direct contact on gate by using dielectric stop layer
SG200701955-7A SG131123A1 (en) 2003-09-17 2004-08-25 A method of making direct contact on gate by using dielectric stop layer
SG200405667A SG121897A1 (en) 2003-09-17 2004-08-25 A method of making direct contact on gate by usingdielectric stop layer
SG200405709A SG110193A1 (en) 2003-09-17 2004-09-09 A method of making direct contact on gate by using dielectric stop layer
US11/045,958 US7382027B2 (en) 2003-09-17 2005-01-28 MOSFET device with low gate contact resistance

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/664,211 US6861317B1 (en) 2003-09-17 2003-09-17 Method of making direct contact on gate by using dielectric stop layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/045,958 Division US7382027B2 (en) 2003-09-17 2005-01-28 MOSFET device with low gate contact resistance

Publications (2)

Publication Number Publication Date
US6861317B1 US6861317B1 (en) 2005-03-01
US20050059216A1 true US20050059216A1 (en) 2005-03-17

Family

ID=34194743

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/664,211 Expired - Fee Related US6861317B1 (en) 2003-09-17 2003-09-17 Method of making direct contact on gate by using dielectric stop layer
US11/045,958 Expired - Fee Related US7382027B2 (en) 2003-09-17 2005-01-28 MOSFET device with low gate contact resistance

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/045,958 Expired - Fee Related US7382027B2 (en) 2003-09-17 2005-01-28 MOSFET device with low gate contact resistance

Country Status (2)

Country Link
US (2) US6861317B1 (en)
SG (3) SG121897A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102403264A (en) * 2010-09-17 2012-04-04 中芯国际集成电路制造(上海)有限公司 Etching method for contact holes of metal grid MOS (metal oxide semiconductor) devices
CN105206667A (en) * 2014-06-13 2015-12-30 中芯国际集成电路制造(上海)有限公司 Contact plug, MOS, fin-type field effect transistor, and forming method thereof
WO2019143733A1 (en) * 2018-01-16 2019-07-25 Ipower Semiconductor Self-aligned and robust igbt devices
US10714486B2 (en) 2018-09-13 2020-07-14 Sandisk Technologies Llc Static random access memory cell employing n-doped PFET gate electrodes and methods of manufacturing the same

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7553718B2 (en) * 2005-01-28 2009-06-30 Texas Instruments Incorporated Methods, systems and structures for forming semiconductor structures incorporating high-temperature processing steps
KR100688023B1 (en) * 2005-12-28 2007-02-27 동부일렉트로닉스 주식회사 Method of fabricating semiconductor device
US9412759B2 (en) 2014-12-11 2016-08-09 Globalfoundries Inc. CMOS gate contact resistance reduction
US9793204B2 (en) 2015-11-17 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Method of manufacturing semiconductor structure comprising plurality of through holes using metal hard mask
US9824970B1 (en) * 2016-06-27 2017-11-21 Globalfoundries Inc. Methods that use at least a dual damascene process and, optionally, a single damascene process to form interconnects with hybrid metallization and the resulting structures
CN111199879A (en) * 2018-11-19 2020-05-26 中芯国际集成电路制造(天津)有限公司 Method for flattening grid structure
CN113296396B (en) * 2021-05-26 2022-06-03 广东电网有限责任公司 Automatic tracking system and method for high-frequency noise power gain

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5731239A (en) * 1997-01-22 1998-03-24 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US5966597A (en) * 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6010954A (en) * 1997-07-11 2000-01-04 Chartered Semiconductor Manufacturing, Ltd. Cmos gate architecture for integration of salicide process in sub 0.1 . .muM devices
US6074922A (en) * 1998-03-13 2000-06-13 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6194299B1 (en) * 1999-06-03 2001-02-27 Advanced Micro Devices, Inc. Method for fabrication of a low resistivity MOSFET gate with thick metal on polysilicon
US6238987B1 (en) * 1999-09-13 2001-05-29 United Microelectronics Corp. Method to reduce parasitic capacitance
US6239011B1 (en) * 1998-06-03 2001-05-29 Vanguard International Semiconductor Corporation Method of self-aligned contact hole etching by fluorine-containing discharges
US6271087B1 (en) * 2000-10-10 2001-08-07 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects using self-aligned local interconnects
US6277698B1 (en) * 1999-08-25 2001-08-21 Advanced Micro Devices, Inc. Method of manufacturing semiconductor devices having uniform, fully doped gate electrodes
US6281059B1 (en) * 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
US6399440B1 (en) * 1999-11-22 2002-06-04 Vanguard International Semiconductor Corporation Method to reduce the node contact resistance
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3425100B2 (en) * 1999-03-08 2003-07-07 松下電器産業株式会社 Field programmable gate array and method of manufacturing the same

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5731239A (en) * 1997-01-22 1998-03-24 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned silicide narrow gate electrodes for field effect transistors having low sheet resistance
US6010954A (en) * 1997-07-11 2000-01-04 Chartered Semiconductor Manufacturing, Ltd. Cmos gate architecture for integration of salicide process in sub 0.1 . .muM devices
US5966597A (en) * 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6074922A (en) * 1998-03-13 2000-06-13 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6239011B1 (en) * 1998-06-03 2001-05-29 Vanguard International Semiconductor Corporation Method of self-aligned contact hole etching by fluorine-containing discharges
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6194299B1 (en) * 1999-06-03 2001-02-27 Advanced Micro Devices, Inc. Method for fabrication of a low resistivity MOSFET gate with thick metal on polysilicon
US6277698B1 (en) * 1999-08-25 2001-08-21 Advanced Micro Devices, Inc. Method of manufacturing semiconductor devices having uniform, fully doped gate electrodes
US6238987B1 (en) * 1999-09-13 2001-05-29 United Microelectronics Corp. Method to reduce parasitic capacitance
US6399440B1 (en) * 1999-11-22 2002-06-04 Vanguard International Semiconductor Corporation Method to reduce the node contact resistance
US6281059B1 (en) * 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
US6271087B1 (en) * 2000-10-10 2001-08-07 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects using self-aligned local interconnects
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102403264A (en) * 2010-09-17 2012-04-04 中芯国际集成电路制造(上海)有限公司 Etching method for contact holes of metal grid MOS (metal oxide semiconductor) devices
CN105206667A (en) * 2014-06-13 2015-12-30 中芯国际集成电路制造(上海)有限公司 Contact plug, MOS, fin-type field effect transistor, and forming method thereof
WO2019143733A1 (en) * 2018-01-16 2019-07-25 Ipower Semiconductor Self-aligned and robust igbt devices
US11233141B2 (en) 2018-01-16 2022-01-25 Ipower Semiconductor Self-aligned and robust IGBT devices
US11239352B2 (en) * 2018-01-16 2022-02-01 Ipower Semiconductor Self-aligned and robust IGBT devices
US10714486B2 (en) 2018-09-13 2020-07-14 Sandisk Technologies Llc Static random access memory cell employing n-doped PFET gate electrodes and methods of manufacturing the same
US11088152B2 (en) 2018-09-13 2021-08-10 Sandisk Technologies Llc Static random access memory cell employing n-doped PFET gate electrodes and methods of manufacturing the same

Also Published As

Publication number Publication date
SG121897A1 (en) 2006-05-26
US7382027B2 (en) 2008-06-03
US20050136573A1 (en) 2005-06-23
SG131123A1 (en) 2007-04-26
US6861317B1 (en) 2005-03-01
SG110193A1 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
US7382027B2 (en) MOSFET device with low gate contact resistance
US7575990B2 (en) Method of forming self-aligned contacts and local interconnects
US6350661B2 (en) Silicon nitride capped shallow trench isolation method for fabricating sub-micron devices with borderless contacts
US7615427B2 (en) Spacer-less low-k dielectric processes
US11362003B2 (en) Prevention of contact bottom void in semiconductor fabrication
US6001726A (en) Method for using a conductive tungsten nitride etch stop layer to form conductive interconnects and tungsten nitride contact structure
US8362569B2 (en) Semiconductor device and semiconductor device fabrication method
US20050200026A1 (en) Contact structure for nanometer characteristic dimensions
US20060189080A1 (en) Method for fabricating semiconductor device
US7981762B2 (en) Method of forming pre-metal dielectric layer of semiconductor device
US20120068270A1 (en) Semiconductor device and manufacturing method of the device
US6291307B1 (en) Method and structure to make planar analog capacitor on the top of a STI structure
US6436759B1 (en) Method for fabricating a MOS transistor of an embedded memory
US7119023B2 (en) Process integration of SOI FETs with active layer spacer
US20040155277A1 (en) Method for manufacturing a semiconductor device including a PIP capacitor and a MOS transistor
US7323377B1 (en) Increasing self-aligned contact areas in integrated circuits using a disposable spacer
US20020132400A1 (en) Novel design and process for a dual gate structure
US9941372B2 (en) Semiconductor device having electrode and manufacturing method thereof
US20030113973A1 (en) Method for fabricating local interconnects
US7544556B1 (en) Process for forming CMOS devices using removable spacers
TW201428889A (en) Method of forming semiconductor structure having contact plug
JP2022539356A (en) Integrated circuit containing composite dielectric layers
KR100924880B1 (en) Method for manufacturing sidewall spacer of semiconductor device
US7163881B1 (en) Method for forming CMOS structure with void-free dielectric film
US20030036276A1 (en) Method for forming high resistance resistor with integrated high voltage device process

Legal Events

Date Code Title Description
AS Assignment

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING LTD., SINGAP

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VERMA, PURAKH RAJ;CHU, SANFORD;CHAN, LAP;AND OTHERS;REEL/FRAME:014509/0820

Effective date: 20030829

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20170301