US20050054149A1 - Method for integrating metals having different work functions to fom cmos gates having a high-k gate dielectric and related structure - Google Patents

Method for integrating metals having different work functions to fom cmos gates having a high-k gate dielectric and related structure Download PDF

Info

Publication number
US20050054149A1
US20050054149A1 US10/654,689 US65468903A US2005054149A1 US 20050054149 A1 US20050054149 A1 US 20050054149A1 US 65468903 A US65468903 A US 65468903A US 2005054149 A1 US2005054149 A1 US 2005054149A1
Authority
US
United States
Prior art keywords
layer
metal
metal layer
gate
pmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/654,689
Other versions
US6872613B1 (en
Inventor
Qi Xiang
Huicai Zhong
Jung-Suk Goo
Allison Holbrook
Joong Jeon
George Kluth
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Assigned to ADVANCED MICRO DEVICES, INC. reassignment ADVANCED MICRO DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HOLBROOK, ALLISON KAY, XIANG, QI, ZHONG, HUICAI, GOO, JUNG-SUK, JEON, JOONG S., KLUTH, GEORGE JONATHAN
Priority to US10/654,689 priority Critical patent/US6872613B1/en
Priority to DE602004022835T priority patent/DE602004022835D1/en
Priority to PCT/US2004/028486 priority patent/WO2005048320A2/en
Priority to KR1020067004383A priority patent/KR101110288B1/en
Priority to CNB2004800255359A priority patent/CN100573851C/en
Priority to JP2006525419A priority patent/JP4996251B2/en
Priority to EP04816840A priority patent/EP1661177B1/en
Priority to TW093126639A priority patent/TWI355739B/en
Priority to US11/020,990 priority patent/US7176531B1/en
Publication of US20050054149A1 publication Critical patent/US20050054149A1/en
Publication of US6872613B1 publication Critical patent/US6872613B1/en
Application granted granted Critical
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor

Definitions

  • the present invention is generally in the field of semiconductor devices. More particularly, the present invention is in the field of fabrication of complementary metal-oxide semiconductor (“CMOS”) transistors.
  • CMOS complementary metal-oxide semiconductor
  • Gate dielectrics having a high dielectric constant (“high-k”) and metal gate electrodes can be utilized by semiconductor manufacturers to improve the performance of complementary metal-oxide semiconductor (“CMOS”) transistors.
  • High-k gate dielectrics are desirable in small feature size technologies since conventional gate dielectrics, such as SiO 2 , are too thin and they result in high tunneling current, as well as other problems.
  • metal gate electrodes can replace polysilicon gate electrodes, which diminish NFET and PFET transistor performance due to, for example, having a high resistance and also causing undesirable depletion of carriers at the interface between gate dielectric and channel.
  • NMOS transistors require a metal gate electrode having a work function of, for example, approximately 4.1 eV while PMOS transistors require a metal gate electrode having a higher work function of, for example, approximately 5.1 eV.
  • semiconductor manufacturers are challenged to integrate metals having different work functions and high-k gate dielectrics in a fabrication process to effectively achieve dual metal NMOS and PMOS gates.
  • a first metal layer having a suitable work function for an NMOS gate and a gate dielectric layer comprising a high-k dielectric are typically deposited over NMOS and PMOS regions of a semiconductor die substrate. Since gate electrodes for NMOS and PMOS gates require different work functions, the first metal layer would not be suitable to form PMOS gate electrodes. Also, current high-k dielectric deposition processes typically cause a high concentration of negative charge to form in the high-k dielectric layer in the PMOS region, which causes an undesirable shift in gate threshold voltage and degradation of carrier mobility. Thus, in a conventional process, different metal layers must be provided in the NMOS and PMOS regions to form respective NMOS and PMOS gate electrodes.
  • the present invention is directed to method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure.
  • the present invention addresses and resolves the need in the art for an effective method for integrating two metals having different work functions to form dual metal CMOS gates having a high-k gate dielectric.
  • a method for integrating a first metal layer and a second metal layer on a substrate to form a dual metal NMOS and PMOS gate comprises a step of depositing a dielectric layer over an NMOS and a PMOS region of the substrate.
  • the dielectric layer can be, for example, hafnium oxide, zirconium oxide, zirconium silicate, or hafnium oxide.
  • the method further comprises depositing the first metal layer over the dielectric layer.
  • the first metal layer can be, for example, hafnium, zirconium, or tantalum.
  • the method further comprises depositing the second metal layer over the first metal layer.
  • the second metal layer can be platinum, tungsten, nickel, or ruthenium, for example.
  • the method further comprises implanting nitrogen in the NMOS region of the substrate.
  • the method further comprises converting a first portion of the first metal layer to a metal oxide layer and converting a second portion of the first metal layer to a metal nitride layer.
  • a high-temperature anneal is utilized to convert the first portion of the first metal layer into the metal oxide layer and to complete conversion of the second portion of the first metal layer into the metal nitride layer.
  • the method can further comprise implanting a P type dopant in the PMOS region of the substrate.
  • the method further comprises forming the NMOS and the PMOS gate, where the NMOS gate comprises a segment of the metal nitride layer and the PMOS gate comprises a segment of the metal oxide layer.
  • FIG. 2C illustrates a cross-sectional view of a portion of a wafer processed according to an embodiment of the invention, corresponding to certain steps of the flowchart in FIG. 1 .
  • FIG. 2D illustrates a cross-sectional view of a portion of a wafer processed according to an embodiment of the invention, corresponding to certain steps of the flowchart in FIG. 1 .
  • the present invention is directed to method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure.
  • the following description contains specific information pertaining to the implementation of the present invention.
  • One skilled in the art will recognize that the present invention may be implemented in a manner different from that specifically discussed in the present application. Moreover, some of the specific details of the invention are not discussed in order not to obscure the invention.
  • structures 250 , 252 , 254 , 256 , and 258 in FIGS. 2A, 2B , 2 C, 2 D, and 2 E illustrate the result of performing, on a structure, such as a semiconductor die, including a substrate discussed above, steps 150 , 152 , 154 , 156 , and 158 of flowchart 100 , respectively.
  • structure 250 shows the structure discussed above after processing step 150
  • structure 252 shows structure 250 after the processing of step 152
  • structure 254 shows structure 252 after the processing of step 154 , and so forth.
  • Metal layer 206 can comprise hafnium, zirconium, or tantalum and can be deposited over dielectric layer 204 by CVD process or physical vapor deposition (“PVD”) process or other appropriate processes.
  • Metal layer 206 has a work function of approximately 4.1 eV, which is a desirable work function for an NMOS transistor gate.
  • metal layer 206 can have a thickness of between approximately 30.0 Angstroms and approximately 100.0 Angstroms.
  • Metal layer 208 can comprise platinum, tungsten, cobalt, nickel, or ruthenium, and can be deposited over metal layer 206 by CVD process or PVD process or other appropriate processes.
  • step 152 of flowchart 100 mask 214 is formed over PMOS region 212 of substrate 202 .
  • Mask 214 can comprise photoresist or other appropriate material as known in the art.
  • Mask 214 is formed only over PMOS region 212 , leaving NMOS region 210 unmasked.
  • selective nitrogen implant 216 is performed over NMOS region 210 .
  • nitrogen implant 216 is adjusted such that nitrogen is selectively implanted in metal layer 206 while passing through metal layer 208 .
  • a concentration of nitrogen implanted in metal layer 206 is higher than a concentration of nitrogen implanted in metal layer 208 .
  • FIG. 2B the result of step 152 of flowchart 100 is illustrated by structure 252 .
  • mask 222 is formed over NMOS region 210 of substrate 202 . Similar to mask 214 , mask 222 can comprise photoresist or other appropriate material as known in the art. Mask 222 is formed only over NMOS region 210 , leaving PMOS region 212 unmasked.
  • selective charge-balancing implant 224 is performed in PMOS region 212 . In selective charge-balancing implant 224 , a P type dopant such as argon or other appropriate dopant is implanted in metal oxide layer 220 in PMOS region 212 .
  • mask 222 is removed from NMOS region 210 of substrate 202 .
  • structure 258 is also referred to as a “CMOS structure” in the present application.
  • Mask 222 may be removed in a similar manner as mask 214 discussed above.
  • NMOS gate 226 and PMOS gate 228 are formed in NMOS region 210 and PMOS region 212 , respectively.
  • NMOS gate 226 can be formed by patterning and etching metal layer 208 , metal nitride layer 218 , and dielectric layer 204 situated in NMOS region 210 in a manner known in the art.
  • PMOS gate 228 can be formed by patterning and etching metal layer 208 , metal oxide layer 220 , and dielectric layer 204 situated in PMOS region 212 .
  • NMOS gate 226 includes gate electrode stack 230 , which comprises segment 232 of metal layer 208 and segment 234 of metal nitride layer 218 , and a gate dielectric, which comprises segment 236 of dielectric layer 204 .
  • the work function of gate electrode stack 230 of NMOS gate 226 is determined by portion 234 of metal nitride layer 218 .
  • gate electrode stack 230 can comprise either a poly layer or a silicide layer situated over segment 232 of metal layer 208 .
  • PMOS gate 228 includes gate electrode 238 , which comprises segment 238 of metal layer 208 , and gate dielectric stack 240 , which comprises segment 242 of metal oxide layer 220 and segment 244 of dielectric layer 204 .
  • gate electrode 238 of PMOS gate 228 is determined by metal layer 208 .
  • PMOS gate 228 can include a gate electrode stack comprising either a poly layer or a silicide layer situated over segment 238 of metal layer 208 . It is noted that although only NMOS gate 226 and PMOS gate 228 are shown in FIG. 2E to preserve brevity, NMOS region 210 and PMOS region 212 can include a large number of NMOS gates and PMOS gates, respectively. Referring to FIG. 2E , the result of step 158 of flowchart 100 is illustrated by structure 258 .
  • the present invention advantageously achieves dual metal NMOS and PMOS gates having appropriate work functions and high-k gate dielectrics.
  • a selective nitrogen implant is performed in an NMOS region of a substrate
  • a high-temperature anneal is utilized to advantageously convert a portion of metal layer 206 into a layer of metal nitride, which is utilized, in combination with a segment of metal layer 208 , to form an NMOS gate electrode stack.
  • the high-temperature anneal is also utilized, as discussed above, to convert a portion of metal layer 206 into a layer of metal oxide, which is utilized in combination with a segment of dielectric layer 204 to form a PMOS gate dielectric stack.
  • the present invention also utilizes a selective charge-balancing implant to neutralize excessive negative charge in a PMOS gate dielectric stack, i.e. gate dielectric stack 240 , which advantageously prevents undesirable gate threshold voltage shift and carrier mobility degradation in the PMOS gate stack.
  • the present invention advantageously achieves an effective integration of different metal layers, i.e. metal layers 206 and 208 , to achieve dual metal CMOS, i.e. NMOS and PMOS, gates.
  • dual metal CMOS gates are fabricated in a process requiring separate deposition of gate metal in NMOS and PMOS regions, which is difficult to implement effectively.

Abstract

According to one exemplary embodiment, a method for integrating first and second metal layers on a substrate to form a dual metal NMOS gate and PMOS gate comprises depositing a dielectric layer over an NMOS region and a PMOS region of the substrate. The method further comprises depositing the first metal layer over dielectric layer. The method further comprises depositing the second metal layer over the first metal layer. The method further comprises implanting nitrogen in the NMOS region of substrate and converting a first portion of the first metal layer into a metal oxide layer and converting a second portion of the first metal layer into metal nitride layer. The method further comprises forming the NMOS gate and the PMOS gate, where the NMOS gate comprises a segment of metal nitride layer and the PMOS gate comprises a segment of the metal oxide layer.

Description

    TECHNICAL FIELD
  • The present invention is generally in the field of semiconductor devices. More particularly, the present invention is in the field of fabrication of complementary metal-oxide semiconductor (“CMOS”) transistors.
  • BACKGROUND ART
  • Gate dielectrics having a high dielectric constant (“high-k”) and metal gate electrodes can be utilized by semiconductor manufacturers to improve the performance of complementary metal-oxide semiconductor (“CMOS”) transistors. High-k gate dielectrics are desirable in small feature size technologies since conventional gate dielectrics, such as SiO2, are too thin and they result in high tunneling current, as well as other problems. Further, metal gate electrodes can replace polysilicon gate electrodes, which diminish NFET and PFET transistor performance due to, for example, having a high resistance and also causing undesirable depletion of carriers at the interface between gate dielectric and channel. However, NMOS transistors require a metal gate electrode having a work function of, for example, approximately 4.1 eV while PMOS transistors require a metal gate electrode having a higher work function of, for example, approximately 5.1 eV. Thus, semiconductor manufacturers are challenged to integrate metals having different work functions and high-k gate dielectrics in a fabrication process to effectively achieve dual metal NMOS and PMOS gates.
  • In a conventional fabrication process for CMOS transistors utilizing metal gate electrodes and high-k gate dielectrics, a first metal layer having a suitable work function for an NMOS gate and a gate dielectric layer comprising a high-k dielectric are typically deposited over NMOS and PMOS regions of a semiconductor die substrate. Since gate electrodes for NMOS and PMOS gates require different work functions, the first metal layer would not be suitable to form PMOS gate electrodes. Also, current high-k dielectric deposition processes typically cause a high concentration of negative charge to form in the high-k dielectric layer in the PMOS region, which causes an undesirable shift in gate threshold voltage and degradation of carrier mobility. Thus, in a conventional process, different metal layers must be provided in the NMOS and PMOS regions to form respective NMOS and PMOS gate electrodes.
  • Thus, in the conventional fabrication process, portions of the first metal layer situated in the PMOS region of the substrate are removed and a second metal layer having a work function for the PMOS gates is deposited over the gate dielectric layer in the PMOS region. Thus, the conventional process for fabricating dual metal CMOS gates discussed above is a difficult process that requires depositing a first metal layer over NMOS and PMOS regions of a substrate, removing portions of the first metal layer in the PMOS region, and depositing a second metal layer in the PMOS region.
  • Thus, there is a need in the art for an effective method for integrating two metals having different work functions to form dual metal CMOS gates having a high-k gate dielectric.
  • SUMMARY
  • The present invention is directed to method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure. The present invention addresses and resolves the need in the art for an effective method for integrating two metals having different work functions to form dual metal CMOS gates having a high-k gate dielectric.
  • According to one exemplary embodiment, a method for integrating a first metal layer and a second metal layer on a substrate to form a dual metal NMOS and PMOS gate comprises a step of depositing a dielectric layer over an NMOS and a PMOS region of the substrate. The dielectric layer can be, for example, hafnium oxide, zirconium oxide, zirconium silicate, or hafnium oxide. The method further comprises depositing the first metal layer over the dielectric layer. The first metal layer can be, for example, hafnium, zirconium, or tantalum. The method further comprises depositing the second metal layer over the first metal layer. The second metal layer can be platinum, tungsten, nickel, or ruthenium, for example. The method further comprises implanting nitrogen in the NMOS region of the substrate.
  • According to this exemplary embodiment, the method further comprises converting a first portion of the first metal layer to a metal oxide layer and converting a second portion of the first metal layer to a metal nitride layer. A high-temperature anneal is utilized to convert the first portion of the first metal layer into the metal oxide layer and to complete conversion of the second portion of the first metal layer into the metal nitride layer. The method can further comprise implanting a P type dopant in the PMOS region of the substrate. The method further comprises forming the NMOS and the PMOS gate, where the NMOS gate comprises a segment of the metal nitride layer and the PMOS gate comprises a segment of the metal oxide layer. A gate electrode of the PMOS gate can be a segment of the second metal layer and a gate electrode of the NMOS gate can be the segment of the metal nitride layer. In one embodiment, the invention is a CMOS device fabricated by utilizing the above-discussed method. Other features and advantages of the present invention will become more readily apparent to those of ordinary skill in the art after reviewing the following detailed description and accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flowchart corresponding to exemplary method steps according to one embodiment of the present invention.
  • FIG. 2A illustrates a cross-sectional view of a portion of a wafer processed according to an embodiment of the invention, corresponding to certain steps of the flowchart in FIG. 1.
  • FIG. 2B illustrates a cross-sectional view of a portion of a wafer processed according to an embodiment of the invention, corresponding to certain steps of the flowchart in FIG. 1.
  • FIG. 2C illustrates a cross-sectional view of a portion of a wafer processed according to an embodiment of the invention, corresponding to certain steps of the flowchart in FIG. 1.
  • FIG. 2D illustrates a cross-sectional view of a portion of a wafer processed according to an embodiment of the invention, corresponding to certain steps of the flowchart in FIG. 1.
  • FIG. 2E illustrates a cross-sectional view of a portion of a wafer processed according to an embodiment of the invention, corresponding to certain steps of the flowchart in FIG. 1.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention is directed to method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure. The following description contains specific information pertaining to the implementation of the present invention. One skilled in the art will recognize that the present invention may be implemented in a manner different from that specifically discussed in the present application. Moreover, some of the specific details of the invention are not discussed in order not to obscure the invention.
  • The drawings in the present application and their accompanying detailed description are directed to merely exemplary embodiments of the invention. To maintain brevity, other embodiments of the present invention are not specifically described in the present application and are not specifically illustrated by the present drawings.
  • The present invention involves a process for effectively integrating different metal layers on a substrate of a semiconductor die to form dual metal NMOS and PMOS gates having a high-k gate dielectric. As will be discussed in detail below, the present invention achieves an innovative process whereby a first selective nitrogen implant and a high-temperature anneal are utilized to alter the composition and property of respective portions of a metal layer to achieve dual metal NMOS and PMOS gates and a second selective charge-balancing implant is utilized to balance charge in a PMOS gate dielectric stack.
  • FIG. 1 shows a flowchart illustrating an exemplary method according to an embodiment of the present invention. Certain details and features have been left out of flowchart 100 that are apparent to a person of ordinary skill in the art. For example, a step may consist of one or more substeps or may involve specialized equipment or materials, as known in the art. Steps 150 through 158 indicated in flowchart 100 are sufficient to describe one embodiment of the present invention, other embodiments of the invention may utilize steps different from those shown in flowchart 100. It is noted that the processing steps shown in flowchart 100 are performed on a wafer, which, prior to step 150, includes a substrate having an NMOS region and a PMOS region.
  • Moreover, structures 250, 252, 254, 256, and 258 in FIGS. 2A, 2B, 2C, 2D, and 2E illustrate the result of performing, on a structure, such as a semiconductor die, including a substrate discussed above, steps 150, 152, 154, 156, and 158 of flowchart 100, respectively. For example, structure 250 shows the structure discussed above after processing step 150, structure 252 shows structure 250 after the processing of step 152, structure 254 shows structure 252 after the processing of step 154, and so forth.
  • Referring now to step 150 in FIG. 1 and structure 250 in FIG. 2A, at step 150 of flowchart 100, dielectric layer 204, metal layer 206, and metal layer 208 are sequentially deposited over NMOS region 210 and PMOS region 212 of substrate 202. Substrate 202 can comprise N type doped silicon in PMOS region 212 and can comprise P type doped silicon in NMOS region 210. Dielectric layer 204 can comprise a dielectric having a high dielectric constant (“high-k dielectric”), such as hafnium oxide, zirconium oxide, zirconium silicate, or hafnium oxide, and may be deposited over substrate 202 utilizing a chemical vapor deposition (“CVD”) process or other appropriate processes. By way of example, dielectric layer 204 can have a thickness less than 30.0 Angstroms.
  • Metal layer 206 can comprise hafnium, zirconium, or tantalum and can be deposited over dielectric layer 204 by CVD process or physical vapor deposition (“PVD”) process or other appropriate processes. Metal layer 206 has a work function of approximately 4.1 eV, which is a desirable work function for an NMOS transistor gate. By way of example, metal layer 206 can have a thickness of between approximately 30.0 Angstroms and approximately 100.0 Angstroms. Metal layer 208 can comprise platinum, tungsten, cobalt, nickel, or ruthenium, and can be deposited over metal layer 206 by CVD process or PVD process or other appropriate processes. Metal layer 208 has a work function of approximately 5.1 eV, which is a desirable work function for a PMOS transistor gate. By way of example, metal layer 208 can have a thickness greater than 100.0 Angstroms. In one embodiment, a layer of polycrystalline silicon (“poly”) (not shown in any of the figures) can be deposited over metal layer 208. In such embodiment, the layer of poly is N type doped in NMOS region 210 and P type doped in PMOS region 212. In one embodiment, a layer of silicide (not shown in any of the figures) can be formed over metal layer 208. Referring to FIG. 2A, the result of step 150 of flowchart 100 is illustrated by structure 250.
  • Continuing with step 152 in FIG. 1 and structure 252 in FIG. 2B, at step 152 of flowchart 100, mask 214 is formed over PMOS region 212 of substrate 202. Mask 214 can comprise photoresist or other appropriate material as known in the art. Mask 214 is formed only over PMOS region 212, leaving NMOS region 210 unmasked. Next, selective nitrogen implant 216 is performed over NMOS region 210. In the present embodiment, nitrogen implant 216 is adjusted such that nitrogen is selectively implanted in metal layer 206 while passing through metal layer 208. As a result of nitrogen implant 216, a concentration of nitrogen implanted in metal layer 206 is higher than a concentration of nitrogen implanted in metal layer 208. Referring to FIG. 2B, the result of step 152 of flowchart 100 is illustrated by structure 252.
  • Continuing with step 154 in FIG. 1 and structure 254 in FIG. 2C, at step 154 of flowchart 100, mask 214 is removed from PMOS region 212 and a high-temperature anneal is performed to form metal nitride layer 218 in NMOS region 210 and metal oxide layer 220 in PMOS region 212. Mask 214 may be removed by, for example, utilizing a plasma etch or other appropriate etch as known in the art. As a result of performing the high-temperature anneal, a portion of metal layer 206 situated in NMOS region 210 is converted into metal nitride to form metal nitride layer 218 (also referred to as a “nitride converted” portion in the present application) and a portion of metal layer 206 situated in PMOS region 212 is converted into metal oxide to form metal oxide layer 220 (also referred to as an “oxide converted” portion in the present application). The metal nitride layer 218 provides an appropriate work function for NMOS transistor gates. A segment of metal oxide layer 220 will be utilized to form a PMOS gate dielectric stack in a subsequent process step.
  • When the portion of metal layer 206 in PMOS region 212 is converted into metal oxide during the high-temperature anneal, the portion of metal layer 206 is effectively converted into a dielectric. Thus, the high-temperature anneal alters the composition and property of a portion of metal layer 206 situated in PMOS region 212 by converting it into a metal oxide, which is a dielectric. As a result, when a PMOS gate is formed in PMOS region 212 in a subsequent process step, metal layer 208 becomes a PMOS gate electrode in the PMOS gate. Referring to FIG. 2C, the result of step 154 of flowchart 100 is illustrated by structure 254.
  • Continuing with step 156 in FIG. 1 and structure 256 in FIG. 2D, at step 156 of flowchart 100, mask 222 is formed over NMOS region 210 of substrate 202. Similar to mask 214, mask 222 can comprise photoresist or other appropriate material as known in the art. Mask 222 is formed only over NMOS region 210, leaving PMOS region 212 unmasked. Next, selective charge-balancing implant 224 is performed in PMOS region 212. In selective charge-balancing implant 224, a P type dopant such as argon or other appropriate dopant is implanted in metal oxide layer 220 in PMOS region 212. In the present invention, a balanced charge is achieved by utilizing selective charge-balancing implant 224 to introduce an appropriate positive charge into metal oxide layer 220 to neutralize negative charge in metal oxide layer 220 and a portion of dielectric layer 204 situated in PMOS region 212. As a result, the present invention advantageously prevents an undesirable threshold voltage shift and undesirable carrier mobility degradation in a subsequently formed PMOS gate caused by negative charge in the PMOS gate dielectric stack comprising metal oxide layer 220 and dielectric layer 204. Referring to FIG. 2D, the result of step 156 of flowchart 100 is illustrated by structure 256.
  • Continuing with step 158 in FIG. 1 and structure 258 in FIG. 2E, at step 158 of flowchart 100, mask 222 is removed from NMOS region 210 of substrate 202. It is noted that structure 258 is also referred to as a “CMOS structure” in the present application. Mask 222 may be removed in a similar manner as mask 214 discussed above. Next, NMOS gate 226 and PMOS gate 228 are formed in NMOS region 210 and PMOS region 212, respectively. NMOS gate 226 can be formed by patterning and etching metal layer 208, metal nitride layer 218, and dielectric layer 204 situated in NMOS region 210 in a manner known in the art. Similarly, PMOS gate 228 can be formed by patterning and etching metal layer 208, metal oxide layer 220, and dielectric layer 204 situated in PMOS region 212.
  • NMOS gate 226 includes gate electrode stack 230, which comprises segment 232 of metal layer 208 and segment 234 of metal nitride layer 218, and a gate dielectric, which comprises segment 236 of dielectric layer 204. The work function of gate electrode stack 230 of NMOS gate 226 is determined by portion 234 of metal nitride layer 218. In other embodiments, gate electrode stack 230 can comprise either a poly layer or a silicide layer situated over segment 232 of metal layer 208. PMOS gate 228 includes gate electrode 238, which comprises segment 238 of metal layer 208, and gate dielectric stack 240, which comprises segment 242 of metal oxide layer 220 and segment 244 of dielectric layer 204. The work function of gate electrode 238 of PMOS gate 228 is determined by metal layer 208. In other embodiments, PMOS gate 228 can include a gate electrode stack comprising either a poly layer or a silicide layer situated over segment 238 of metal layer 208. It is noted that although only NMOS gate 226 and PMOS gate 228 are shown in FIG. 2E to preserve brevity, NMOS region 210 and PMOS region 212 can include a large number of NMOS gates and PMOS gates, respectively. Referring to FIG. 2E, the result of step 158 of flowchart 100 is illustrated by structure 258.
  • As discussed above, by utilizing selective nitrogen and charge-balancing implants, the present invention advantageously achieves dual metal NMOS and PMOS gates having appropriate work functions and high-k gate dielectrics. As discussed above, after a selective nitrogen implant is performed in an NMOS region of a substrate, a high-temperature anneal is utilized to advantageously convert a portion of metal layer 206 into a layer of metal nitride, which is utilized, in combination with a segment of metal layer 208, to form an NMOS gate electrode stack. The high-temperature anneal is also utilized, as discussed above, to convert a portion of metal layer 206 into a layer of metal oxide, which is utilized in combination with a segment of dielectric layer 204 to form a PMOS gate dielectric stack. The present invention also utilizes a selective charge-balancing implant to neutralize excessive negative charge in a PMOS gate dielectric stack, i.e. gate dielectric stack 240, which advantageously prevents undesirable gate threshold voltage shift and carrier mobility degradation in the PMOS gate stack.
  • Additionally, by utilizing the same metal layers, i.e. metal layers 206 and 208, in an NMOS region and a PMOS region of a substrate, the present invention advantageously achieves an effective integration of different metal layers, i.e. metal layers 206 and 208, to achieve dual metal CMOS, i.e. NMOS and PMOS, gates. In contrast, in a conventional dual metal CMOS gate fabrication process, dual metal CMOS gates are fabricated in a process requiring separate deposition of gate metal in NMOS and PMOS regions, which is difficult to implement effectively.
  • From the above description of exemplary embodiments of the invention it is manifest that various techniques can be used for implementing the concepts of the present invention without departing from its scope. Moreover, while the invention has been described with specific reference to certain embodiments, a person of ordinary skill in the art would recognize that changes could be made in form and detail without departing from the spirit and the scope of the invention. The described exemplary embodiments are to be considered in all respects as illustrative and not restrictive. It should also be understood that the invention is not limited to the particular exemplary embodiments described herein, but is capable of many rearrangements, modifications, and substitutions without departing from the scope of the invention.
  • Thus, method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure have been described.

Claims (26)

1: A method comprising steps of:
depositing a dielectric layer over an NMOS region and a PMOS region of a substrate;
depositing a first metal layer over said dielectric layer;
implanting nitrogen in said NMOS region of said substrate;
converting a first portion of said first metal layer into a metal oxide layer and converting a second portion of said first metal layer into a metal nitride layer;
forming an NMOS gate and a PMOS gate, said NMOS gate comprising a segment of said metal nitride layer and said PMOS gate comprising a segment of said metal oxide layer.
2: The method of claim 1 wherein said step of converting said first portion of said first metal layer into said metal oxide layer comprises utilizing a high-temperature anneal.
3: The method of claim 1 further comprising a step of implanting a P type dopant in said PMOS region of said substrate after said step of converting said first portion of said first metal layer and prior to said step of forming said NMOS gate and said PMOS gate.
4: The method of claim 1 further comprising a step of depositing a second metal layer over said first metal layer after said step of depositing said first metal layer and prior to said step of implanting said nitrogen in said NMOS region.
5: The method of claim 1 wherein said step of implanting said nitrogen in said NMOS region comprises implanting said nitrogen in said second portion of said first metal layer without implanting said nitrogen in said first portion of said first metal layer.
6: The method of claim 4 wherein a gate electrode of said PMOS gate comprises a segment of said second metal layer and a gate electrode of said NMOS gate comprises said segment of said metal nitride layer.
7: The method of claim 1 wherein said first metal layer is selected from the group consisting of hafnium, zirconium, and tantalum.
8: The method of claim 1 wherein said dielectric layer is selected from the group consisting of hafnium oxide, zirconium oxide, zirconium silicate, and hafnium oxide.
9: A method comprising steps of depositing a dielectric layer over an NMOS region and a PMOS region of a substrate, depositing a first metal layer over said dielectric layer, said method being characterized by:
implanting nitrogen in said NMOS region of said substrate, converting a first portion of said first metal layer into a metal oxide layer and converting a second portion of said first metal layer into a metal nitride layer, forming an NMOS gate and a PMOS gate, said NMOS gate comprising a segment of said metal nitride layer and said PMOS gate comprising a segment of said metal oxide layer.
10: The method of claim 9 wherein said step of converting said first portion of said first metal layer into said metal oxide layer comprises utilizing a high-temperature anneal.
11: The method of claim 9 further comprising a step of implanting a P type dopant in said PMOS region of said substrate after said step of converting said first portion of said first metal layer and prior to said step of forming said NMOS gate and said PMOS gate.
12: The method of claim 9 further comprising a step of depositing a second metal layer over said first metal layer after said step of depositing said first metal layer and prior to said step of implanting said nitrogen in said NMOS region.
13: The method of claim 9 wherein said step of implanting said nitrogen in said NMOS region comprises implanting said nitrogen in said second portion of said first metal layer without implanting said nitrogen in said first portion of said first metal layer.
14: The method of claim 12 wherein a gate electrode of said PMOS gate comprises a segment of said second metal layer and a gate electrode of said NMOS gate comprises said segment of said metal nitride layer.
15: The method of claim 9 wherein said first metal layer is selected from the group consisting of hafnium, zirconium, and tantalum.
16-20. (canceled).
21: A method comprising steps of:
forming a dielectric layer over an NMOS region and a PMOS region of a substrate;
forming a first metal layer over said dielectric layer;
performing a first selective implant in said NMOS region of said substrate, said first selective implant comprising nitrogen;
performing a high-temperature anneal, said high-temperature anneal causing a first portion of said first metal layer to be converted into a metal nitride layer and a second portion of said first metal layer to be converted into a metal oxide layer;
performing a second selective implant in said PMOS region of said substrate, said second selective implant comprising a P type dopant;
forming an NMOS gate in said NMOS region of said substrate and a PMOS gate in said PMOS region of said substrate, said NMOS gate comprising a gate electrode stack, said gate electrode stack comprising a segment of said metal nitride layer.
22: The method of claim 21 further comprising a step of depositing a second metal layer after said step of forming said first metal layer and prior to said step of performing said first selective implant.
23: The method of claim 21 wherein said step of performing said second implant comprises implanting said P type dopant in said metal oxide layer.
24: The method of claim 22 wherein said PMOS gate comprises a gate electrode, said gate electrode comprising a segment of said second metal layer.
25: The method of claim 21 wherein said PMOS gate comprises a gate dielectric stack, said gate dielectric stack comprising a segment of said dielectric layer and a segment of said metal oxide layer.
26: The method of claim 21 wherein said P type dopant comprises argon.
27: The method of claim 21 wherein said step of performing said first selective implant in said NMOS region comprises implanting said nitrogen in said second portion of said first metal layer without implanting said nitrogen in said first portion of said first metal layer.
28: The method of claim 21 wherein said dielectric layer is selected from the group consisting of hafnium oxide, zirconium oxide, zirconium silicate, and hafnium oxide.
29: The method of claim 22 wherein said second metal layer is selected from the group consisting of platinum, tungsten, cobalt, nickel, and ruthenium.
30: The method of claim 21 wherein said first metal layer is selected from the group consisting of hafnium, zirconium, and tantalum.
US10/654,689 2003-09-04 2003-09-04 Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure Expired - Lifetime US6872613B1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US10/654,689 US6872613B1 (en) 2003-09-04 2003-09-04 Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
EP04816840A EP1661177B1 (en) 2003-09-04 2004-08-31 Method for integrating metals having different work functions to form cmos gates having a high-k gate dielectric and related structure
PCT/US2004/028486 WO2005048320A2 (en) 2003-09-04 2004-08-31 Method for integrating metals having different work functions to form cmos gates having a high-k gate dielectric and related structure
KR1020067004383A KR101110288B1 (en) 2003-09-04 2004-08-31 Method for integrating metals having different work functions to form cmos gates having a high-k dielectric and related structure
CNB2004800255359A CN100573851C (en) 2003-09-04 2004-08-31 Cmos device and manufacture method thereof
JP2006525419A JP4996251B2 (en) 2003-09-04 2004-08-31 Method for integrating metals having different work functions to form a CMOS gate having a structure associated with a high-k gate dielectric
DE602004022835T DE602004022835D1 (en) 2003-09-04 2004-08-31 METHOD OF INTEGRATING METALS WITH VARIOUS EXIT WORKING FOR THE PREPARATION OF CMOS GATES WITH HIGH KIT GATE-THIN CHAIN AND RELATED STRUCTURE
TW093126639A TWI355739B (en) 2003-09-04 2004-09-03 Method for integrating metals having different wor
US11/020,990 US7176531B1 (en) 2003-09-04 2004-12-22 CMOS gates formed by integrating metals having different work functions and having a high-k gate dielectric

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/654,689 US6872613B1 (en) 2003-09-04 2003-09-04 Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/020,990 Division US7176531B1 (en) 2003-09-04 2004-12-22 CMOS gates formed by integrating metals having different work functions and having a high-k gate dielectric

Publications (2)

Publication Number Publication Date
US20050054149A1 true US20050054149A1 (en) 2005-03-10
US6872613B1 US6872613B1 (en) 2005-03-29

Family

ID=34225988

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/654,689 Expired - Lifetime US6872613B1 (en) 2003-09-04 2003-09-04 Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
US11/020,990 Expired - Lifetime US7176531B1 (en) 2003-09-04 2004-12-22 CMOS gates formed by integrating metals having different work functions and having a high-k gate dielectric

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/020,990 Expired - Lifetime US7176531B1 (en) 2003-09-04 2004-12-22 CMOS gates formed by integrating metals having different work functions and having a high-k gate dielectric

Country Status (8)

Country Link
US (2) US6872613B1 (en)
EP (1) EP1661177B1 (en)
JP (1) JP4996251B2 (en)
KR (1) KR101110288B1 (en)
CN (1) CN100573851C (en)
DE (1) DE602004022835D1 (en)
TW (1) TWI355739B (en)
WO (1) WO2005048320A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050101145A1 (en) * 2003-11-06 2005-05-12 Texas Instruments Incorporated Semiconductor structure and method of fabrication
US20050266619A1 (en) * 2004-05-26 2005-12-01 Brask Justin K Method for making a semiconductor device with a high-k gate dielectric and a conductor that facilitates current flow across a P/N junction
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060134848A1 (en) * 2003-02-03 2006-06-22 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with mos transistors comprising gate electrodes formed in a packet of metal layers deposited upon one another
US20070158765A1 (en) * 2006-01-10 2007-07-12 Micron Technology, Inc. Gallium lanthanide oxide films
US20090152620A1 (en) * 2005-08-30 2009-06-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF GdScO3 FILMS AS GATE DIELECTRICS
US20090206415A1 (en) * 2008-02-19 2009-08-20 Tian-Fu Chiang Semiconductor element structure and method for making the same
US20100171178A1 (en) * 2009-01-05 2010-07-08 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
US7867859B1 (en) 2004-01-16 2011-01-11 The Board Of Trustees Of The Leland Stanford Junior University Gate electrode with depletion suppression and tunable workfunction
US7999334B2 (en) 2005-12-08 2011-08-16 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US20130005126A1 (en) * 2009-10-20 2013-01-03 International Business Machines Corporation Application of cluster beam implantation for fabricating threshold voltage adjusted fets
CN103094114A (en) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 Manufacturing method of transistor
US9269633B2 (en) 2000-12-18 2016-02-23 The Board Of Trustees Of The Leland Stanford Junior University Method for forming gate electrode with depletion suppression and tunable workfunction
CN111415934A (en) * 2020-03-31 2020-07-14 上海华力集成电路制造有限公司 PMOS and NMOS integrated structure and manufacturing method thereof

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI258811B (en) * 2003-11-12 2006-07-21 Samsung Electronics Co Ltd Semiconductor devices having different gate dielectrics and methods for manufacturing the same
KR100618815B1 (en) * 2003-11-12 2006-08-31 삼성전자주식회사 Semiconductor device having different gate dielectric layers and method for manufacturing the same
US20070023842A1 (en) * 2003-11-12 2007-02-01 Hyung-Suk Jung Semiconductor devices having different gate dielectric layers and methods of manufacturing the same
US7018883B2 (en) * 2004-05-05 2006-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work function gate electrodes
JP2006013092A (en) * 2004-06-25 2006-01-12 Rohm Co Ltd Semiconductor device and its fabrication process
US7416933B2 (en) * 2004-08-06 2008-08-26 Micron Technology, Inc. Methods of enabling polysilicon gate electrodes for high-k gate dielectrics
US7902058B2 (en) * 2004-09-29 2011-03-08 Intel Corporation Inducing strain in the channels of metal gate transistors
JP2006120718A (en) * 2004-10-19 2006-05-11 Toshiba Corp Semiconductor device and manufacturing method therefor
JP4589765B2 (en) * 2005-03-15 2010-12-01 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US20070037333A1 (en) * 2005-08-15 2007-02-15 Texas Instruments Incorporated Work function separation for fully silicided gates
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US20070108529A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US20070228480A1 (en) * 2006-04-03 2007-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device having PMOS and NMOS transistors with different gate structures
US7666730B2 (en) * 2007-06-29 2010-02-23 Freescale Semiconductor, Inc. Method for forming a dual metal gate structure
DE102007035838B4 (en) 2007-07-31 2014-12-18 Advanced Micro Devices, Inc. A method of forming a semiconductor structure with an implantation of nitrogen ions
US7790541B2 (en) * 2007-12-04 2010-09-07 International Business Machines Corporation Method and structure for forming multiple self-aligned gate stacks for logic devices
JP5349903B2 (en) * 2008-02-28 2013-11-20 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method and semiconductor device
US7498271B1 (en) 2008-06-24 2009-03-03 International Business Machines Corporation Nitrogen based plasma process for metal gate MOS device
US8105931B2 (en) * 2008-08-27 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for MOS devices
US8440520B2 (en) * 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US9177870B2 (en) 2011-12-16 2015-11-03 Taiwan Semiconductor Manufacturing Company Ltd. Enhanced gate replacement process for high-K metal gate technology
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
KR20200108930A (en) * 2013-09-27 2020-09-21 인텔 코포레이션 Non-planar i/o and logic semiconductor devices having different workfunction on common substrate
US9401311B2 (en) 2014-05-02 2016-07-26 International Business Machines Corporation Self aligned structure and method for high-K metal gate work function tuning

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6492217B1 (en) * 1998-06-30 2002-12-10 Intel Corporation Complementary metal gates and a process for implementation

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
JP4237332B2 (en) * 1999-04-30 2009-03-11 株式会社東芝 Manufacturing method of semiconductor device
JP2001217323A (en) * 1999-12-16 2001-08-10 Texas Instr Inc <Ti> Method for forming cmos device double metal gate structure
JP3613113B2 (en) * 2000-01-21 2005-01-26 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6458695B1 (en) 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6645818B1 (en) * 2002-11-13 2003-11-11 Chartered Semiconductor Manufacturing Ltd. Method to fabricate dual-metal gate for N- and P-FETs
JP2004207481A (en) * 2002-12-25 2004-07-22 Renesas Technology Corp Semiconductor device and its manufacturing method
WO2004070833A1 (en) * 2003-02-03 2004-08-19 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with mos transistors comprising gate electrodes formed in a packet of metal layers deposited upon one another
JP4524995B2 (en) * 2003-03-25 2010-08-18 ルネサスエレクトロニクス株式会社 Semiconductor device
JP3790237B2 (en) * 2003-08-26 2006-06-28 株式会社東芝 Manufacturing method of semiconductor device
US20060027961A1 (en) * 2004-08-09 2006-02-09 Mcallister Robert F Gasoline game card: a game of chance

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6492217B1 (en) * 1998-06-30 2002-12-10 Intel Corporation Complementary metal gates and a process for implementation

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9269633B2 (en) 2000-12-18 2016-02-23 The Board Of Trustees Of The Leland Stanford Junior University Method for forming gate electrode with depletion suppression and tunable workfunction
US20060134848A1 (en) * 2003-02-03 2006-06-22 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with mos transistors comprising gate electrodes formed in a packet of metal layers deposited upon one another
US7326631B2 (en) * 2003-02-03 2008-02-05 Nxp B.V. Method of manufacturing MOS transistors with gate electrodes formed in a packet of metal layers deposited upon one another
US7183221B2 (en) * 2003-11-06 2007-02-27 Texas Instruments Incorporated Method of fabricating a semiconductor having dual gate electrodes using a composition-altered metal layer
US20060202300A1 (en) * 2003-11-06 2006-09-14 Visokay Mark R Semiconductor structure and method of fabrication
US20050101145A1 (en) * 2003-11-06 2005-05-12 Texas Instruments Incorporated Semiconductor structure and method of fabrication
US7867859B1 (en) 2004-01-16 2011-01-11 The Board Of Trustees Of The Leland Stanford Junior University Gate electrode with depletion suppression and tunable workfunction
US7045428B2 (en) * 2004-05-26 2006-05-16 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a conductor that facilitates current flow across a P/N junction
US20050266619A1 (en) * 2004-05-26 2005-12-01 Brask Justin K Method for making a semiconductor device with a high-k gate dielectric and a conductor that facilitates current flow across a P/N junction
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US7611943B2 (en) 2004-10-20 2009-11-03 Texas Instruments Incorporated Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US8933449B2 (en) 2005-08-30 2015-01-13 Micron Technology, Inc. Apparatus having a dielectric containing scandium and gadolinium
US8003985B2 (en) 2005-08-30 2011-08-23 Micron Technology, Inc. Apparatus having a dielectric containing scandium and gadolinium
US20090152620A1 (en) * 2005-08-30 2009-06-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF GdScO3 FILMS AS GATE DIELECTRICS
US8603907B2 (en) 2005-08-30 2013-12-10 Micron Technology, Inc. Apparatus having a dielectric containing scandium and gadolinium
US7999334B2 (en) 2005-12-08 2011-08-16 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8685815B2 (en) 2005-12-08 2014-04-01 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8405167B2 (en) 2005-12-08 2013-03-26 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US7972974B2 (en) * 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US20070158765A1 (en) * 2006-01-10 2007-07-12 Micron Technology, Inc. Gallium lanthanide oxide films
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US7998818B2 (en) 2008-02-19 2011-08-16 United Microelectronics Corp. Method for making semiconductor element structure
US20090206415A1 (en) * 2008-02-19 2009-08-20 Tian-Fu Chiang Semiconductor element structure and method for making the same
US7804141B2 (en) * 2008-02-19 2010-09-28 United Microelectronics Corp. Semiconductor element structure and method for making the same
US20100317182A1 (en) * 2008-02-19 2010-12-16 Tian-Fu Chiang Method for making semiconductor element structure
KR101317091B1 (en) 2009-01-05 2013-10-11 마이크론 테크놀로지, 인크. Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
US8207582B2 (en) * 2009-01-05 2012-06-26 Micron Technology, Inc. Semiconductor devices including dual gate structures
US8748273B2 (en) 2009-01-05 2014-06-10 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of fabrication
TWI482265B (en) * 2009-01-05 2015-04-21 Micron Technology Inc Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
US9142670B2 (en) 2009-01-05 2015-09-22 Micron Technology, Inc. Methods of forming dual gate structures
US20100171178A1 (en) * 2009-01-05 2010-07-08 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
US8557652B2 (en) * 2009-10-20 2013-10-15 International Business Machines Corporation Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
US20130005126A1 (en) * 2009-10-20 2013-01-03 International Business Machines Corporation Application of cluster beam implantation for fabricating threshold voltage adjusted fets
US8492848B2 (en) 2009-10-20 2013-07-23 International Business Machines Corporation Application of cluster beam implantation for fabricating threshold voltage adjusted FETs
CN103094114A (en) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 Manufacturing method of transistor
CN111415934A (en) * 2020-03-31 2020-07-14 上海华力集成电路制造有限公司 PMOS and NMOS integrated structure and manufacturing method thereof

Also Published As

Publication number Publication date
WO2005048320A3 (en) 2005-10-27
WO2005048320A2 (en) 2005-05-26
CN1846305A (en) 2006-10-11
JP2007504671A (en) 2007-03-01
KR20060055544A (en) 2006-05-23
US6872613B1 (en) 2005-03-29
KR101110288B1 (en) 2012-02-15
TW200516770A (en) 2005-05-16
EP1661177A2 (en) 2006-05-31
JP4996251B2 (en) 2012-08-08
EP1661177B1 (en) 2009-08-26
CN100573851C (en) 2009-12-23
TWI355739B (en) 2012-01-01
DE602004022835D1 (en) 2009-10-08
US7176531B1 (en) 2007-02-13

Similar Documents

Publication Publication Date Title
US6872613B1 (en) Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
JP5754715B2 (en) Gate electrode structure and manufacturing method
JP5089576B2 (en) Gate electrode metal / metal nitride double layer CMOS and semiconductor structures in self-aligned and positively scaled CMOS devices
US7229873B2 (en) Process for manufacturing dual work function metal gates in a microelectronics device
CN101675513B (en) Threshold adjustment for high-k gate dielectric cmos
US20040256679A1 (en) Dual work function metal gates and method of forming
US20110212579A1 (en) Fully Depleted SOI Multiple Threshold Voltage Application
US20100052079A1 (en) Semiconductor devices and fabrication process thereof
US20100213555A1 (en) Metal oxide semiconductor devices having capping layers and methods for fabricating the same
US8835260B2 (en) Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
KR20140003141A (en) A semiconductor device and method for manufacturing of the same
KR20180029823A (en) Complimentary metal-oxide-semiconductor circuit having transistors with different threshold voltages and method of manufacturing the same
US20050258500A1 (en) Refractory metal-based electrodes for work function setting in semiconductor devices
JP4163164B2 (en) Semiconductor device and manufacturing method thereof
US10062618B2 (en) Method and structure for formation of replacement metal gate field effect transistors
US10109492B2 (en) Method of forming a high quality interfacial layer for a semiconductor device by performing a low temperature ALD process
US7018883B2 (en) Dual work function gate electrodes
US11837508B2 (en) Method of forming high-k dielectric material
US20080023765A1 (en) Semiconductor Devices and Methods of Fabricating the Same
US6902977B1 (en) Method for forming polysilicon gate on high-k dielectric and related structure
KR20070006973A (en) Method of manufacturing dual metal gate transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO DEVICES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:XIANG, QI;ZHONG, HUICAI;GOO, JUNG-SUK;AND OTHERS;REEL/FRAME:014470/0788;SIGNING DATES FROM 20030731 TO 20030818

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12