US20050037597A1 - Semiconductor processing system and method - Google Patents

Semiconductor processing system and method Download PDF

Info

Publication number
US20050037597A1
US20050037597A1 US10/403,211 US40321103A US2005037597A1 US 20050037597 A1 US20050037597 A1 US 20050037597A1 US 40321103 A US40321103 A US 40321103A US 2005037597 A1 US2005037597 A1 US 2005037597A1
Authority
US
United States
Prior art keywords
plasma
chamber
precursors
flash lamp
triggering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/403,211
Inventor
Tue Nguyen
Tai Nguyen
Craig Bercaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CollabRx Inc
Original Assignee
CollabRx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CollabRx Inc filed Critical CollabRx Inc
Priority to US10/403,211 priority Critical patent/US20050037597A1/en
Assigned to SIMPLUS SYSTEMS CORPORATION reassignment SIMPLUS SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BERCAW, CRAIG ALAN, NGUYEN, TAI DUNG, NGUYEN, TUE
Assigned to TEGAL CORPORATION reassignment TEGAL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SIMPLUS SYSTEMS CORPORATION
Assigned to TEGAL CORPORATION reassignment TEGAL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SIMPLUS SYSTEMS CORPORATION
Publication of US20050037597A1 publication Critical patent/US20050037597A1/en
Priority to US11/443,620 priority patent/US7442615B2/en
Priority to US11/443,621 priority patent/US7867905B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Definitions

  • the present invention relates to semiconductor processing.
  • Plasma etching involves using chemically active atoms or energetic ions to remove material from a substrate.
  • Plasma Enhanced Chemical Vapor Deposition PECVD
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • Plasma sputtering also deposits materials onto substrates, where plasma ions such as argon impact a material surface and sputter the material that is then transported as neutral atoms to a substrate. Additional plasma processes include plasma surface cleaning and physical-vapor deposition (PVD) of various material layers.
  • PVD physical-vapor deposition
  • plasma is generated using a radio frequency powered plasma source.
  • a radio frequency powered plasma source In a “typical” radio frequency powered plasma source, alternating current (AC) power is rectified and switched to provide current to a RF amplifier.
  • the RF amplifier operates at a reference frequency (13.56 MHz, for example), drives current through an output-matching network, and then through a power measurement circuit to the output of the power supply.
  • the output match is usually designed to be connected a generator that is optimized to drive particular impedance such as 50 ohms, in order to have the same characteristic impedance as the coaxial cables commonly used in the industry. Power flows through the matched cable sections, is measured by the match controller, and is transformed through the load match.
  • the load match is usually a motorized automatic tuner, so the load match operation incurs a predetermined time delay before the system is properly configured.
  • power is then channeled into a plasma excitation circuit that drives two electrodes in an evacuated processing chamber.
  • a processing gas is introduced into the evacuated processing chamber, and when driven by the circuit, plasma is generated. Since the matching network or the load match is motorized, the response time from the matching network is typically in the order of one second or more.
  • a magnetohydrodynamic plasma instability can exist due to, for example, drift waves generated in the plasmas, which leads to a problem wherein the ion temperature rises and the directions of ion motions become nonuniform.
  • the problems include a degradation of a gate oxide film and a distortion of etching profile due to the charges accumulated on the wafer.
  • ALD atomic layer deposition
  • various gases are injected into the chamber for about 100-500 milliseconds in alternating sequences. For example, a first gas is delivered into the chamber for about 500 milliseconds and the substrate is heated, then the first gas (heat optional) is turned off. Another gas is delivered into the chamber for another 500 milliseconds (heat optional) before the gas is turned off. The next gas is delivered for about 500 milliseconds (and optionally heated) before it is turned off. This sequence is done for until all gases have been cycled through the chamber, each gas sequence forming a monolayer which is highly conformal. ALD technology thus pulses gas injection and heating sequences that are between 100 and 500 milliseconds. This approach has a high dissociation energy requirement to break the bonds in the various precursor gases such as silane and oxygen and thus requires the substrate to be heated to a high temperature, for example in the order of 600-800 degree Celsius for silane and oxygen processes.
  • an apparatus to perform semiconductor processing includes a process chamber; a flash lamp adapted to be repetitively triggered; and a controller coupled to the control input of the flash lamp to trigger the flash lamp.
  • the flash lamp can be pulsed to perform atomic layer processing, which includes one or more of the following: deposition, etching, epitaxial deposition, and sputter deposition.
  • a solid state plasma generator employing frequency tuning can be used to achieve output matching.
  • the plasma generator can be a solid state generator without any moving parts and having a short tuning response time.
  • the solid state generator includes: a switching power supply; an amplifier coupled to the power supply; a reference frequency generator coupled to the amplifier; a power measurement circuit providing feedback to a comparator and to the reference frequency generator; an output match section coupled to the power measurement circuit; and a plasma excitation circuit coupled to the output match section.
  • a plurality of precursor inlets can be coupled to the chamber, and the precursor from the precursor inlets reacts when the flash lamp is triggered.
  • the controller can be computer controlled or can be a hardwired circuit.
  • the controller turns on the flash lamp in an atomic layer process.
  • the controller can cycle the flash lamp multiple times to perform atomic layer processing in the process chamber.
  • the multiple layers can be plasma-assisted layers and non plasma-assisted layers.
  • a method to deposit multi-layer films on a semiconductor includes introducing a gas into a processing chamber; and triggering a flash lamp to generate thermal energy in the chamber to deposit a layer.
  • Implementations of the aspect may include one or more of the following.
  • the method includes purging the chamber and then sequentially pulsing the flash lamp for each layer to be deposited.
  • a multi-layer semiconductor processing chamber includes a gas source coupled to the chamber for introducing a processing gas into a reaction chamber having a sample disposed therein; a high intensity flash lamp coupled to the chamber to react the processing gas; and a controller coupled to the flash lamp to trigger the flash lamp for each deposited layer.
  • a solid state RF plasma source can be used in conjunction with the flash lamp, the source including: switching power supply; an RF amplifier coupled to the power supply; a reference frequency generator coupled to the RF amplifier; a power measurement circuit providing feedback to a comparator and to the reference frequency generator; an output match section coupled to the power measurement circuit; and a plasma excitation circuit coupled to the output match section.
  • the controller triggers the flash lamp and/or pulses the solid state RF plasma source.
  • the controller sequentially triggers the flash lamp and/or pulses the solid state RF plasma source for each layer to be deposited.
  • the system can instantaneously deliver a significant amount of energy to the wafer surface to initiate a desirable surface reaction.
  • the system can perform a combination of pulsed plasma and/or flash heating to thermally activate chemical precursors on the surface of the substrate at temperatures slightly below that required to initiate a sustained thermal reaction.
  • This technique can be used to build extremely thin layers of material for Atomic Layer Deposition processes.
  • the system offers better control thickness. Customer can use thinner films to achieve the same result, thereby extending Moore's law.
  • the resulting high quality films possess superior properties such as high uniformity, controlled resistivity, high diffusion resistance, and high conformality, among others.
  • the system thus enables high precision etching, deposition or sputtering performance.
  • the pulse modulation of a flash bulb and/or a radio frequency powered plasma source enables a tight control the radical production ratio in plasmas, the ion temperature and the charge accumulation. Also, since the time for accumulation of charges in a wafer is on the order of milli-seconds, the accumulation of charges to the wafer is suppressed by the pulse-modulated plasma on the order of micro-seconds, and this enables the suppression of damage to devices on the wafer caused by the charge accumulation and of notches caused during the electrode etching process.
  • the system allows the substrate be heated to a relatively low temperature, typically less than 400 degrees Celsius. The processing of the wafer at lower temperatures can reduce the thermal budget and can be advantageous in reducing thermally induced mechanical stresses, diffusion alloying of adjacent layers within the substrate, and minimizing grain growth, among others.
  • the system attains highly efficient plasma operation in a compact substrate process module that can attain excellent characteristics for etching, depositing or sputtering of semiconductor wafers as represented by high etch rate, high uniformity, high selectivity, high anisotropy, and low damage.
  • the system achieves high density and highly uniform plasma operation at low pressure for etching substrates and for deposition of films on to substrates. Additionally, the system is capable of operating with a wide variety of gases and combinations of gases, including highly reactive and corrosive gases.
  • FIG. 1 shows an exemplary pulsed processing system with a processing chamber.
  • FIG. 2 shows a flowchart of one exemplary semiconductor manufacturing process using the system of FIG. 1 .
  • FIGS. 3A-3B show exemplary pulse generator embodiments.
  • FIG. 4 shows a multi-chamber semiconductor processing system.
  • FIG. 5 shows an exemplary an apparatus for liquid and vapor precursor delivery.
  • FIGS. 6A-6B show two operating conditions of an embodiment to perform barrier pulsed plasma atomic layer deposition.
  • FIG. 1 shows an exemplary pulsed processing system 100 with a processing chamber 102 .
  • the process chamber 102 has a chamber body enclosing components of the process chamber such as a chuck 103 supporting a substrate 105 .
  • the process chamber typically maintains vacuum and provides a sealed environment for process gases during substrate processing. On occasions, the process chamber needs to be periodically accessed to cleanse the chamber and to remove unwanted materials cumulating in the chamber.
  • an opening is typically provided at the top of the process chamber that is sufficiently large to provide access to the internal components of the process chamber.
  • the chamber 102 includes a plasma excitation circuit 106 driven by a solid-state plasma generator 110 with fast ignition capability.
  • a plasma source is the Litmas source, available from LITMAS Worldwide of Matthews, N.C.
  • the generator 110 includes a switching power supply 112 that is connected to an alternating current (AC) line.
  • the power supply 112 rectifies AC input and switches the AC input to drive an RF amplifier 116 .
  • the RF amplifier 116 operates at a reference frequency (13.56 MHz, for example) provided by a reference frequency generator 104 .
  • the RF amplifier 116 drives current through a power measurement circuit 118 that provides feedback signals to a comparator 120 and to the reference frequency generator 104 .
  • power is measured only once, and the information is used to control the RF amplifier 116 gain, as well as a tuning system if needed. Power is then delivered to an output match section 122 , which directly drives the plasma excitation circuit 106 .
  • the plasma excitation circuit 106 uses parallel plate electrodes in the chamber. However, other equivalent circuits can be used, including an external electrode of capacitance coupling or inductance coupling type, for example.
  • a controller 130 generates a periodic pulse and drives one input of the frequency reference 104 .
  • the pulse effectively turns on or off the plasma generation.
  • One embodiment of the controller 130 generates a pulse with a frequency of ten hertz (10 Hz) or less.
  • the pulse generated has a pulse-width of approximately two hundred fifty (250) millisecond and the pulse is repeated approximately every fifty (50) microseconds.
  • the chamber 102 includes one or more flash lamps 113 controlled by the controller 130 to heat the substrate.
  • flash lamps 113 can be used.
  • Xenon flash lamps (bulbs or tubes) are used to emit large amounts of spectral energy in short duration pulses.
  • suitable flash lamps known to those skilled in the art may be used. For example, as discussed in Alex D. McLeod's article entitled “Design Considerations for Triggering of Flash lamps” and available at http://opto.perkinelmer.com/library/papers/tp10.htm, power supply energy accumulates in a storage capacitor. When this energy is released and dissipated it forms highly excited xenon plasma within the flash lamp.
  • the energy released covers a wide spectral range from ultraviolet (UV) to infrared (IR), closely resembling sunlight.
  • the charged energy-storage-capacitor is normally connected across the two main electrodes (commonly called anode and cathode) of the flash lamp.
  • the voltage to which this capacitor is charged is usually lower than that which would cause the xenon to ionize.
  • triggering The process that effects the initial ionization is known as triggering, which creates a voltage gradient (Volts/Inch) in the gas of sufficient magnitude to cause ionization.
  • Most triggering schemes use a trigger transformer to produce high voltage pulses of short duration.
  • Several different circuits have been developed which introduce this voltage to achieve ionization. Once this has occurred as evidenced by a thin streamer between the main electrodes, a conductive path exists through which the energy-storage-capacitor can discharge. As the level of ionization increases, the streamer increases in cross section and produces an intense flash.
  • a number of triggering methods can be used, including external, series injection, and pseudo-series injection. These three triggering methods are can be triggered by Simmer or Pseudo-Simmer Mode circuits.
  • the simmer mode technique requires that the flash lamp be triggered only once in a sequence of flashes.
  • a separate power supply with a specially designed load characteristic is used to force the current to continue flowing in the lamp in a low, but stable state of ionization.
  • typical simmer current may be from 100 milliamps up to several amperes.
  • the voltage across the lamp will be 100 to 150 volts.
  • the main discharge energy obtained from a capacitor charged to a separate power supply, may now be switched into the lamp.
  • a semiconductor switch such as an SCR or a gas or vacuum gap may also be used.
  • the gas in the lamp will become more highly ionized, producing a flash as the energy is dissipated. The gas will then be forced to return to the simmer state. Care must be taken in circuit design and layout, that transients due to parasitic elements do not cause deionization to occur, or that semiconductors or insulation do not become over stressed.
  • Pseudo simmer mode is a variation on the simmer mode circuit that combines the simmer power supply with the capacitor charging supply. Operating conditions are limited by lamp and power supply load line considerations.
  • a high voltage trigger pulse is used to create a thin ionized streamer between the anode and cathode within the lamp. Ionization starts when gas adjacent to the tube wall is excited by the voltage gradient induced by this high voltage pulse from the trigger transformer.
  • a thin nickel wire can be wrapped around the surface of the glass (or quartz) envelope (tube) and touch the glass over as much as possible of the length of the envelope, between the electrode inner tips.
  • the trigger transformer high voltage output (secondary winding) is connected to one end of this wire.
  • the trigger voltage required to reliably trigger a particular flash lamp depends on the arc length, bore diameter, fill pressure, electrode material and is normally given in the flash lamp characteristic data.
  • the trigger pulse width is important using this method because a finite amount of time is required for the ionized streamer to propagate down the bore of the flash lamp. Triggering has been found to be most reliable when the pulse width is at least 200 nanoseconds per inch of arc length.
  • the discharge current from the energy-storage-capacitor is passed through the secondary winding of the trigger transformer.
  • the secondary winding of the trigger transformer must therefore be designed to carry the total current of the discharge within the ambient temperature design limits.
  • the inductance of the secondary winding (of the trigger transformer) is now part of the discharge circuit and may be utilized to control the energy-storage-capacitor's current pulse wave shape. Since flash lamp life is inversely proportional to peak current, it is desirable to optimize this inductance for damping and design a critically damped circuit. This will produce minimum peak current and prevent current reversal that may damage the flash lamp. The life of the flash lamp is now maximized for the particular energy required and the power requirement now dictates the size of the trigger transformer.
  • FIG. 5 shows four versions of this circuit in various possible configurations of polarities and grounded nodes.
  • the trigger voltage is applied to the flash lamp in the same manner as with series injection, however, in this case the main energy discharge does not flow through the trigger transformer secondary winding. Instead, a path is provided through a suitable diode.
  • the pulse generator 130 also generates a periodic pulse that drives the flash lamp 113 .
  • the pulse effectively turns on or off the plasma generation.
  • One embodiment of the pulse generator 130 generates a pulse with a frequency of ten hertz (10 Hz) or less.
  • the pulse generated has a pulse-width of approximately fifty (50) millisecond and the pulse is repeated approximately every five seconds.
  • the characteristics of a film deposited by the above techniques are dependent upon the electron temperature in the plasma, the energy of ion incident on a substrate, and the ion and radical produced in the vicinity of an ion sheath.
  • the electron temperature distribution in the plasma, the kind of each of the ion and radical produced in the plasma, and the ratio between the amount of the ion and the amount of the radical can be controlled by modulating a high-frequency voltage in the same manner as having been explained with respect to the plasma etching. Accordingly, when conditions for depositing a film having excellent characteristics are known, the discharge plasma is controlled by a modulated signal according to the present invention so that the above conditions are satisfied. Thus, the processing characteristics with respect to the film deposition can be improved.
  • FIG. 2 shows a flowchart of one exemplary semiconductor manufacturing process using the system 100 of FIG. 1 .
  • a wafer is positioned inside the chamber (step 200 ).
  • suitable processing gas is introduced into the chamber (step 202 ), and the controller 130 is periodically turned on in accordance with a process activation switch to drive the desired process (step 204 ).
  • the controller can activate one or more flash bulbs, one or more solid state RF heaters, or any of these two heat sources in combination and in any sequence.
  • the particular type of process to be performed affects the process activation switch choice.
  • the gas in the chamber is purged (step 206 ), and the chamber is ready to accept further processing.
  • suitable processing gas is introduced into the chamber (step 208 ), and the controller 130 is periodically turned on to drive the desired process (step 210 ).
  • the gas in the chamber is purged (step 212 ), and the chamber is ready to accept yet another layer of material. This process is repeated for each layer in the multi-layer wafer.
  • FIG. 3A shows one exemplary controller 300 .
  • the controller 300 includes a computer 302 driving a digital to analog converter (DAC) 306 .
  • the DAC 306 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110 .
  • the controller 300 can generate various waveforms such as a rectangular wave and a sinusoidal wave, and moreover can change the period and amplitude of such waveforms.
  • the RF power supplied to a plasma is modulated with a rectangular wave.
  • the modulation waveform is not limited to the rectangular wave.
  • the modulation waveform is determined in accordance with these factors.
  • the use of a rectangular wave as the modulation waveform has an advantage that a processing condition can be readily set and the plasma processing can be readily controlled. It is to be noted that since the rectangular wave modulates the signal from the RF source in a discrete fashion, the rectangular wave can readily set the processing condition, as compared with the sinusoidal wave and the compound wave of it. Further, the pulse generator can also generate amplitude modulated signals in addition or in combination with the frequency modulated signals.
  • FIG. 3B shows an exemplary embodiment that uses a timer chip such as a 555 timer, available from Signetics of Sunnyvale, Calif.
  • the timer chip 555 is preconfigured through suitable resistive-capacitive (RC) network to generate pulses at specified intervals.
  • the timer chip 555 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110 , as discussed above.
  • a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110 , as discussed above.
  • the processing system 800 has a plurality of chambers 802 , 804 , 806 , 808 and 810 adapted to receive and process wafers 842 .
  • Controllers 822 , 824 , 826 , 828 and 830 control each of the chambers 802 , 804 , 808 and 810 , respectively.
  • a controller 821 controls another chamber, which is not shown for illustrative purposes.
  • Each of chambers 802 - 810 provides a lid 104 on the chamber body 102 .
  • the lid 104 can be actuated into the open position so that components inside the chamber body 102 can be readily accessed for cleaning or replacement as needed.
  • the chambers 802 - 810 are connected to a transfer chamber 840 that receives a wafer 842 .
  • the wafer 842 rests on top of a robot blade or arm 846 .
  • the robot blade 846 receives wafer 842 from an outside processing area.
  • the transport of wafers 842 between processing areas entails passing the wafers through one or more doors separating the areas.
  • the doors can be load lock chambers 860 - 862 for passing a wafer-containing container or wafer boat that can hold about twenty-five wafers in one embodiment.
  • the wafers 842 are transported in the container through the chamber from one area to another area.
  • the load lock can also provide an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers.
  • Each load lock chamber 860 or 862 is positioned between sealed opening 850 or 852 , and provides the ability to transfer semiconductor wafers between fabrication areas.
  • the load locks 860 - 862 can include an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers.
  • the air within each load lock chamber 860 or 862 can also be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other.
  • the load lock chambers 860 - 862 can also include pressure sensors 870 - 872 that take air pressure measurements for control purposes.
  • a wafer cassette on a wafer boat is loaded at openings 850 - 852 in front of the system to a load lock through the load lock doors.
  • the doors are closed, and the system is evacuated to a pressure as measured by the pressure sensors 870 - 872 .
  • a slit valve (not shown) is opened to allow the wafer to be transported from the load lock into the transfer chamber.
  • the robot blade takes the wafer and delivers the wafer to an appropriate chamber.
  • a second slit valve opens between the transfer chamber and process chamber, and wafer is brought inside the process chamber.
  • Containers thus remain within their respective fabrication areas during wafer transfer operations, and any contaminants clinging to containers are not transferred with the wafers from one fabrication area into the other.
  • the air within the transfer chamber can be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other.
  • the transfer chamber provides a high level of isolation between fabrication stations.
  • FIG. 5 shows an exemplary an apparatus 40 for liquid and vapor precursor delivery using either the system 100 or the system 300 .
  • the apparatus 40 includes a chamber 44 such as a CVD chamber.
  • the chamber 40 includes a chamber body that defines an evacuable enclosure for carrying out substrate processing.
  • the chamber body has a plurality of ports including at least a substrate entry port that is selectively sealed by a slit valve and a side port through which a substrate support member can move.
  • the apparatus 40 also includes a vapor precursor injector 46 connected to the chamber 44 and a liquid precursor injector 42 connected to the chamber 40 .
  • a precursor 60 is placed in a sealed container 61 .
  • An inert gas 62 such as argon, is injected into the container 61 through a tube 63 to increase the pressure in the container 61 to cause the copper precursor 60 to flow through a tube 64 when a valve 65 is opened.
  • the liquid precursor 60 is metered by a liquid mass flow controller 66 and flows into a tube 67 and into a vaporizer 68 , which is attached to the CVD chamber 71 .
  • the vaporizer 68 heats the liquid causing the precursor 60 to vaporize into a gas 69 and flow over a substrate 70 , which is heated to an appropriate temperature by a susceptor to cause the copper precursor 60 to decompose and deposit a copper layer on the substrate 70 .
  • the CVD chamber 71 is sealed from the atmosphere with exhaust pumping 72 and allows the deposition to occur in a controlled partial vacuum.
  • a liquid precursor 88 is contained in a sealed container 89 which is surrounded by a temperature controlled jacket 100 and allows the precursor temperature to be controlled to within 0.1° C.
  • a thermocouple (not shown) is immersed in the precursor 88 and an electronic control circuit (not shown) controls the temperature of the jacket 100 , which controls the temperature of the liquid precursor and thereby controls the precursor vapor pressure.
  • the liquid precursor can be either heated or cooled to provide the proper vapor pressure required for a particular deposition process.
  • a carrier gas 80 is allowed to flow through a gas mass flow controller 82 when valve 83 and either valve 92 or valve 95 but not both are opened.
  • additional gas mass flow controllers 86 to allow additional gases 84 to also flow when valve 87 is opened, if desired.
  • Additional gases 97 can also be injected into the vaporizer 68 through an inlet tube attached to valve 79 , which is attached to a gas mass flow controller 99 .
  • a certain amount of precursor 88 will be carried by the carrier gases 80 and 84 , and exhausted through tube 93 when valve 92 is open.
  • valve 92 is closed and valve 95 is opened allowing the carrier gases 80 and 84 and the precursor vapor to enter the vaporizer 68 through the attached tube 96 attached tube 96 .
  • Such a valve arrangement prevents a burst of vapor into the chamber 71 .
  • the precursor 88 is already a vapor and the vaporizer is only used as a showerhead to evenly distribute the precursor vapor over the substrate 70 .
  • valve 95 is closed and valve 92 is opened.
  • the flow rate of the carrier gas can be accurately controlled to as little as 1 sccm per minute and the vapor pressure of the precursor can be reduced to a fraction of an atmosphere by cooling the precursor 88 .
  • Such an arrangement allows for accurately controlling the copper deposition rate to less than 10 angstroms per minute if so desired.
  • FIGS. 6A-6B show two operating conditions of an embodiment 600 to perform barrier pulsed plasma atomic layer deposition.
  • FIG. 6A shows the embodiment 600 in a deposition condition
  • FIG. 6B shows the embodiment 600 in a rest condition.
  • a chamber 602 receives gases through one or more gas inlets 604 .
  • a solid state plasma generator 605 is mounted on top of the chamber 602 and one or more plasma excitation coils 607 are positioned near the gas inlets 604 .
  • a flash bulb 611 is positioned above a substrate 610 .
  • a liquid precursor system 606 introduces precursor gases through a vaporizer 609 into the chamber 602 using a precursor distribution ring 630 .
  • a chuck 608 movably supports the substrate 610 .
  • the chuck 608 and the substrate 610 are elevated and ready for deposition.
  • the substrate 610 is positioned inside the chamber.
  • Suitable processing gas is introduced into the chamber through the inlets 604 , and a pulsed plasma controller 605 is periodically turned on in accordance with a process activation switch to drive the desired process.
  • the particular type of process to be performed affects the process activation switch choice.
  • the choice of activation switch for any device fabrication process regardless of whether the process is a deposition or etch process, also may significantly affect the final semiconductor device properties.
  • the gas in the chamber 602 is purged, and the chamber 602 is ready to accept further processing. This process is repeated for each layer in the multi-layer wafer.
  • the chuck 608 is lowered and the substrate 610 can be removed through an opening 611 .
  • the system allows the substrates to have temperature uniformity through reliable real-time, multi-point temperature measurements in a closed-loop temperature control.
  • the control portion is implemented in a computer program executed on a programmable computer having a processor, a data storage system, volatile and non-volatile memory and/or storage elements, at least one input device and at least one output device.
  • Each computer program is tangibly stored in a machine-readable storage medium or device (e.g., program memory 522 or magnetic disk) readable by a general or special purpose programmable computer, for configuring and controlling operation of a computer when the storage media or device is read by the computer to perform the processes described herein.
  • a machine-readable storage medium or device e.g., program memory 522 or magnetic disk
  • the invention may also be considered to be embodied in a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
  • the radiation source can be a radio frequency heater rather than a lamp.
  • the scope of the invention is defined by the appended claims. It is further contemplated that the appended claims will cover such modifications that fall within the true scope of the invention.

Abstract

Systems and methods are disclosed to perform semiconductor processing with a process chamber; a flash lamp adapted to be repetitively triggered; and a controller coupled to the control input of the flash lamp to trigger the flash lamp. The system can deploy a solid state plasma source in parallel with the flash lamp in wafer processing.

Description

  • This application is a division of application Ser. No. 09/840,349, filed Apr. 21, 2001.
  • BACKGROUND
  • The present invention relates to semiconductor processing.
  • The fabrication of modern semiconductor device structures has traditionally relied on plasma processing in a variety of operations such as etching, depositing or sputtering. Plasma etching involves using chemically active atoms or energetic ions to remove material from a substrate. Plasma Enhanced Chemical Vapor Deposition (PECVD) uses plasma to dissociate and activate chemical gas so that the substrate temperature can be reduced during deposition. Plasma sputtering also deposits materials onto substrates, where plasma ions such as argon impact a material surface and sputter the material that is then transported as neutral atoms to a substrate. Additional plasma processes include plasma surface cleaning and physical-vapor deposition (PVD) of various material layers.
  • Conventionally, plasma is generated using a radio frequency powered plasma source. In a “typical” radio frequency powered plasma source, alternating current (AC) power is rectified and switched to provide current to a RF amplifier. The RF amplifier operates at a reference frequency (13.56 MHz, for example), drives current through an output-matching network, and then through a power measurement circuit to the output of the power supply. The output match is usually designed to be connected a generator that is optimized to drive particular impedance such as 50 ohms, in order to have the same characteristic impedance as the coaxial cables commonly used in the industry. Power flows through the matched cable sections, is measured by the match controller, and is transformed through the load match. The load match is usually a motorized automatic tuner, so the load match operation incurs a predetermined time delay before the system is properly configured. After passing through the load match, power is then channeled into a plasma excitation circuit that drives two electrodes in an evacuated processing chamber. A processing gas is introduced into the evacuated processing chamber, and when driven by the circuit, plasma is generated. Since the matching network or the load match is motorized, the response time from the matching network is typically in the order of one second or more.
  • Conventionally, plasma is continuously generated in order to obtain the large amount of power necessary to deposit the layers at high speed and thereby to improve the shapes of stepped parts thereof (coverage). As noted in U.S. Pat. No. 5,468,341 entitled “Plasma-etching method and apparatus therefor”, the amount of ion energy reaching a surface of the object to be etched in conventional RF sources can be accomplished by controlling the power of RF waves, the controllable range of dissociation process in plasmas is narrow and, therefore, the extent of controllable etching reactions on the surface of the object wafer is narrowly limited. Also, since the magnetic fields are present in a plasma generation chamber for high-density plasmas, a magnetohydrodynamic plasma instability can exist due to, for example, drift waves generated in the plasmas, which leads to a problem wherein the ion temperature rises and the directions of ion motions become nonuniform. Further, the problems include a degradation of a gate oxide film and a distortion of etching profile due to the charges accumulated on the wafer.
  • In a deposition technology known as atomic layer deposition (ALD), various gases are injected into the chamber for about 100-500 milliseconds in alternating sequences. For example, a first gas is delivered into the chamber for about 500 milliseconds and the substrate is heated, then the first gas (heat optional) is turned off. Another gas is delivered into the chamber for another 500 milliseconds (heat optional) before the gas is turned off. The next gas is delivered for about 500 milliseconds (and optionally heated) before it is turned off. This sequence is done for until all gases have been cycled through the chamber, each gas sequence forming a monolayer which is highly conformal. ALD technology thus pulses gas injection and heating sequences that are between 100 and 500 milliseconds. This approach has a high dissociation energy requirement to break the bonds in the various precursor gases such as silane and oxygen and thus requires the substrate to be heated to a high temperature, for example in the order of 600-800 degree Celsius for silane and oxygen processes.
  • SUMMARY
  • In one aspect, an apparatus to perform semiconductor processing includes a process chamber; a flash lamp adapted to be repetitively triggered; and a controller coupled to the control input of the flash lamp to trigger the flash lamp.
  • Implementations of the above aspect may include one or more of the following. The flash lamp can be pulsed to perform atomic layer processing, which includes one or more of the following: deposition, etching, epitaxial deposition, and sputter deposition. A solid state plasma generator employing frequency tuning can be used to achieve output matching. The plasma generator can be a solid state generator without any moving parts and having a short tuning response time. The solid state generator includes: a switching power supply; an amplifier coupled to the power supply; a reference frequency generator coupled to the amplifier; a power measurement circuit providing feedback to a comparator and to the reference frequency generator; an output match section coupled to the power measurement circuit; and a plasma excitation circuit coupled to the output match section. A plurality of precursor inlets can be coupled to the chamber, and the precursor from the precursor inlets reacts when the flash lamp is triggered. The controller can be computer controlled or can be a hardwired circuit. The controller turns on the flash lamp in an atomic layer process. The controller can cycle the flash lamp multiple times to perform atomic layer processing in the process chamber. The multiple layers can be plasma-assisted layers and non plasma-assisted layers.
  • In another aspect, a method to deposit multi-layer films on a semiconductor includes introducing a gas into a processing chamber; and triggering a flash lamp to generate thermal energy in the chamber to deposit a layer.
  • Implementations of the aspect may include one or more of the following. The method includes purging the chamber and then sequentially pulsing the flash lamp for each layer to be deposited.
  • In yet another aspect, a multi-layer semiconductor processing chamber includes a gas source coupled to the chamber for introducing a processing gas into a reaction chamber having a sample disposed therein; a high intensity flash lamp coupled to the chamber to react the processing gas; and a controller coupled to the flash lamp to trigger the flash lamp for each deposited layer.
  • Implementations of the above aspect may include one or more of the following. A solid state RF plasma source can be used in conjunction with the flash lamp, the source including: switching power supply; an RF amplifier coupled to the power supply; a reference frequency generator coupled to the RF amplifier; a power measurement circuit providing feedback to a comparator and to the reference frequency generator; an output match section coupled to the power measurement circuit; and a plasma excitation circuit coupled to the output match section. The controller triggers the flash lamp and/or pulses the solid state RF plasma source. The controller sequentially triggers the flash lamp and/or pulses the solid state RF plasma source for each layer to be deposited.
  • Advantages of the system may include one or more of the following. The system can instantaneously deliver a significant amount of energy to the wafer surface to initiate a desirable surface reaction. The system can perform a combination of pulsed plasma and/or flash heating to thermally activate chemical precursors on the surface of the substrate at temperatures slightly below that required to initiate a sustained thermal reaction. This technique can be used to build extremely thin layers of material for Atomic Layer Deposition processes. The system offers better control thickness. Customer can use thinner films to achieve the same result, thereby extending Moore's law. The resulting high quality films possess superior properties such as high uniformity, controlled resistivity, high diffusion resistance, and high conformality, among others. The system thus enables high precision etching, deposition or sputtering performance. The pulse modulation of a flash bulb and/or a radio frequency powered plasma source enables a tight control the radical production ratio in plasmas, the ion temperature and the charge accumulation. Also, since the time for accumulation of charges in a wafer is on the order of milli-seconds, the accumulation of charges to the wafer is suppressed by the pulse-modulated plasma on the order of micro-seconds, and this enables the suppression of damage to devices on the wafer caused by the charge accumulation and of notches caused during the electrode etching process. The system allows the substrate be heated to a relatively low temperature, typically less than 400 degrees Celsius. The processing of the wafer at lower temperatures can reduce the thermal budget and can be advantageous in reducing thermally induced mechanical stresses, diffusion alloying of adjacent layers within the substrate, and minimizing grain growth, among others.
  • Other advantages may include one or more of the following. The system attains highly efficient plasma operation in a compact substrate process module that can attain excellent characteristics for etching, depositing or sputtering of semiconductor wafers as represented by high etch rate, high uniformity, high selectivity, high anisotropy, and low damage. The system achieves high density and highly uniform plasma operation at low pressure for etching substrates and for deposition of films on to substrates. Additionally, the system is capable of operating with a wide variety of gases and combinations of gases, including highly reactive and corrosive gases.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows an exemplary pulsed processing system with a processing chamber.
  • FIG. 2 shows a flowchart of one exemplary semiconductor manufacturing process using the system of FIG. 1.
  • FIGS. 3A-3B show exemplary pulse generator embodiments.
  • FIG. 4 shows a multi-chamber semiconductor processing system.
  • FIG. 5 shows an exemplary an apparatus for liquid and vapor precursor delivery.
  • FIGS. 6A-6B show two operating conditions of an embodiment to perform barrier pulsed plasma atomic layer deposition.
  • DESCRIPTION
  • FIG. 1 shows an exemplary pulsed processing system 100 with a processing chamber 102. The process chamber 102 has a chamber body enclosing components of the process chamber such as a chuck 103 supporting a substrate 105. The process chamber typically maintains vacuum and provides a sealed environment for process gases during substrate processing. On occasions, the process chamber needs to be periodically accessed to cleanse the chamber and to remove unwanted materials cumulating in the chamber. To support maintenance for the process chamber, an opening is typically provided at the top of the process chamber that is sufficiently large to provide access to the internal components of the process chamber.
  • The chamber 102 includes a plasma excitation circuit 106 driven by a solid-state plasma generator 110 with fast ignition capability. One commercially available plasma source is the Litmas source, available from LITMAS Worldwide of Matthews, N.C. The generator 110 includes a switching power supply 112 that is connected to an alternating current (AC) line. The power supply 112 rectifies AC input and switches the AC input to drive an RF amplifier 116. The RF amplifier 116 operates at a reference frequency (13.56 MHz, for example) provided by a reference frequency generator 104. The RF amplifier 116 drives current through a power measurement circuit 118 that provides feedback signals to a comparator 120 and to the reference frequency generator 104. In this embodiment, power is measured only once, and the information is used to control the RF amplifier 116 gain, as well as a tuning system if needed. Power is then delivered to an output match section 122, which directly drives the plasma excitation circuit 106. In one embodiment, the plasma excitation circuit 106 uses parallel plate electrodes in the chamber. However, other equivalent circuits can be used, including an external electrode of capacitance coupling or inductance coupling type, for example.
  • A controller 130 generates a periodic pulse and drives one input of the frequency reference 104. The pulse effectively turns on or off the plasma generation. One embodiment of the controller 130 generates a pulse with a frequency of ten hertz (10 Hz) or less. In another embodiment, the pulse generated has a pulse-width of approximately two hundred fifty (250) millisecond and the pulse is repeated approximately every fifty (50) microseconds.
  • The chamber 102 includes one or more flash lamps 113 controlled by the controller 130 to heat the substrate. Various commercially available flash lamps can be used. In one embodiment, Xenon flash lamps (bulbs or tubes) are used to emit large amounts of spectral energy in short duration pulses. A number of suitable flash lamps known to those skilled in the art may be used. For example, as discussed in Alex D. McLeod's article entitled “Design Considerations for Triggering of Flash lamps” and available at http://opto.perkinelmer.com/library/papers/tp10.htm, power supply energy accumulates in a storage capacitor. When this energy is released and dissipated it forms highly excited xenon plasma within the flash lamp. The energy released covers a wide spectral range from ultraviolet (UV) to infrared (IR), closely resembling sunlight. The charged energy-storage-capacitor is normally connected across the two main electrodes (commonly called anode and cathode) of the flash lamp. The voltage to which this capacitor is charged is usually lower than that which would cause the xenon to ionize.
  • The process that effects the initial ionization is known as triggering, which creates a voltage gradient (Volts/Inch) in the gas of sufficient magnitude to cause ionization. Most triggering schemes use a trigger transformer to produce high voltage pulses of short duration. Several different circuits have been developed which introduce this voltage to achieve ionization. Once this has occurred as evidenced by a thin streamer between the main electrodes, a conductive path exists through which the energy-storage-capacitor can discharge. As the level of ionization increases, the streamer increases in cross section and produces an intense flash.
  • A number of triggering methods can be used, including external, series injection, and pseudo-series injection. These three triggering methods are can be triggered by Simmer or Pseudo-Simmer Mode circuits. The simmer mode technique requires that the flash lamp be triggered only once in a sequence of flashes. A separate power supply with a specially designed load characteristic is used to force the current to continue flowing in the lamp in a low, but stable state of ionization. Depending on the flash lamp type, typical simmer current may be from 100 milliamps up to several amperes. The voltage across the lamp will be 100 to 150 volts. The main discharge energy, obtained from a capacitor charged to a separate power supply, may now be switched into the lamp. A semiconductor switch, such as an SCR or a gas or vacuum gap may also be used. The gas in the lamp will become more highly ionized, producing a flash as the energy is dissipated. The gas will then be forced to return to the simmer state. Care must be taken in circuit design and layout, that transients due to parasitic elements do not cause deionization to occur, or that semiconductors or insulation do not become over stressed. Pseudo simmer mode is a variation on the simmer mode circuit that combines the simmer power supply with the capacitor charging supply. Operating conditions are limited by lamp and power supply load line considerations.
  • In one embodiment with external triggering, a high voltage trigger pulse is used to create a thin ionized streamer between the anode and cathode within the lamp. Ionization starts when gas adjacent to the tube wall is excited by the voltage gradient induced by this high voltage pulse from the trigger transformer. A thin nickel wire can be wrapped around the surface of the glass (or quartz) envelope (tube) and touch the glass over as much as possible of the length of the envelope, between the electrode inner tips. The trigger transformer high voltage output (secondary winding) is connected to one end of this wire. The trigger voltage required to reliably trigger a particular flash lamp depends on the arc length, bore diameter, fill pressure, electrode material and is normally given in the flash lamp characteristic data. Other factors such as ambient radiant energy and aging characteristics of the lamp also affect triggering requirements. The trigger pulse width is important using this method because a finite amount of time is required for the ionized streamer to propagate down the bore of the flash lamp. Triggering has been found to be most reliable when the pulse width is at least 200 nanoseconds per inch of arc length.
  • In another embodiment with series injection triggering, the discharge current from the energy-storage-capacitor is passed through the secondary winding of the trigger transformer. The secondary winding of the trigger transformer must therefore be designed to carry the total current of the discharge within the ambient temperature design limits. The inductance of the secondary winding (of the trigger transformer) is now part of the discharge circuit and may be utilized to control the energy-storage-capacitor's current pulse wave shape. Since flash lamp life is inversely proportional to peak current, it is desirable to optimize this inductance for damping and design a critically damped circuit. This will produce minimum peak current and prevent current reversal that may damage the flash lamp. The life of the flash lamp is now maximized for the particular energy required and the power requirement now dictates the size of the trigger transformer. Pseudo series injection triggering retains some of the advantages of series injection triggering without some of the penalties, especially those of size, weight and cost. FIG. 5 shows four versions of this circuit in various possible configurations of polarities and grounded nodes. The trigger voltage is applied to the flash lamp in the same manner as with series injection, however, in this case the main energy discharge does not flow through the trigger transformer secondary winding. Instead, a path is provided through a suitable diode.
  • The pulse generator 130 also generates a periodic pulse that drives the flash lamp 113. The pulse effectively turns on or off the plasma generation. One embodiment of the pulse generator 130 generates a pulse with a frequency of ten hertz (10 Hz) or less. In another embodiment, the pulse generated has a pulse-width of approximately fifty (50) millisecond and the pulse is repeated approximately every five seconds.
  • The characteristics of a film deposited by the above techniques are dependent upon the electron temperature in the plasma, the energy of ion incident on a substrate, and the ion and radical produced in the vicinity of an ion sheath. The electron temperature distribution in the plasma, the kind of each of the ion and radical produced in the plasma, and the ratio between the amount of the ion and the amount of the radical, can be controlled by modulating a high-frequency voltage in the same manner as having been explained with respect to the plasma etching. Accordingly, when conditions for depositing a film having excellent characteristics are known, the discharge plasma is controlled by a modulated signal according to the present invention so that the above conditions are satisfied. Thus, the processing characteristics with respect to the film deposition can be improved.
  • FIG. 2 shows a flowchart of one exemplary semiconductor manufacturing process using the system 100 of FIG. 1. First, a wafer is positioned inside the chamber (step 200). Next, suitable processing gas is introduced into the chamber (step 202), and the controller 130 is periodically turned on in accordance with a process activation switch to drive the desired process (step 204). The controller can activate one or more flash bulbs, one or more solid state RF heaters, or any of these two heat sources in combination and in any sequence. The particular type of process to be performed affects the process activation switch choice. The choice of activation switch for any device fabrication process, regardless of whether the process is a deposition or etch process, also may significantly affect the final semiconductor device properties. At the conclusion of the processing of one layer of material, the gas in the chamber is purged (step 206), and the chamber is ready to accept further processing. Thus, for the next layer of material, suitable processing gas is introduced into the chamber (step 208), and the controller 130 is periodically turned on to drive the desired process (step 210). At the conclusion of the processing of the second layer of material, the gas in the chamber is purged (step 212), and the chamber is ready to accept yet another layer of material. This process is repeated for each layer in the multi-layer wafer.
  • FIG. 3A shows one exemplary controller 300. The controller 300 includes a computer 302 driving a digital to analog converter (DAC) 306. The DAC 306 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110. The controller 300 can generate various waveforms such as a rectangular wave and a sinusoidal wave, and moreover can change the period and amplitude of such waveforms. Further, in the above explanation, the RF power supplied to a plasma is modulated with a rectangular wave. However, the modulation waveform is not limited to the rectangular wave. In other words, when a desired ion energy distribution, a desired electron temperature distribution, and a desired ratio between the amount of the desired ion and the amount of the desired radical, are known, the modulation waveform is determined in accordance with these factors. The use of a rectangular wave as the modulation waveform has an advantage that a processing condition can be readily set and the plasma processing can be readily controlled. It is to be noted that since the rectangular wave modulates the signal from the RF source in a discrete fashion, the rectangular wave can readily set the processing condition, as compared with the sinusoidal wave and the compound wave of it. Further, the pulse generator can also generate amplitude modulated signals in addition or in combination with the frequency modulated signals.
  • FIG. 3B shows an exemplary embodiment that uses a timer chip such as a 555 timer, available from Signetics of Sunnyvale, Calif. The timer chip 555 is preconfigured through suitable resistive-capacitive (RC) network to generate pulses at specified intervals. The timer chip 555 generates shaped waveforms and is connected to a high-voltage isolation unit 308 such as a power transistor or a relay to drive the plasma generator 110, as discussed above.
  • Referring now to FIG. 4, a multi-chamber semiconductor processing system 800 is shown. The processing system 800 has a plurality of chambers 802, 804, 806, 808 and 810 adapted to receive and process wafers 842. Controllers 822, 824, 826, 828 and 830 control each of the chambers 802, 804, 808 and 810, respectively. Additionally, a controller 821 controls another chamber, which is not shown for illustrative purposes.
  • Each of chambers 802-810 provides a lid 104 on the chamber body 102. During maintenance operations, the lid 104 can be actuated into the open position so that components inside the chamber body 102 can be readily accessed for cleaning or replacement as needed.
  • The chambers 802-810 are connected to a transfer chamber 840 that receives a wafer 842. The wafer 842 rests on top of a robot blade or arm 846. The robot blade 846 receives wafer 842 from an outside processing area.
  • The transport of wafers 842 between processing areas entails passing the wafers through one or more doors separating the areas. The doors can be load lock chambers 860-862 for passing a wafer-containing container or wafer boat that can hold about twenty-five wafers in one embodiment. The wafers 842 are transported in the container through the chamber from one area to another area. The load lock can also provide an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers.
  • Each load lock chamber 860 or 862 is positioned between sealed opening 850 or 852, and provides the ability to transfer semiconductor wafers between fabrication areas. The load locks 860-862 can include an air circulation and filtration system that effectively flushes the ambient air surrounding the wafers. The air within each load lock chamber 860 or 862 can also be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other. The load lock chambers 860-862 can also include pressure sensors 870-872 that take air pressure measurements for control purposes.
  • During operation, a wafer cassette on a wafer boat is loaded at openings 850-852 in front of the system to a load lock through the load lock doors. The doors are closed, and the system is evacuated to a pressure as measured by the pressure sensors 870-872. A slit valve (not shown) is opened to allow the wafer to be transported from the load lock into the transfer chamber. The robot blade takes the wafer and delivers the wafer to an appropriate chamber. A second slit valve opens between the transfer chamber and process chamber, and wafer is brought inside the process chamber.
  • Containers thus remain within their respective fabrication areas during wafer transfer operations, and any contaminants clinging to containers are not transferred with the wafers from one fabrication area into the other. In addition, the air within the transfer chamber can be purged during wafer transfer operations, significantly reducing the number of airborne contaminants transferred from one fabrication area into the other. Thus during operation, the transfer chamber provides a high level of isolation between fabrication stations.
  • FIG. 5 shows an exemplary an apparatus 40 for liquid and vapor precursor delivery using either the system 100 or the system 300. The apparatus 40 includes a chamber 44 such as a CVD chamber. The chamber 40 includes a chamber body that defines an evacuable enclosure for carrying out substrate processing. The chamber body has a plurality of ports including at least a substrate entry port that is selectively sealed by a slit valve and a side port through which a substrate support member can move. The apparatus 40 also includes a vapor precursor injector 46 connected to the chamber 44 and a liquid precursor injector 42 connected to the chamber 40.
  • In the liquid precursor injector 42, a precursor 60 is placed in a sealed container 61. An inert gas 62, such as argon, is injected into the container 61 through a tube 63 to increase the pressure in the container 61 to cause the copper precursor 60 to flow through a tube 64 when a valve 65 is opened. The liquid precursor 60 is metered by a liquid mass flow controller 66 and flows into a tube 67 and into a vaporizer 68, which is attached to the CVD chamber 71. The vaporizer 68 heats the liquid causing the precursor 60 to vaporize into a gas 69 and flow over a substrate 70, which is heated to an appropriate temperature by a susceptor to cause the copper precursor 60 to decompose and deposit a copper layer on the substrate 70. The CVD chamber 71 is sealed from the atmosphere with exhaust pumping 72 and allows the deposition to occur in a controlled partial vacuum.
  • In the vapor precursor injector 46, a liquid precursor 88 is contained in a sealed container 89 which is surrounded by a temperature controlled jacket 100 and allows the precursor temperature to be controlled to within 0.1° C. A thermocouple (not shown) is immersed in the precursor 88 and an electronic control circuit (not shown) controls the temperature of the jacket 100, which controls the temperature of the liquid precursor and thereby controls the precursor vapor pressure. The liquid precursor can be either heated or cooled to provide the proper vapor pressure required for a particular deposition process. A carrier gas 80 is allowed to flow through a gas mass flow controller 82 when valve 83 and either valve 92 or valve 95 but not both are opened. Also shown is one or more additional gas mass flow controllers 86 to allow additional gases 84 to also flow when valve 87 is opened, if desired. Additional gases 97 can also be injected into the vaporizer 68 through an inlet tube attached to valve 79, which is attached to a gas mass flow controller 99. Depending on its vapor pressure, a certain amount of precursor 88 will be carried by the carrier gases 80 and 84, and exhausted through tube 93 when valve 92 is open.
  • After the substrate has been placed into the CVD chamber 71, it is heated by a heater 100 or 300, as discussed above. After the substrate has reached an appropriate temperature, valve 92 is closed and valve 95 is opened allowing the carrier gases 80 and 84 and the precursor vapor to enter the vaporizer 68 through the attached tube 96 attached tube 96. Such a valve arrangement prevents a burst of vapor into the chamber 71. The precursor 88 is already a vapor and the vaporizer is only used as a showerhead to evenly distribute the precursor vapor over the substrate 70. After a predetermined time, depending on the deposition rate of the copper and the thickness required for the initial copper deposition, valve 95 is closed and valve 92 is opened. The flow rate of the carrier gas can be accurately controlled to as little as 1 sccm per minute and the vapor pressure of the precursor can be reduced to a fraction of an atmosphere by cooling the precursor 88. Such an arrangement allows for accurately controlling the copper deposition rate to less than 10 angstroms per minute if so desired. Upon completion of the deposition of the initial copper layer, the liquid source delivery system can be activated and further deposition can proceed at a more rapid rate.
  • FIGS. 6A-6B show two operating conditions of an embodiment 600 to perform barrier pulsed plasma atomic layer deposition. FIG. 6A shows the embodiment 600 in a deposition condition, while FIG. 6B shows the embodiment 600 in a rest condition. Referring now to FIGS. 6A-6B, a chamber 602 receives gases through one or more gas inlets 604. A solid state plasma generator 605 is mounted on top of the chamber 602 and one or more plasma excitation coils 607 are positioned near the gas inlets 604. A flash bulb 611 is positioned above a substrate 610. A liquid precursor system 606 introduces precursor gases through a vaporizer 609 into the chamber 602 using a precursor distribution ring 630.
  • A chuck 608 movably supports the substrate 610. In FIG. 6A, the chuck 608 and the substrate 610 are elevated and ready for deposition. The substrate 610 is positioned inside the chamber. Suitable processing gas is introduced into the chamber through the inlets 604, and a pulsed plasma controller 605 is periodically turned on in accordance with a process activation switch to drive the desired process. The particular type of process to be performed affects the process activation switch choice. The choice of activation switch for any device fabrication process, regardless of whether the process is a deposition or etch process, also may significantly affect the final semiconductor device properties. At the conclusion of the processing of one layer of material, the gas in the chamber 602 is purged, and the chamber 602 is ready to accept further processing. This process is repeated for each layer in the multi-layer wafer. At the conclusion of deposition of all layers, the chuck 608 is lowered and the substrate 610 can be removed through an opening 611.
  • The system allows the substrates to have temperature uniformity through reliable real-time, multi-point temperature measurements in a closed-loop temperature control. The control portion is implemented in a computer program executed on a programmable computer having a processor, a data storage system, volatile and non-volatile memory and/or storage elements, at least one input device and at least one output device.
  • Each computer program is tangibly stored in a machine-readable storage medium or device (e.g., program memory 522 or magnetic disk) readable by a general or special purpose programmable computer, for configuring and controlling operation of a computer when the storage media or device is read by the computer to perform the processes described herein. The invention may also be considered to be embodied in a computer-readable storage medium, configured with a computer program, where the storage medium so configured causes a computer to operate in a specific and predefined manner to perform the functions described herein.
  • It should be realized that the above examples represent a few of a virtually unlimited number of applications of the plasma processing techniques embodied within the scope of the present invention. Furthermore, although the invention has been described with reference to the above specific embodiments, this description is not to be construed in a limiting sense. For example, the duty ratio, cycle time and other parameter/condition may be changed in order to obtain a desired characteristic for the wafer.
  • Various modifications of the disclosed embodiment, as well as alternative embodiments of the invention will become apparent to persons skilled in the art upon reference to the above description. The invention, however, is not limited to the embodiment depicted and described. For instance, the radiation source can be a radio frequency heater rather than a lamp. Hence, the scope of the invention is defined by the appended claims. It is further contemplated that the appended claims will cover such modifications that fall within the true scope of the invention.

Claims (20)

1. A method to deposit a film in a processing chamber, comprising:
(a) introducing a first plurality of precursors to deposit a thin layer;
(b) either
triggering a flash lamp to generate thermal energy to modify the deposited layer; or
triggering a plasma source to generate a plasma energy to modify the deposited layer; or
triggering a flash lamp to generate thermal energy and triggering a plasma source to generate a plasma energy to modify the deposited layer.
2. The method of claim 1, further comprising a step a1, after step a, of:
a1. Purging the first precursors.
3. The method of claim 1, wherein the step b further comprising the introduction of a second plurality of precursors.
4. The method of claim 3, further comprising a step b1, after step b, of:
b1. Purging the second precursors.
5. The method of claim 1, further comprising a plurality of the steps a-b until a desired film thickness is reached.
6. The method of claim 2, further comprising a plurality of the steps a-b until a desired film thickness is reached.
7. The method of claim 4, further comprising a plurality of the steps a-b1 until a desired film thickness is reached.
8. The method of claim 1, wherein the step a comprises a plasma energy in the deposition process.
9. The method of claim 1, wherein the first plurality of precursors in steps a comprises CVD precursors.
10. The method of claim 3, wherein the second plurality of precursors in steps b comprises process gases, selected from a group consisting of nitrogen, oxygen, hydrogen, ammonia, NF3, silane, ozone, argon.
11. The method of claim 3, wherein the second plurality of precursors in steps b comprises CVD precursors.
12. A method to deposit a film in a processing chamber, comprising:
(a) deposit a thin layer using a plasma assisted process; and
(b) triggering a flash lamp to generate thermal energy to modify the deposited layer.
13. The method of claim 12, further comprising a step a1, after step a, of:
a1. Purging the first precursors.
14. The method of claim 12, wherein the step b further comprising the introduction of a second plurality of precursors, and further comprising a step b1, after step b, of:
b1. Purging the second precursors.
15. The method of claim 12, further comprising a plurality of the steps a-b until a desired film thickness is reached.
16. A method to deposit a film in a processing chamber, comprising:
(a) deposit a thin layer using a flash lamp assisted process; and
(b) triggering a plasma source to generate plasma energy to modify the deposited layer.
17. The method of claim 16, further comprising a step a1, after step a, of:
a1. Purging the first precursors.
18. The method of claim 16, wherein the step b further comprising the introduction of a second plurality of precursors, and further comprising a step b1, after step b, of:
b1. Purging the second precursors.
19. The method of claim 16, further comprising a plurality of the steps a-b until a desired film thickness is reached.
20. The method of claim 16, wherein the step a comprises a plasma energy in the deposition process.
US10/403,211 2001-04-21 2003-04-01 Semiconductor processing system and method Abandoned US20050037597A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/403,211 US20050037597A1 (en) 2001-04-21 2003-04-01 Semiconductor processing system and method
US11/443,620 US7442615B2 (en) 2001-04-21 2006-05-31 Semiconductor processing system and method
US11/443,621 US7867905B2 (en) 2001-04-21 2006-05-31 System and method for semiconductor processing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/840,349 US6610169B2 (en) 2001-04-21 2001-04-21 Semiconductor processing system and method
US10/403,211 US20050037597A1 (en) 2001-04-21 2003-04-01 Semiconductor processing system and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/840,349 Division US6610169B2 (en) 2001-04-21 2001-04-21 Semiconductor processing system and method

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/443,621 Continuation-In-Part US7867905B2 (en) 2001-04-21 2006-05-31 System and method for semiconductor processing
US11/443,620 Continuation-In-Part US7442615B2 (en) 2001-04-21 2006-05-31 Semiconductor processing system and method

Publications (1)

Publication Number Publication Date
US20050037597A1 true US20050037597A1 (en) 2005-02-17

Family

ID=25282117

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/840,349 Expired - Lifetime US6610169B2 (en) 2001-04-21 2001-04-21 Semiconductor processing system and method
US10/403,211 Abandoned US20050037597A1 (en) 2001-04-21 2003-04-01 Semiconductor processing system and method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/840,349 Expired - Lifetime US6610169B2 (en) 2001-04-21 2001-04-21 Semiconductor processing system and method

Country Status (1)

Country Link
US (2) US6610169B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040016394A1 (en) * 2002-07-29 2004-01-29 Castovillo Paul J. Atomic layer deposition methods
US20040126954A1 (en) * 2002-08-27 2004-07-01 Eugene Marsh Deposition methods with time spaced and time abutting precursor pulses
US20040224527A1 (en) * 2002-08-15 2004-11-11 Micron Technology, Inc. Atomic layer deposition methods
US20050147751A1 (en) * 2002-08-15 2005-07-07 Demetrius Sarigiannis Deposition methods
US20060225657A1 (en) * 2005-04-07 2006-10-12 Ichiro Mizushima Apparatus and method for depositing a dielectric film
US20070020898A1 (en) * 2001-04-21 2007-01-25 Tegal Corporation System and method for semiconductor processing
US20070020945A1 (en) * 2001-04-21 2007-01-25 Tegal Corporation Semiconductor processing system and method
WO2007140455A2 (en) * 2006-05-31 2007-12-06 Tegal Corporation System and method for semiconductor processing
US20110038974A1 (en) * 2004-11-24 2011-02-17 Ncc Nano, Llc Electrical Plating and Catalytic Uses of Metal Nanomaterial Compositions

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10130936B4 (en) * 2001-06-27 2004-04-29 Infineon Technologies Ag Manufacturing process for a semiconductor device using atomic layer deposition / ALD
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US7281492B2 (en) * 2002-04-01 2007-10-16 Advanced Lighting Technologies, Inc. System and method for generating a discharge in gases
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
KR100474072B1 (en) * 2002-09-17 2005-03-10 주식회사 하이닉스반도체 Method for forming noble metal films
DE10251435B3 (en) * 2002-10-30 2004-05-27 Xtreme Technologies Gmbh Radiation source for extreme UV radiation for photolithographic exposure applications for semiconductor chip manufacture
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7139641B2 (en) * 2003-03-27 2006-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer protection system
JP2007529754A (en) * 2004-03-18 2007-10-25 アドバンスド ライティング テクノロジイズ,インコーポレイティド System and method for generating a discharge in a gas
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7789319B2 (en) * 2006-05-17 2010-09-07 Micron Technology, Inc. System and method for recirculating fluid supply for an injector for a semiconductor fabrication chamber
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
JP4816634B2 (en) * 2007-12-28 2011-11-16 ウシオ電機株式会社 Substrate heating apparatus and substrate heating method
US7970562B2 (en) * 2008-05-07 2011-06-28 Advanced Energy Industries, Inc. System, method, and apparatus for monitoring power
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6562629B2 (en) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation Plasma atomic layer deposition with pulsed plasma exposure
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10109462B2 (en) * 2017-03-13 2018-10-23 Applied Materials, Inc. Dual radio-frequency tuner for process control of a plasma process
US20210265136A1 (en) * 2018-06-29 2021-08-26 Lam Research Corporation Method and apparatus for processing wafers
TW202143800A (en) * 2020-05-11 2021-11-16 洪再和 Separated remote plasma source
TW202143799A (en) * 2020-05-11 2021-11-16 洪再和 Semiconductor procedure equipment with external plasma source and external plasma source thereof

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5266504A (en) * 1992-03-26 1993-11-30 International Business Machines Corporation Low temperature emitter process for high performance bipolar devices
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
USRE36295E (en) * 1993-10-13 1999-09-14 Superconductor Technologies, Inc. Apparatus for growing metal oxides using organometallic vapor phase epitaxy
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20050022591A1 (en) * 2001-11-27 2005-02-03 Waldemar Byzio Ultrasound transducer for application in extreme climatic conditions
US20050239240A1 (en) * 1994-06-02 2005-10-27 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5266504A (en) * 1992-03-26 1993-11-30 International Business Machines Corporation Low temperature emitter process for high performance bipolar devices
USRE36295E (en) * 1993-10-13 1999-09-14 Superconductor Technologies, Inc. Apparatus for growing metal oxides using organometallic vapor phase epitaxy
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US20050239240A1 (en) * 1994-06-02 2005-10-27 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20050022591A1 (en) * 2001-11-27 2005-02-03 Waldemar Byzio Ultrasound transducer for application in extreme climatic conditions

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7867905B2 (en) 2001-04-21 2011-01-11 Tegal Corporation System and method for semiconductor processing
US7442615B2 (en) 2001-04-21 2008-10-28 Tegal Corporation Semiconductor processing system and method
US20070020945A1 (en) * 2001-04-21 2007-01-25 Tegal Corporation Semiconductor processing system and method
US20070020898A1 (en) * 2001-04-21 2007-01-25 Tegal Corporation System and method for semiconductor processing
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US20050039674A1 (en) * 2002-07-29 2005-02-24 Castovillo Paul J. Atomic layer deposition method
US20040016394A1 (en) * 2002-07-29 2004-01-29 Castovillo Paul J. Atomic layer deposition methods
US7128787B2 (en) 2002-07-29 2006-10-31 Micron Technology, Inc. Atomic layer deposition method
US7303991B2 (en) 2002-08-15 2007-12-04 Micron Technology, Inc. Atomic layer deposition methods
US7368382B2 (en) 2002-08-15 2008-05-06 Micron Technology, Inc. Atomic layer deposition methods
US20060205227A1 (en) * 2002-08-15 2006-09-14 Demetrius Sarigiannis Atomic layer deposition methods
US7498057B2 (en) 2002-08-15 2009-03-03 Micron Technology, Inc. Deposition methods
US20050147751A1 (en) * 2002-08-15 2005-07-07 Demetrius Sarigiannis Deposition methods
US20040224527A1 (en) * 2002-08-15 2004-11-11 Micron Technology, Inc. Atomic layer deposition methods
US20080241386A1 (en) * 2002-08-15 2008-10-02 Micron Technology, Inc. Atomic Layer Deposition Methods
US7378354B2 (en) 2002-08-15 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods
US7271077B2 (en) 2002-08-27 2007-09-18 Micron Technology, Inc. Deposition methods with time spaced and time abutting precursor pulses
US20040126954A1 (en) * 2002-08-27 2004-07-01 Eugene Marsh Deposition methods with time spaced and time abutting precursor pulses
US20110038974A1 (en) * 2004-11-24 2011-02-17 Ncc Nano, Llc Electrical Plating and Catalytic Uses of Metal Nanomaterial Compositions
US9494068B2 (en) * 2004-11-24 2016-11-15 Ncc Nano, Pllc Electrical plating and catalytic uses of metal nanomaterial compositions
US20060225657A1 (en) * 2005-04-07 2006-10-12 Ichiro Mizushima Apparatus and method for depositing a dielectric film
WO2007140455A3 (en) * 2006-05-31 2008-02-14 Tegal Corp System and method for semiconductor processing
WO2007140455A2 (en) * 2006-05-31 2007-12-06 Tegal Corporation System and method for semiconductor processing
JP2009539270A (en) * 2006-05-31 2009-11-12 ティーガル コーポレイション System and method for semiconductor processing

Also Published As

Publication number Publication date
US6610169B2 (en) 2003-08-26
US20020153101A1 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
US6610169B2 (en) Semiconductor processing system and method
US7442615B2 (en) Semiconductor processing system and method
US20020123237A1 (en) Plasma pulse semiconductor processing system and method
US6756318B2 (en) Nanolayer thick film processing system and method
US20120202353A1 (en) Nanolayer deposition using plasma treatment
US6417111B2 (en) Plasma processing apparatus
US7867905B2 (en) System and method for semiconductor processing
US6800173B2 (en) Variable gas conductance control for a process chamber
US6689220B1 (en) Plasma enhanced pulsed layer deposition
US7189432B2 (en) Varying conductance out of a process region to control gas flux in an ALD reactor
KR20190104899A (en) Quartz component with protective coating
US20210140044A1 (en) Film forming method and film forming apparatus
KR101897604B1 (en) Process chamber lid design with built-in plasma source for short lifetime species
JP2004538374A (en) Atomic layer deposition reactor
US20020144657A1 (en) ALD reactor employing electrostatic chuck
US20060213443A1 (en) Atomic layer deposition apparatus using neutral beam and method of depositing atomic layer using the same
US20020076507A1 (en) Process sequence for atomic layer deposition
US20040086434A1 (en) Apparatus and method for treating objects with radicals generated from plasma
JPH02130924A (en) Chemical vapor deposition method onto semiconductor substrate
KR20160130994A (en) Plasma processing device and film formation method
JP2000068227A (en) Method for processing surface and device thereof
US7678705B2 (en) Plasma semiconductor processing system and method
EP2032744A2 (en) System and method for semiconductor processing
WO2021024823A1 (en) Plasma processing device
CN115702473A (en) Photo-electrically assisted plasma ignition

Legal Events

Date Code Title Description
AS Assignment

Owner name: SIMPLUS SYSTEMS CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NGUYEN, TUE;NGUYEN, TAI DUNG;BERCAW, CRAIG ALAN;REEL/FRAME:013931/0847

Effective date: 20030320

AS Assignment

Owner name: TEGAL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SIMPLUS SYSTEMS CORPORATION;REEL/FRAME:014327/0484

Effective date: 20031110

Owner name: TEGAL CORPORATION,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SIMPLUS SYSTEMS CORPORATION;REEL/FRAME:014327/0484

Effective date: 20031110

AS Assignment

Owner name: TEGAL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SIMPLUS SYSTEMS CORPORATION;REEL/FRAME:015083/0228

Effective date: 20031110

Owner name: TEGAL CORPORATION,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SIMPLUS SYSTEMS CORPORATION;REEL/FRAME:015083/0228

Effective date: 20031110

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION