US20050022739A1 - Apparatus and method for depositing materials onto microelectronic workpieces - Google Patents

Apparatus and method for depositing materials onto microelectronic workpieces Download PDF

Info

Publication number
US20050022739A1
US20050022739A1 US10/933,605 US93360504A US2005022739A1 US 20050022739 A1 US20050022739 A1 US 20050022739A1 US 93360504 A US93360504 A US 93360504A US 2005022739 A1 US2005022739 A1 US 2005022739A1
Authority
US
United States
Prior art keywords
gas
plate
passageways
distributor
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/933,605
Inventor
Craig Carpenter
Allen Mardian
Ross Dando
Kimberly Tschepen
Garo Derderian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/933,605 priority Critical patent/US20050022739A1/en
Publication of US20050022739A1 publication Critical patent/US20050022739A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Definitions

  • the present invention is related to the field of thin film deposition in the manufacturing of micro-devices.
  • Thin film deposition techniques are widely used in the manufacturing of microelectronic devices to form a coating on a workpiece that closely conforms to the surface topography.
  • the size of the individual components in the devices is constantly decreasing, and the number of layers in the devices is increasing.
  • the density of components and the aspect ratios of depressions e.g., the ratio of the depth to the size of the opening
  • the size of workpieces is also increasing to provide more real estate for forming more dies (i.e., chips) on a single workpiece.
  • Many fabricators, for example, are transitioning from 200 mm to 300 mm workpieces, and even larger workpieces will likely be used in the future.
  • Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms and corners in deep depressions that have very small openings.
  • CVD Chemical Vapor Deposition
  • one or more precursors that are capable of reacting to form a solid thin film are mixed in a gas or vapor state, and then the precursor mixture is presented to the surface of the workpiece.
  • the surface of the workpiece catalyzes the reaction between the precursors to form a thin solid film at the workpiece surface.
  • the most common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials that are already formed on the workpiece. Implanted or doped materials, for example, migrate in the silicon substrate when a workpiece is heated. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is not desirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used. Thus, CVD techniques may not be appropriate for many thin film applications.
  • FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes.
  • a layer of gas molecules A x coats the surface of a workpiece W.
  • the layer of A x molecules is formed by exposing the workpiece W to a precursor gas containing A x molecules, and then purging the chamber with a purge gas to remove excess A x molecules.
  • This process can form a monolayer of A x molecules on the surface of the workpiece W because the A x molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures.
  • the layer of A x molecules is then exposed to another precursor gas containing B y molecules.
  • the A x molecules react with the B y molecules to form an extremely thin solid layer of material on the workpiece W.
  • the chamber is then purged again with a purge gas to remove excess B y molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques.
  • a typical cycle includes (a) exposing the workpiece to the first precursor A x , (b) purging excess A x molecules, (c) exposing the workpiece to the second precursor B y , and then (d) purging excess B y molecules.
  • each cycle may form a layer having a thickness of approximately 0.5-1.0 ⁇ , and thus it takes approximately 60-120 cycles to form a solid layer having a thickness of approximately 60 ⁇ .
  • FIG. 3 schematically illustrates an ALD reactor 10 having a chamber 20 coupled to a gas supply 30 and a vacuum 40 .
  • the reactor 10 also includes a heater 50 that supports the workpiece W and a gas dispenser 60 in the chamber 20 .
  • the gas dispenser 60 includes a plenum 62 operatively coupled to the gas supply 30 and a distributor plate 70 having a plurality of holes 72 .
  • the heater 50 heats the workpiece W to a desired temperature
  • the gas supply 30 selectively injects the first precursor A x , the purge gas, and the second precursor B y as shown above in FIG. 2 .
  • the vacuum 40 maintains a negative pressure in the chamber to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the chamber 20 .
  • ALD processing is difficult to avoid mixing between the first and second precursors in the chamber apart from the surface of the workpiece.
  • a precursor may remain on surfaces of the gas dispenser or on other surfaces of the chamber even after a purge cycle. This results in the unwanted deposition of the solid material on components of the reaction chamber.
  • the first and second precursors may also mix together in a supply line or other area of a reaction chamber to prematurely form solid particles before reaching the surface of the workpiece.
  • the components of the ALD reactor and the timing of the A x /purge/B y /purge pulses of a cycle should not entrap or otherwise cause mixing of the precursors in a manner that produces unwanted deposits or premature reactions.
  • ALD processing Another drawback of ALD processing is that the film thickness may be different at the center of the workpiece than at the periphery.
  • the center of some distributor plates do not have any holes 72 . In practice, however, this may cause the film at the center of the workpiece to be thinner than the film at the periphery.
  • the center portion of such plates may become coated with the solid material because it is difficult to purge all of the precursors from this portion of the gas dispenser 60 during normal purge cycles. Therefore, there is a need to resolve the problem of having a different film thickness at the center of the workpiece than at the periphery.
  • a reactor for depositing a material comprises a reaction chamber and a gas distributor that directs gas flows to a workpiece.
  • the reaction chamber can include an inlet and an outlet, and the gas distributor is positioned in the reaction chamber.
  • the gas distributor has a compartment coupled to the inlet to receive a gas flow and a distributor plate including a first surface facing the compartment, a second surface facing the reaction chamber, and a plurality of passageways. The passageways extend through the distributor plate from the first surface to the second surface.
  • the passageways has at least a partially occluded flow path through the plate.
  • the occluded passageway can be canted at an oblique angle relative to the first surface of the distributor plate so that gas flowing through the canted passageway changes direction as it passes through the distributor plate.
  • the compartment of the gas distributor can be defined by a sidewall, and the distributor plate can extend transverse relative to the sidewall.
  • the distributor plate has an inner region, an outer region, and a peripheral edge spaced laterally inward from the sidewall to define a gap between the peripheral edge and the sidewall.
  • the peripheral edge of the distributor plate can be coupled to the sidewall.
  • the distributor plate can have several different embodiments.
  • the distributor plate for example, can have a first plurality of passageways in the inner region that are canted at an oblique angle relative to the first surface of the distributor plate, and a second plurality of passageways in the outer region that are generally normal to the first surface of the distributor plate. In another embodiment, all of the passageways through the distributor plate can be canted at an angle.
  • the size of the passageways can also vary across the distributor plate. In one embodiment, a first plurality of passageways in the inner region have a cross-sectional dimension of approximately 0.01-0.07 inch, and a second plurality of passageways in the outer region have a cross-sectional dimension of approximately 0.08-0.20 inch.
  • a first plurality of passageways in the inner region are canted at a first oblique angle relative to the first surface of the distributor plate, and a second plurality of passageways in the outer region are canted at a second oblique angle relative to the first surface of the distributor plate.
  • the canted passageways are generally angled downward and radially outward from the first surface to the second surface to direct the gas flow radially outward across the surface of the workpiece.
  • the canted passageways can extend at an angle of approximately 15 degrees to approximately 85 degrees relative to the first surface of the distributor plate.
  • the passageways can be angled at different angles or canted in different directions in other embodiments.
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in atomic layer deposition processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using atomic layer deposition in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for vapor deposition of a material onto a microelectronic workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system having a reactor for depositing a material onto a micro-device workpiece in accordance with one embodiment of the invention.
  • FIG. 5 is an isometric, cross-sectional view illustrating a portion of a reactor for depositing a material onto a micro-device workpiece in accordance with an embodiment of the invention.
  • FIG. 6 is a cross-sectional view of a reactor for depositing a material onto a micro-device workpiece in accordance with another embodiment of the invention.
  • FIG. 7 is a partial cross-sectional view of a distributor plate for use in a reactor for depositing a material onto a micro-device workpiece in accordance with another embodiment of the invention.
  • FIG. 8 is a schematic representation of a system including a reactor for depositing a material onto a micro-device workpiece in accordance with another embodiment of the invention.
  • micro-device workpiece is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, and other features are fabricated.
  • micro-device workpieces can be semiconductor wafers, glass substrates, insulative substrates, and many other types of materials.
  • gas is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquified or solidified by compression at a constant temperature). Additionally, several aspects of the invention are described with respect to Atomic Layer Deposition (“ALD”), but certain aspects may be applicable to other types of deposition processes. Several embodiments in accordance with the invention are set forth in FIGS. 4-8 and the related text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art will understand, however, that the invention may have additional embodiments, or that the invention may be practiced without several of the details in the embodiments shown in FIGS. 4-8 .
  • ALD Atomic Layer Deposition
  • FIG. 4 is a schematic representation of a system 100 for depositing a material onto a micro-device workpiece W in accordance with an embodiment of the invention.
  • the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum 140 .
  • the reaction chamber 120 can have an inlet 122 coupled to the gas supply 130 and an outlet 124 coupled to the vacuum 140 .
  • the gas supply 130 includes a plurality of gas sources 132 (identified individually as 132 a - c ), a valve assembly 133 having a plurality of valves 134 (identified individually as 134 a - c ), and a plurality of gas lines 136 and 137 .
  • the gas sources 132 can include a first gas source 132 a for providing a first precursor gas “A,” a second gas source 132 b for providing a second precursor gas “B,” and a third gas source 132 c for providing a purge gas P.
  • the first and second precursors A and B can be the constituents that react to form the thin, solid layer on the workpiece W.
  • the p-urge gas P can a type of gas that is compatible with the reaction chamber 120 and the workpiece W.
  • the first gas source 132 a is coupled to a first valve 134 a
  • the second gas source 132 b is coupled to a second valve 134 b
  • the third gas source 132 c is coupled to a third valve 134 c .
  • the valves 134 a - c are operated by a controller 142 that generates signals for pulsing the individual gases through the reaction chamber 120 in a number of cycles. Each cycle can include a first pulse of the first precursor A, a second pulse of the purge gas, a third pulse of the second precursor B, and a fourth pulse of the purge gas.
  • the reactor 110 in the embodiment illustrated in FIG. 4 also includes a workpiece support 150 and a gas distributor 160 in the reaction chamber 120 .
  • the workpiece support 150 can be a plate having a heating element to heat the workpiece W to a desired temperature for catalyzing the reaction between the first precursor A and the second precursor B at the surface of the workpiece W.
  • the workpiece support 150 may not be heated in all applications.
  • the gas distributor 160 is positioned at the inlet 122 of the reaction chamber 120 .
  • the gas distributor 160 has a compartment or plenum 162 that is defined, at least in part, by a sidewall 164 .
  • the compartment or plenum 162 can be further defined by a chamber lid 166 .
  • the gas distributor 160 further includes a distributor plate 170 having a first surface 171 a facing the compartment 162 , a second surface 171 b facing away from the compartment 162 , and a plurality of passageways 172 (identified by reference numbers 172 a and 172 b ).
  • a gas flow F in the compartment 162 flows through the passageways 172 a - b and through a gap 180 between the sidewall 164 and the distributor plate 170 .
  • this particular embodiment of the distributor plate 170 performs the following functions: (a) directs the gas flow F to provide a more uniform film thickness across the workpiece W; and (b) limits areas in the reaction chamber where the precursors can adduct and mix prematurely before contacting the workpiece.
  • FIG. 5 illustrates a particular embodiment of the gas distributor 160 and the distributor plate 170 in greater detail.
  • the distributor plate 170 has an inner region 173 a with a first plurality of passageways 172 a and an outer region 173 b with a second plurality of passageways 172 b .
  • the first passageways 172 a extend from the first surface 171 a to the second surface 171 b , and at least a portion of each of the first passageways 172 a is at least partially occluded along a flow path to the plate 170 .
  • the first passageways 172 a are occluded by being canted at an oblique angle relative to the first surface 171 a and/or the plane defined by the plate 170 .
  • the term “occluded,” as used herein, is not limited to an obstruction that blocks the passageways 172 , but rather means that some of the gas molecules flowing through the first passageways 172 a cannot flow through the plate 170 along a direct “line-of-sight” between the first surface 171 a and the second surface 171 b normal to the plane defined by the plate 170 . It will be appreciated that canting the first passageways 172 a at an oblique angle relative to the plate 170 can either fully or at least partially block the direct line-of-sight to the workpiece while still allowing gas to flow through the first passageways 172 a .
  • the first passageways 172 a can be canted at an angle of approximately 15° to approximately 85° relative to the plane defined by the plate 170 .
  • the second passageways 172 b extend through the plate 170 generally normal to the first surface 171 a such that they provide a direct line-of-sight to the workpiece throughout the full cross-sectional dimension of the second passageways 172 b .
  • the second passageways 172 b can also have bevels 176 at the first surface 171 a and/or the second surface 171 b.
  • the distributor plate 170 is carried by a number of retainers 177 that are coupled to the lid 166 or another component of the reaction chamber 120 .
  • the retainers 177 are brackets, posts, or other suitable devices that can hold the distributor plate 170 relative to the inlet 122 and the sidewall 164 .
  • the distributor plate 170 has a peripheral edge 175 spaced apart from the sidewall 164 by an annular gap 180 .
  • the gas flow F has a first component F 1 that flows through the first passageways 172 a , a second component F 2 that flows through the second passageways 172 b , and a third component F 3 that flows through the gap 180 .
  • the first passageways 172 a direct the first flow component F 1 downward and radially outward to prevent over-saturating the center portion of the workpiece with the precursors.
  • the second passageways 172 b direct the second flow component F 2 downward and generally normal to the plate 170 to provide more gas molecules to an outer region of the workpiece.
  • the gap 180 also provides an enhanced flow of gas at the outer and peripheral regions of the workpiece.
  • the distributor plate 170 are accordingly expected to provide more uniform saturation of the workpiece W with the first and second precursors A and B to provide a more uniform layer of material on the workpiece. Additionally, because the inner region 173 a of the plate 170 includes the first plurality of passageways 172 a , the surface areas upon which the first and second precursors A and B can adduct is reduced compared to conventional plates that do not have any openings in the inner region. This is expected to reduce the build up of the deposited material on the first surface 171 a of the distributor plate 170 . It is also expected that such a reduction in the surface area will enhance the ability to control the uniformity of the deposited layer and the endpoints of the gas pulses for better quality depositions and enhanced throughput.
  • the first passageways 172 a can also have a different cross-sectional dimension than the second passageways 172 b as shown in the particular embodiment illustrated in FIG. 5 .
  • the first passageways for example, can have openings of approximately 0.01-0.07 inch, and the second passageways 172 b can have openings of approximately 0.08-0.20 inch.
  • the first passageways 172 a at the inner region 173 a have a circular opening with a diameter of approximately 0.03 inch, and the second passageways 172 b in the outer region 173 b have a circular opening with a diameter of approximately 0.10 inch.
  • the cross-sectional size of the first and second passageways 172 a - b can be the same, or that they can have cross-sectional dimensions that are different than the ranges set forth above.
  • the passageways 172 can accordingly be configured to further enhance or restrict the gas flow to particular areas of the workpiece by canting, or otherwise occluding selected passageways, and/or varying the sizes of the cross-sectional dimensions of the passageways.
  • the smaller cross-sectional dimension of the first passageways 172 a inhibits gas molecules from contacting the central region of the workpiece W
  • the larger cross-sectional dimension of the second passageways 172 b enhances the number of gas molecules that contact the outer region of the workpiece. Therefore, the cross-sectional dimensions and the angles of inclination of the passageways can be used either separately or together to provide the desired distribution of gas to the surface of the workpiece.
  • FIG. 6 is a cross-sectional view of a distributor plate 670 in accordance with another embodiment of the invention.
  • the distributor plate 670 can include a plurality of passageways 172 that are canted at an oblique angle relative to the plane defined by the plate 670 . In this embodiment, all of the passageways 172 are canted at the same angle. The angle of inclination can be approximately 15 degrees to approximately 85 degrees. In operation, the embodiment of the distributor plate 670 shown in FIG.
  • the passageways 172 can have the same cross-sectional dimensions, or they can have different cross-sectional dimensions similar to the plate 170 described above.
  • FIG. 7 is a partial cross-sectional view of a distributor plate 770 in accordance with another embodiment of the invention.
  • the distributor plate 770 is similar to the distributor plate 170 , and thus like reference numbers refer to like components in FIGS. 4, 5 and 7 .
  • the first passageways 172 a at the inner region 173 a are canted at a first angle ⁇
  • the second passageways 172 b in the second region 173 b are canted at a second angle ⁇ .
  • the angle ⁇ is generally less than the angle 62 relative to the plane P-P defined by the plate 770 .
  • the first passageways 172 a have a first occlusion area A 1 in which there is no direct line-of-sight through the plate 770 to the workpiece W along a path normal to the plate 170 .
  • the second passageways 172 b have a smaller occlusion area A 2 because the higher angle ⁇ allows gas to pass completely through a portion of the second passageways 172 b along a path normal to the plate 770 or the workpiece W.
  • the distributor plate 770 can have variable canting of the passageways 172 from the center to the perimeter of the plate along a continuum or throughout several regions in which the angle of incline increases toward the periphery of the plate 770 . Accordingly, in other embodiments, the distributor plate 770 can have more than two regions in which the passageways are canted at different angles.
  • FIG. 8 is a schematic illustration of another embodiment of a system 800 for depositing a material onto a microelectronic workpiece.
  • the system 800 is similar to the system 100 , and thus like reference numbers refer to like components in FIGS. 4 and 8 .
  • the difference between the system 800 and the system 100 is that the system 800 includes a gas distributor 860 with a distributor plate 870 that extends to the sidewall 164 to eliminate the gap 180 shown in FIG. 4 .
  • the distributor plate 870 can include any of the distributor plates explained above with reference to FIGS. 4-7 . Therefore, other aspects of the invention include a completely enclosed compartment or plenum 862 .

Abstract

Reactors for vapor deposition of materials onto a microelectronic workpiece, systems that include such reactors, and methods for depositing materials onto microelectronic workpieces. In one embodiment, a reactor for vapor deposition of a material comprises a reaction chamber and a gas distributor. The reaction chamber can include an inlet and an outlet. The gas distributor is positioned in the reaction chamber. The gas distributor has a compartment coupled to the inlet to receive a gas flow and a distributor plate including a first surface facing the compartment, a second surface facing the reaction chamber, and a plurality of passageways. The passageways extend through the distributor plate from the first surface to the second surface. Additionally, at least one of the passageways has at least a partially occluded flow path through the plate. For example, the occluded passageway can be canted at an oblique angle relative to the first surface of the distributor plate so that gas flowing through the canted passageway changes direction as it passes through the distributor plate.

Description

    TECHNICAL FIELD
  • The present invention is related to the field of thin film deposition in the manufacturing of micro-devices.
  • BACKGROUND
  • Thin film deposition techniques are widely used in the manufacturing of microelectronic devices to form a coating on a workpiece that closely conforms to the surface topography. The size of the individual components in the devices is constantly decreasing, and the number of layers in the devices is increasing. As a result, the density of components and the aspect ratios of depressions (e.g., the ratio of the depth to the size of the opening) is increasing. The size of workpieces is also increasing to provide more real estate for forming more dies (i.e., chips) on a single workpiece. Many fabricators, for example, are transitioning from 200 mm to 300 mm workpieces, and even larger workpieces will likely be used in the future. Thin film deposition techniques accordingly strive to produce highly uniform conformal layers that cover the sidewalls, bottoms and corners in deep depressions that have very small openings.
  • One widely used thin film deposition technique is Chemical Vapor Deposition (CVD). In a CVD system, one or more precursors that are capable of reacting to form a solid thin film are mixed in a gas or vapor state, and then the precursor mixture is presented to the surface of the workpiece. The surface of the workpiece catalyzes the reaction between the precursors to form a thin solid film at the workpiece surface. The most common way to catalyze the reaction at the surface of the workpiece is to heat the workpiece to a temperature that causes the reaction.
  • Although CVD techniques are useful in many applications, they also have several drawbacks. For example, if the precursors are not highly reactive, then a high workpiece temperature is needed to achieve a reasonable deposition rate. Such high temperatures are not typically desirable because heating the workpiece can be detrimental to the structures and other materials that are already formed on the workpiece. Implanted or doped materials, for example, migrate in the silicon substrate when a workpiece is heated. On the other hand, if more reactive precursors are used so that the workpiece temperature can be lower, then reactions may occur prematurely in the gas phase before reaching the substrate. This is not desirable because the film quality and uniformity may suffer, and also because it limits the types of precursors that can be used. Thus, CVD techniques may not be appropriate for many thin film applications.
  • Atomic Layer Deposition (ALD) is another thin film deposition technique that addresses several of the drawbacks associated with CVD techniques. FIGS. 1A and 1B schematically illustrate the basic operation of ALD processes. Referring to FIG. 1A, a layer of gas molecules Ax coats the surface of a workpiece W. The layer of Ax molecules is formed by exposing the workpiece W to a precursor gas containing Ax molecules, and then purging the chamber with a purge gas to remove excess Ax molecules. This process can form a monolayer of Ax molecules on the surface of the workpiece W because the Ax molecules at the surface are held in place during the purge cycle by physical adsorption forces at moderate temperatures or chemisorption forces at higher temperatures. The layer of Ax molecules is then exposed to another precursor gas containing By molecules. The Ax molecules react with the By molecules to form an extremely thin solid layer of material on the workpiece W. The chamber is then purged again with a purge gas to remove excess By molecules.
  • FIG. 2 illustrates the stages of one cycle for forming a thin solid layer using ALD techniques. A typical cycle includes (a) exposing the workpiece to the first precursor Ax, (b) purging excess Ax molecules, (c) exposing the workpiece to the second precursor By, and then (d) purging excess By molecules. In actual processing several cycles are repeated to build a thin film on a workpiece having the desired thickness. For example, each cycle may form a layer having a thickness of approximately 0.5-1.0 Å, and thus it takes approximately 60-120 cycles to form a solid layer having a thickness of approximately 60 Å.
  • FIG. 3 schematically illustrates an ALD reactor 10 having a chamber 20 coupled to a gas supply 30 and a vacuum 40. The reactor 10 also includes a heater 50 that supports the workpiece W and a gas dispenser 60 in the chamber 20. The gas dispenser 60 includes a plenum 62 operatively coupled to the gas supply 30 and a distributor plate 70 having a plurality of holes 72. In operation, the heater 50 heats the workpiece W to a desired temperature, and the gas supply 30 selectively injects the first precursor Ax, the purge gas, and the second precursor By as shown above in FIG. 2. The vacuum 40 maintains a negative pressure in the chamber to draw the gases from the gas dispenser 60 across the workpiece W and then through an outlet of the chamber 20.
  • One drawback of ALD processing is that it is difficult to avoid mixing between the first and second precursors in the chamber apart from the surface of the workpiece. For example, a precursor may remain on surfaces of the gas dispenser or on other surfaces of the chamber even after a purge cycle. This results in the unwanted deposition of the solid material on components of the reaction chamber. The first and second precursors may also mix together in a supply line or other area of a reaction chamber to prematurely form solid particles before reaching the surface of the workpiece. Thus, the components of the ALD reactor and the timing of the Ax/purge/By/purge pulses of a cycle should not entrap or otherwise cause mixing of the precursors in a manner that produces unwanted deposits or premature reactions.
  • Another drawback of ALD processing is that the film thickness may be different at the center of the workpiece than at the periphery. To overcome this problem, the center of some distributor plates do not have any holes 72. In practice, however, this may cause the film at the center of the workpiece to be thinner than the film at the periphery. Moreover, the center portion of such plates may become coated with the solid material because it is difficult to purge all of the precursors from this portion of the gas dispenser 60 during normal purge cycles. Therefore, there is a need to resolve the problem of having a different film thickness at the center of the workpiece than at the periphery.
  • SUMMARY
  • The present invention is directed toward reactors for deposition of materials onto a micro-device workpiece, systems that include such reactors, and methods for depositing materials onto micro-device workpieces. In one embodiment, a reactor for depositing a material comprises a reaction chamber and a gas distributor that directs gas flows to a workpiece. The reaction chamber can include an inlet and an outlet, and the gas distributor is positioned in the reaction chamber. The gas distributor has a compartment coupled to the inlet to receive a gas flow and a distributor plate including a first surface facing the compartment, a second surface facing the reaction chamber, and a plurality of passageways. The passageways extend through the distributor plate from the first surface to the second surface. Additionally, at least one of the passageways has at least a partially occluded flow path through the plate. For example, the occluded passageway can be canted at an oblique angle relative to the first surface of the distributor plate so that gas flowing through the canted passageway changes direction as it passes through the distributor plate.
  • The compartment of the gas distributor can be defined by a sidewall, and the distributor plate can extend transverse relative to the sidewall. In one embodiment, the distributor plate has an inner region, an outer region, and a peripheral edge spaced laterally inward from the sidewall to define a gap between the peripheral edge and the sidewall. In other embodiments, the peripheral edge of the distributor plate can be coupled to the sidewall.
  • The distributor plate can have several different embodiments. The distributor plate, for example, can have a first plurality of passageways in the inner region that are canted at an oblique angle relative to the first surface of the distributor plate, and a second plurality of passageways in the outer region that are generally normal to the first surface of the distributor plate. In another embodiment, all of the passageways through the distributor plate can be canted at an angle. The size of the passageways can also vary across the distributor plate. In one embodiment, a first plurality of passageways in the inner region have a cross-sectional dimension of approximately 0.01-0.07 inch, and a second plurality of passageways in the outer region have a cross-sectional dimension of approximately 0.08-0.20 inch. In still other embodiments, a first plurality of passageways in the inner region are canted at a first oblique angle relative to the first surface of the distributor plate, and a second plurality of passageways in the outer region are canted at a second oblique angle relative to the first surface of the distributor plate. The canted passageways are generally angled downward and radially outward from the first surface to the second surface to direct the gas flow radially outward across the surface of the workpiece. For example, the canted passageways can extend at an angle of approximately 15 degrees to approximately 85 degrees relative to the first surface of the distributor plate. The passageways, however, can be angled at different angles or canted in different directions in other embodiments.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are schematic cross-sectional views of stages in atomic layer deposition processing in accordance with the prior art.
  • FIG. 2 is a graph illustrating a cycle for forming a layer using atomic layer deposition in accordance with the prior art.
  • FIG. 3 is a schematic representation of a system including a reactor for vapor deposition of a material onto a microelectronic workpiece in accordance with the prior art.
  • FIG. 4 is a schematic representation of a system having a reactor for depositing a material onto a micro-device workpiece in accordance with one embodiment of the invention.
  • FIG. 5 is an isometric, cross-sectional view illustrating a portion of a reactor for depositing a material onto a micro-device workpiece in accordance with an embodiment of the invention.
  • FIG. 6 is a cross-sectional view of a reactor for depositing a material onto a micro-device workpiece in accordance with another embodiment of the invention.
  • FIG. 7 is a partial cross-sectional view of a distributor plate for use in a reactor for depositing a material onto a micro-device workpiece in accordance with another embodiment of the invention.
  • FIG. 8 is a schematic representation of a system including a reactor for depositing a material onto a micro-device workpiece in accordance with another embodiment of the invention.
  • DETAILED DESCRIPTION
  • The following disclosure is directed toward reactors for depositing a material onto a micro-device workpiece, systems including such reactors, and methods for depositing a material onto a micro-device workpiece. Many specific details of the invention are described below with reference to depositing materials onto micro-device workpieces. The term “micro-device workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, and other features are fabricated. For example, micro-device workpieces can be semiconductor wafers, glass substrates, insulative substrates, and many other types of materials. The term “gas” is used throughout to include any form of matter that has no fixed shape and will conform in volume to the space available, which specifically includes vapors (i.e., a gas having a temperature less than the critical temperature so that it may be liquified or solidified by compression at a constant temperature). Additionally, several aspects of the invention are described with respect to Atomic Layer Deposition (“ALD”), but certain aspects may be applicable to other types of deposition processes. Several embodiments in accordance with the invention are set forth in FIGS. 4-8 and the related text to provide a thorough understanding of particular embodiments of the invention. A person skilled in the art will understand, however, that the invention may have additional embodiments, or that the invention may be practiced without several of the details in the embodiments shown in FIGS. 4-8.
  • A. Deposition Systems
  • FIG. 4 is a schematic representation of a system 100 for depositing a material onto a micro-device workpiece W in accordance with an embodiment of the invention. In this embodiment, the system 100 includes a reactor 110 having a reaction chamber 120 coupled to a gas supply 130 and a vacuum 140. For example, the reaction chamber 120 can have an inlet 122 coupled to the gas supply 130 and an outlet 124 coupled to the vacuum 140.
  • The gas supply 130 includes a plurality of gas sources 132 (identified individually as 132 a-c), a valve assembly 133 having a plurality of valves 134 (identified individually as 134 a-c), and a plurality of gas lines 136 and 137. The gas sources 132 can include a first gas source 132 a for providing a first precursor gas “A,” a second gas source 132 b for providing a second precursor gas “B,” and a third gas source 132 c for providing a purge gas P. The first and second precursors A and B can be the constituents that react to form the thin, solid layer on the workpiece W. The p-urge gas P can a type of gas that is compatible with the reaction chamber 120 and the workpiece W. The first gas source 132 a is coupled to a first valve 134 a, the second gas source 132 b is coupled to a second valve 134 b, and the third gas source 132 c is coupled to a third valve 134 c. The valves 134 a-c are operated by a controller 142 that generates signals for pulsing the individual gases through the reaction chamber 120 in a number of cycles. Each cycle can include a first pulse of the first precursor A, a second pulse of the purge gas, a third pulse of the second precursor B, and a fourth pulse of the purge gas.
  • The reactor 110 in the embodiment illustrated in FIG. 4 also includes a workpiece support 150 and a gas distributor 160 in the reaction chamber 120. The workpiece support 150 can be a plate having a heating element to heat the workpiece W to a desired temperature for catalyzing the reaction between the first precursor A and the second precursor B at the surface of the workpiece W. The workpiece support 150, however, may not be heated in all applications.
  • The gas distributor 160 is positioned at the inlet 122 of the reaction chamber 120. The gas distributor 160 has a compartment or plenum 162 that is defined, at least in part, by a sidewall 164. The compartment or plenum 162 can be further defined by a chamber lid 166. The gas distributor 160 further includes a distributor plate 170 having a first surface 171 a facing the compartment 162, a second surface 171 b facing away from the compartment 162, and a plurality of passageways 172 (identified by reference numbers 172 a and 172 b). As explained in more detail below, a gas flow F in the compartment 162 flows through the passageways 172 a-b and through a gap 180 between the sidewall 164 and the distributor plate 170. As explained in more detail below, this particular embodiment of the distributor plate 170 performs the following functions: (a) directs the gas flow F to provide a more uniform film thickness across the workpiece W; and (b) limits areas in the reaction chamber where the precursors can adduct and mix prematurely before contacting the workpiece.
  • B. Gas Distributors and Distributor Plates
  • FIG. 5 illustrates a particular embodiment of the gas distributor 160 and the distributor plate 170 in greater detail. In this embodiment, the distributor plate 170 has an inner region 173 a with a first plurality of passageways 172 a and an outer region 173 b with a second plurality of passageways 172 b. The first passageways 172 a extend from the first surface 171 a to the second surface 171 b, and at least a portion of each of the first passageways 172 a is at least partially occluded along a flow path to the plate 170. In this particular embodiment, the first passageways 172 a are occluded by being canted at an oblique angle relative to the first surface 171 a and/or the plane defined by the plate 170. The term “occluded,” as used herein, is not limited to an obstruction that blocks the passageways 172, but rather means that some of the gas molecules flowing through the first passageways 172 a cannot flow through the plate 170 along a direct “line-of-sight” between the first surface 171 a and the second surface 171 b normal to the plane defined by the plate 170. It will be appreciated that canting the first passageways 172 a at an oblique angle relative to the plate 170 can either fully or at least partially block the direct line-of-sight to the workpiece while still allowing gas to flow through the first passageways 172 a. The first passageways 172 a can be canted at an angle of approximately 15° to approximately 85° relative to the plane defined by the plate 170. The second passageways 172 b extend through the plate 170 generally normal to the first surface 171 a such that they provide a direct line-of-sight to the workpiece throughout the full cross-sectional dimension of the second passageways 172 b. The second passageways 172 b can also have bevels 176 at the first surface 171 a and/or the second surface 171 b.
  • The distributor plate 170 is carried by a number of retainers 177 that are coupled to the lid 166 or another component of the reaction chamber 120. The retainers 177 are brackets, posts, or other suitable devices that can hold the distributor plate 170 relative to the inlet 122 and the sidewall 164. In this embodiment, the distributor plate 170 has a peripheral edge 175 spaced apart from the sidewall 164 by an annular gap 180. In operation, therefore, the gas flow F has a first component F1 that flows through the first passageways 172 a, a second component F2 that flows through the second passageways 172 b, and a third component F3 that flows through the gap 180. The first passageways 172 a direct the first flow component F1 downward and radially outward to prevent over-saturating the center portion of the workpiece with the precursors. The second passageways 172 b direct the second flow component F2 downward and generally normal to the plate 170 to provide more gas molecules to an outer region of the workpiece. The gap 180 also provides an enhanced flow of gas at the outer and peripheral regions of the workpiece.
  • Several embodiments of the distributor plate 170 are accordingly expected to provide more uniform saturation of the workpiece W with the first and second precursors A and B to provide a more uniform layer of material on the workpiece. Additionally, because the inner region 173 a of the plate 170 includes the first plurality of passageways 172 a, the surface areas upon which the first and second precursors A and B can adduct is reduced compared to conventional plates that do not have any openings in the inner region. This is expected to reduce the build up of the deposited material on the first surface 171 a of the distributor plate 170. It is also expected that such a reduction in the surface area will enhance the ability to control the uniformity of the deposited layer and the endpoints of the gas pulses for better quality depositions and enhanced throughput.
  • The first passageways 172 a can also have a different cross-sectional dimension than the second passageways 172 b as shown in the particular embodiment illustrated in FIG. 5. The first passageways, for example, can have openings of approximately 0.01-0.07 inch, and the second passageways 172 b can have openings of approximately 0.08-0.20 inch. In a particular embodiment, the first passageways 172 a at the inner region 173 a have a circular opening with a diameter of approximately 0.03 inch, and the second passageways 172 b in the outer region 173 b have a circular opening with a diameter of approximately 0.10 inch. It will be appreciated that the cross-sectional size of the first and second passageways 172 a-b can be the same, or that they can have cross-sectional dimensions that are different than the ranges set forth above.
  • The passageways 172 can accordingly be configured to further enhance or restrict the gas flow to particular areas of the workpiece by canting, or otherwise occluding selected passageways, and/or varying the sizes of the cross-sectional dimensions of the passageways. In the embodiment shown in FIG. 5, for example, the smaller cross-sectional dimension of the first passageways 172 a inhibits gas molecules from contacting the central region of the workpiece W, and the larger cross-sectional dimension of the second passageways 172 b enhances the number of gas molecules that contact the outer region of the workpiece. Therefore, the cross-sectional dimensions and the angles of inclination of the passageways can be used either separately or together to provide the desired distribution of gas to the surface of the workpiece.
  • FIG. 6 is a cross-sectional view of a distributor plate 670 in accordance with another embodiment of the invention. Several components of the distributor plate 670 are the same as the distributor plate 170, and thus like reference numbers refer to like components in FIGS. 4-6. The distributor plate 670 can include a plurality of passageways 172 that are canted at an oblique angle relative to the plane defined by the plate 670. In this embodiment, all of the passageways 172 are canted at the same angle. The angle of inclination can be approximately 15 degrees to approximately 85 degrees. In operation, the embodiment of the distributor plate 670 shown in FIG. 6 has a first flow component F1 that flows radially outwardly and downward from the plate 670, and a second flow component F2 that flows through the gap 180. The passageways 172 can have the same cross-sectional dimensions, or they can have different cross-sectional dimensions similar to the plate 170 described above.
  • FIG. 7 is a partial cross-sectional view of a distributor plate 770 in accordance with another embodiment of the invention. The distributor plate 770 is similar to the distributor plate 170, and thus like reference numbers refer to like components in FIGS. 4, 5 and 7. In this embodiment, the first passageways 172 a at the inner region 173 a are canted at a first angle α, and the second passageways 172 b in the second region 173 b are canted at a second angle β. The angle α is generally less than the angle 62 relative to the plane P-P defined by the plate 770. As such, the first passageways 172 a have a first occlusion area A1 in which there is no direct line-of-sight through the plate 770 to the workpiece W along a path normal to the plate 170. The second passageways 172 b, however, have a smaller occlusion area A2 because the higher angle β allows gas to pass completely through a portion of the second passageways 172 b along a path normal to the plate 770 or the workpiece W. By increasing the size of the occlusion area A, for the first passageways 172 a relative to the occlusion area A2 for the second passageways 172 b, fewer gas molecules are likely to be deposited on the central region C of the workpiece W. It will be appreciated that the distributor plate 770 can have variable canting of the passageways 172 from the center to the perimeter of the plate along a continuum or throughout several regions in which the angle of incline increases toward the periphery of the plate 770. Accordingly, in other embodiments, the distributor plate 770 can have more than two regions in which the passageways are canted at different angles.
  • C. Additional Deposition Systems
  • FIG. 8 is a schematic illustration of another embodiment of a system 800 for depositing a material onto a microelectronic workpiece. The system 800 is similar to the system 100, and thus like reference numbers refer to like components in FIGS. 4 and 8. The difference between the system 800 and the system 100 is that the system 800 includes a gas distributor 860 with a distributor plate 870 that extends to the sidewall 164 to eliminate the gap 180 shown in FIG. 4. It will be appreciated that the distributor plate 870 can include any of the distributor plates explained above with reference to FIGS. 4-7. Therefore, other aspects of the invention include a completely enclosed compartment or plenum 862.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited except as by the appended claims.

Claims (11)

1-62. (Canceled)
63. A method for forming a thin layer on a micro-device workpiece, comprising:
providing a flow of gas to a gas distributor having a distributor plate with an inner region and an outer region;
passing a first portion of the gas flow through the inner region of the plate along a path extending at an oblique angle relative to a plane defined by the plate such that the first portion of the gas exits the plate having a first flow characteristic; and
flowing a second portion of the gas flow through the outer region of the plate such that the second portion of the gas exits the plate having a second flow characteristic different than the first flow characteristic.
64. The method of claim 63 wherein flowing the second portion of the gas through the outer region of the plate comprises dispensing the second portion of the gas at an angle that is at least substantially normal to the plane defined by the plate.
65. The method of claim 63 wherein passing the first portion of the gas through the inner region of the plate comprises dispensing the first portion of the gas at an angle that is oblique relative to the plane defined by the plate.
66. The method of claim 63 wherein:
flowing the second portion of the gas through the outer region of the plate comprises dispensing the second portion of the gas at an angle that is at least substantially normal to the plane defined by the plate; and
passing the first portion of the gas through the inner region of the plate comprises dispensing the first portion of the gas at an angle that is oblique relative to the plane defined by the plate.
67. The method of claim 63 wherein flowing the second portion of the gas through the outer region of the plate comprises dispensing the second portion of the gas at an angle that is oblique relative to the plane defined by the plate.
68. The method of claim 63 wherein passing the first portion of the gas through the inner region of the plate comprises dispensing the first portion of the gas at an angle of approximately 15° to approximately 85° relative to the plane defined by the plate.
69. The method of claim 63 wherein:
flowing the second portion of the gas through the outer region of the plate comprises dispensing the second portion of the gas at an oblique angle α relative to the plane defined by the plate; and
passing the first portion of the gas through the inner region of the plate comprises dispensing the first portion of the gas at an oblique angle β relative to the plane defined by the plate different than the angle α.
70. The method of claim 63 wherein:
flowing the second portion of the gas through the outer region of the plate comprises dispensing the second portion of the gas at an oblique angle α relative to the plane defined by the plate; and
passing the first portion of the gas through the inner region of the plate comprises dispensing the first portion of the gas at the oblique angle α relative to the plane defined by the plate.
71. The method of claim 63, further comprising directing a portion of the gas flow through a gap between a peripheral portion of the distributor plate and a sidewall.
72. A method for forming a thin layer on a micro-device workpiece, comprising:
providing a flow of gas to a gas distributor having a distributor plate with an inner region and an outer region;
restricting a portion of the gas flow from passing through a plurality of first passageways at the inner region of the distributor plate;
passing another portion of the gas flow through a plurality of second passageways at the outer region of the distributor plate; and
flowing still another portion of the gas flow through a gap around a peripheral edge of the distributor plate.
US10/933,605 2002-07-08 2004-09-02 Apparatus and method for depositing materials onto microelectronic workpieces Abandoned US20050022739A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/933,605 US20050022739A1 (en) 2002-07-08 2004-09-02 Apparatus and method for depositing materials onto microelectronic workpieces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/191,889 US6821347B2 (en) 2002-07-08 2002-07-08 Apparatus and method for depositing materials onto microelectronic workpieces
US10/933,605 US20050022739A1 (en) 2002-07-08 2004-09-02 Apparatus and method for depositing materials onto microelectronic workpieces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/191,889 Division US6821347B2 (en) 2002-07-08 2002-07-08 Apparatus and method for depositing materials onto microelectronic workpieces

Publications (1)

Publication Number Publication Date
US20050022739A1 true US20050022739A1 (en) 2005-02-03

Family

ID=30000012

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/191,889 Expired - Fee Related US6821347B2 (en) 2002-07-08 2002-07-08 Apparatus and method for depositing materials onto microelectronic workpieces
US10/933,605 Abandoned US20050022739A1 (en) 2002-07-08 2004-09-02 Apparatus and method for depositing materials onto microelectronic workpieces
US10/933,604 Expired - Fee Related US7387685B2 (en) 2002-07-08 2004-09-02 Apparatus and method for depositing materials onto microelectronic workpieces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/191,889 Expired - Fee Related US6821347B2 (en) 2002-07-08 2002-07-08 Apparatus and method for depositing materials onto microelectronic workpieces

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/933,604 Expired - Fee Related US7387685B2 (en) 2002-07-08 2004-09-02 Apparatus and method for depositing materials onto microelectronic workpieces

Country Status (1)

Country Link
US (3) US6821347B2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040049908A1 (en) * 2002-01-15 2004-03-18 Quallion Llc Electric storage battery construction and method of manufacture
US20040099377A1 (en) * 2002-11-27 2004-05-27 International Business Machines Corporation Non-plasma reaction apparatus and method
US20040226507A1 (en) * 2003-04-24 2004-11-18 Carpenter Craig M. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20050081786A1 (en) * 2003-10-15 2005-04-21 Kubista David J. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050087130A1 (en) * 2003-10-09 2005-04-28 Derderian Garo J. Apparatus and methods for plasma vapor deposition processes
US20050087302A1 (en) * 2003-10-10 2005-04-28 Mardian Allen P. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050126489A1 (en) * 2003-12-10 2005-06-16 Beaman Kevin L. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050164466A1 (en) * 2004-01-28 2005-07-28 Zheng Lingyi A. Methods for forming small-scale capacitor structures
US20050249887A1 (en) * 2004-05-06 2005-11-10 Dando Ross S Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US20050268856A1 (en) * 2004-06-02 2005-12-08 Miller Matthew W Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060046518A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060115957A1 (en) * 2003-09-17 2006-06-01 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060198955A1 (en) * 2003-08-21 2006-09-07 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20060205187A1 (en) * 2003-08-28 2006-09-14 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
WO2015183483A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber

Families Citing this family (400)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP3985899B2 (en) * 2002-03-28 2007-10-03 株式会社日立国際電気 Substrate processing equipment
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
CA2410927A1 (en) * 2002-11-05 2004-05-05 Michel Petitclerc Electrically heated reactor for reforming in gaseous phase
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
JP4454964B2 (en) * 2003-06-09 2010-04-21 東京エレクトロン株式会社 Partial pressure control system and flow rate control system
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
CN1669796B (en) * 2004-02-23 2012-05-23 周星工程股份有限公司 Device for manufacturing display basic board and blow head combination assemblaging therein
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US7203563B2 (en) * 2004-04-08 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic N2 purge system for 300 mm full automation fab
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (en) * 2004-06-02 2016-01-13 应用材料公司 Electron device manufacturing chamber and forming method thereof
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
KR20060014495A (en) * 2004-08-11 2006-02-16 주식회사 유진테크 Shower head of chemical vapor deposition apparatus
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
JP4628900B2 (en) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ Plasma processing equipment
KR100744639B1 (en) * 2006-07-31 2007-08-07 주식회사 월덱스 Silicon one matter of plasma chamber cathode and outring
EP2109899A4 (en) * 2006-12-19 2012-12-12 Veeco Instr Inc Vapor deposition sources and methods
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
JP4731580B2 (en) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 Film forming method and film forming apparatus
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
WO2010080109A1 (en) * 2008-12-18 2010-07-15 Veeco Instruments Inc. Vacuum deposition sources having heated effusion orifices
US9062369B2 (en) * 2009-03-25 2015-06-23 Veeco Instruments, Inc. Deposition of high vapor pressure materials
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101108879B1 (en) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 Gas injecting device and Substrate processing apparatus using the same
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
JP5697389B2 (en) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 Electrode plate for plasma etching and plasma etching processing apparatus
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
JP5933602B2 (en) 2011-03-04 2016-06-15 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Gas distribution apparatus and substrate processing apparatus
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR101971312B1 (en) * 2011-11-23 2019-04-22 램 리써치 코포레이션 Multi zone gas injection upper electrode system
CN104011838B (en) 2011-11-24 2016-10-05 朗姆研究公司 The RF with flexible symmetry returns the plasma processing chamber of band
TW201347035A (en) * 2012-02-02 2013-11-16 Greene Tweed Of Delaware Gas dispersion plate for plasma reactor having extended lifetime
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (en) * 2012-11-02 2015-04-11 Ind Tech Res Inst Air showr device having air curtain and apparatus for depositing film using the same
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP2019514222A (en) * 2016-04-13 2019-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Exhaust cooling device
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
JP2018011032A (en) * 2016-07-15 2018-01-18 株式会社東芝 Flow path structure and treatment device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2020510307A (en) * 2017-03-09 2020-04-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Diffuser design for fluidity CVD
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10861681B2 (en) 2017-05-19 2020-12-08 Applied Materials, Inc. Apparatus for collection and subsequent reaction of liquid and solid effluent into gaseous effluent
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11053589B2 (en) * 2017-06-28 2021-07-06 X-Energy, Llc Multi-inlet gas distributor for chemical vapor deposition coating of TRISO particles
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102492797B1 (en) * 2017-11-16 2023-01-30 삼성전자주식회사 Substrate treating apparatus having a showerhead
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US11189502B2 (en) * 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020023409A1 (en) * 2018-07-24 2020-01-30 Applied Materials, Inc. Optically transparent pedestal for fluidly supporting a substrate
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202020218A (en) 2018-09-14 2020-06-01 美商應用材料股份有限公司 Apparatus for multi-flow precursor dosage
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
CN111321391A (en) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 Spray head for semiconductor manufacturing
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) * 1897-03-23 Roller-bearing
US3634212A (en) * 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4438724A (en) * 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4894132A (en) * 1987-10-21 1990-01-16 Mitsubishi Denki Kabushiki Kaisha Sputtering method and apparatus
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5380396A (en) * 1991-05-30 1995-01-10 Hitachi, Ltd. Valve and semiconductor fabricating equipment using the same
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5496410A (en) * 1992-03-10 1996-03-05 Hitachi, Ltd. Plasma processing apparatus and method of processing substrates by using same apparatus
US5498292A (en) * 1994-06-16 1996-03-12 Kishimoto Sangyo Co., Ltd. Heating device used for a gas phase growing mechanism or heat treatment mechanism
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5599513A (en) * 1989-11-13 1997-02-04 Showa Denko K.K. Gas distribution plate for use with fluidized-bed gas-phase polymerizer
US5716796A (en) * 1990-01-23 1998-02-10 Medical Devices Corporation Optical blood hemostatic analysis apparatus and method
US5729896A (en) * 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US5866986A (en) * 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US5865417A (en) * 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5885425A (en) * 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
US6032923A (en) * 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
US6039557A (en) * 1989-12-22 2000-03-21 Imarx Pharmaceutical Corp. Apparatus for making gas-filled vesicles of optimal size
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6174366B1 (en) * 1993-12-23 2001-01-16 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6190459B1 (en) * 1998-01-07 2001-02-20 Tokyo Electron Limited Gas treatment apparatus
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6206967B1 (en) * 1997-12-02 2001-03-27 Applied Materials, Inc. Low resistivity W using B2H6 nucleation step
US6207937B1 (en) * 1998-05-11 2001-03-27 Semitool, Inc. Temperature control system for a thermal reactor
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6334928B1 (en) * 1998-01-30 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor processing system and method of using the same
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6347602B2 (en) * 1998-06-04 2002-02-19 Tokyo Electron Limited Plasma processing apparatus
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US20030000473A1 (en) * 1999-01-18 2003-01-02 Chae Yun-Sook Method of delivering gas into reaction chamber and shower head used to deliver gas
US20030003697A1 (en) * 2000-06-08 2003-01-02 Micron Techology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US20030003730A1 (en) * 2001-02-13 2003-01-02 Micron Technology, Inc. Sequential pulse deposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6507007B2 (en) * 2000-02-01 2003-01-14 Asm America, Inc. System of controlling the temperature of a processing chamber
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6508268B1 (en) * 1998-11-13 2003-01-21 Ckd Corporation Vacuum pressure control apparatus
US6509280B2 (en) * 2001-02-22 2003-01-21 Samsung Electronics Co., Ltd. Method for forming a dielectric layer of a semiconductor device
US20030023338A1 (en) * 2001-07-27 2003-01-30 Applied Materials, Inc. Atomic layer deposition apparatus
US20030024477A1 (en) * 2001-08-02 2003-02-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20030027428A1 (en) * 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US20030031794A1 (en) * 1997-12-24 2003-02-13 Kunihiro Tada Method of forming titanium film by CVD
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US20040000270A1 (en) * 2002-06-26 2004-01-01 Carpenter Craig M. Methods and apparatus for vapor processing of micro-device workpieces
US6673196B1 (en) * 1999-09-02 2004-01-06 Tokyo Electron Limited Plasma processing apparatus
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040007188A1 (en) * 2000-08-22 2004-01-15 Novellus Systems, Inc. Gas-purged vacuum valve
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6704913B2 (en) * 2001-01-26 2004-03-09 Applied Materials Inc. In situ wafer heat for reduced backside contamination
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US20050028734A1 (en) * 2003-02-11 2005-02-10 Carpenter Craig M. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US20050039686A1 (en) * 2003-08-21 2005-02-24 Zheng Lingyi A. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US20050045100A1 (en) * 2003-03-03 2005-03-03 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050045102A1 (en) * 2003-08-28 2005-03-03 Zheng Lingyi A. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050059261A1 (en) * 2003-09-17 2005-03-17 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US6991684B2 (en) * 2000-09-29 2006-01-31 Tokyo Electron Limited Heat-treating apparatus and heat-treating method

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US2508500A (en) * 1942-05-23 1950-05-23 Hartford Nat Bank & Trust Co Apparatus for applying metal coatings on insulators
CH461715A (en) * 1966-07-06 1968-08-31 Battelle Development Corp Process for manufacturing a continuous product from a molten material
FR1500185A (en) 1966-08-08 1967-11-03 Ct De Rech S Du Fer Blanc Electrolytic tinning process of a steel strip
GB1260300A (en) 1968-04-24 1972-01-12 Plessey Co Ltd IMPROVEMENTS IN OR RELATING TO THE PRODUCTION OF VAPOUR-DEPOSITED Nb3Sn CONDUCTOR MATERIAL
US3618919A (en) 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3630881A (en) 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US4018949A (en) 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4289061A (en) 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
US4242182A (en) 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
NL184695C (en) 1978-12-04 1989-10-02 Philips Nv BATH FOR THE STREAMLESS DEPOSIT OF TIN ON SUBSTRATES.
JPS55158623A (en) 1979-05-29 1980-12-10 Hitachi Ltd Method of controlling semiconductor vapor phase growth
JPS5747706A (en) 1980-09-04 1982-03-18 Toshio Hirai Lump of silicon nitride containing ti and its manufacture
US4545136A (en) 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
DD206687A3 (en) 1981-07-28 1984-02-01 Mikroelektronik Zt Forsch Tech METHOD AND DEVICE FOR FUELING LP CVD PROCESSES IN A PIPE REACTOR
US4475335A (en) 1982-02-12 1984-10-09 National Research Development Corporation Free piston heat engines
US4826579A (en) 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4397753A (en) 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
US4593644A (en) 1983-10-26 1986-06-10 Rca Corporation Continuous in-line deposition system
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
DE3709066A1 (en) 1986-03-31 1987-10-01 Toshiba Kawasaki Kk METHOD FOR PRODUCING A THIN METAL FILM BY CHEMICAL EVAPORATION
US4681777A (en) 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
JPS6314434A (en) * 1986-07-04 1988-01-21 Dainippon Screen Mfg Co Ltd Substrate surface processing and equipment therefor
US4966646A (en) 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
JPH0668962B2 (en) 1987-12-21 1994-08-31 株式会社東芝 Vacuum device and method of performing process using the same
DE3830249A1 (en) 1988-09-06 1990-03-15 Schott Glaswerke PLASMA PROCESS FOR COATING LEVEL SUBSTRATES
US4949669A (en) 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5015330A (en) 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5020476A (en) 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US4977106A (en) 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
US5212116A (en) 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5223113A (en) 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5325020A (en) 1990-09-28 1994-06-28 Abtox, Inc. Circular waveguide plasma microwave sterilizer apparatus
JPH04213818A (en) 1990-12-07 1992-08-04 Sony Corp Cvd device
EP0493119B1 (en) 1990-12-28 1994-08-17 Hokkai Can Co., Ltd. Welded cans
US5062446A (en) 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5232749A (en) 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
JPH081923B2 (en) 1991-06-24 1996-01-10 ティーディーケイ株式会社 Clean transfer method and device
JP3238432B2 (en) 1991-08-27 2001-12-17 東芝機械株式会社 Multi-chamber type single wafer processing equipment
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5172849A (en) 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
JP2000252269A (en) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp Equipment and method for liquid vaporization
JPH06295862A (en) 1992-11-20 1994-10-21 Mitsubishi Electric Corp Compound semiconductor fabrication system and organic metal material vessel
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP3288490B2 (en) 1993-07-09 2002-06-04 富士通株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US5626936A (en) 1993-09-09 1997-05-06 Energy Pillow, Inc. Phase change insulation system
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
JP3394293B2 (en) 1993-09-20 2003-04-07 株式会社日立製作所 Method for transporting sample and method for manufacturing semiconductor device
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
KR950020993A (en) 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
KR960002534A (en) 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
DE69520203T2 (en) 1994-06-24 2001-07-19 Nisshin Steel Co Ltd SEALING DEVICE OF A HEAT TREATMENT FURNACE WORKING UNDER HYDROGEN-CONTAINING ATMOSPHERE
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW338174B (en) * 1995-01-06 1998-08-11 Tokyo Electron Co Ltd Apparatus for supplying a treatment material
JP3246708B2 (en) 1995-05-02 2002-01-15 東京エレクトロン株式会社 Trap device and unreacted process gas exhaust mechanism using the same
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5640751A (en) 1995-07-17 1997-06-24 Thermionics Laboratories, Inc. Vacuum flange
JPH0945624A (en) * 1995-07-27 1997-02-14 Tokyo Electron Ltd Leaf-type heat treating system
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5536317A (en) 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a quartz crystal thickness/rate controller
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
JPH09312267A (en) * 1996-05-23 1997-12-02 Rohm Co Ltd Manufacture of semiconductor device and manufacturing device therefor
US5792700A (en) 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
JP3310171B2 (en) 1996-07-17 2002-07-29 松下電器産業株式会社 Plasma processing equipment
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5833888A (en) 1996-12-31 1998-11-10 Atmi Ecosys Corporation Weeping weir gas/liquid interface structure
US5846275A (en) 1996-12-31 1998-12-08 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6109206A (en) 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
DE19851824C2 (en) * 1998-11-10 2002-04-04 Infineon Technologies Ag CVD reactor
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
DE19923905B4 (en) * 1999-05-26 2010-06-17 Schaeffler Kg Clamping device for chains
US6277757B1 (en) * 1999-06-01 2001-08-21 Winbond Electronics Corp. Methods to modify wet by dry etched via profile
WO2000079019A1 (en) 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6237529B1 (en) 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (en) 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
EP1229356A3 (en) * 2001-01-31 2004-01-21 Planar Systems, Inc. Methods and apparatus for the production of optical filters
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6593644B2 (en) * 2001-04-19 2003-07-15 International Business Machines Corporation System of a package fabricated on a semiconductor or dielectric wafer with wiring on one face, vias extending through the wafer, and external connections on the opposing face
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6580174B2 (en) * 2001-09-28 2003-06-17 Intel Corporation Vented vias for via in pad technology yield improvements
KR100434493B1 (en) 2001-10-05 2004-06-05 삼성전자주식회사 Apparatus for atomic layer deposition and method for operating the same
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
WO2003033762A1 (en) 2001-10-15 2003-04-24 Micron Technology, Inc. Atomic layer deposition apparatus and process
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
EP1444380B1 (en) 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6686594B2 (en) 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
KR100450068B1 (en) 2001-11-23 2004-09-24 주성엔지니어링(주) Multi-sectored flat board type showerhead used in CVD apparatus
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
KR20050112371A (en) * 2004-05-25 2005-11-30 삼성전자주식회사 Shower head and atomic layer deposition device having the same

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) * 1897-03-23 Roller-bearing
US3634212A (en) * 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4438724A (en) * 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4894132A (en) * 1987-10-21 1990-01-16 Mitsubishi Denki Kabushiki Kaisha Sputtering method and apparatus
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
US5091207A (en) * 1989-07-20 1992-02-25 Fujitsu Limited Process and apparatus for chemical vapor deposition
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
US5599513A (en) * 1989-11-13 1997-02-04 Showa Denko K.K. Gas distribution plate for use with fluidized-bed gas-phase polymerizer
US6039557A (en) * 1989-12-22 2000-03-21 Imarx Pharmaceutical Corp. Apparatus for making gas-filled vesicles of optimal size
US5716796A (en) * 1990-01-23 1998-02-10 Medical Devices Corporation Optical blood hemostatic analysis apparatus and method
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5380396A (en) * 1991-05-30 1995-01-10 Hitachi, Ltd. Valve and semiconductor fabricating equipment using the same
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5496410A (en) * 1992-03-10 1996-03-05 Hitachi, Ltd. Plasma processing apparatus and method of processing substrates by using same apparatus
US5377429A (en) * 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US6174366B1 (en) * 1993-12-23 2001-01-16 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips
US5498292A (en) * 1994-06-16 1996-03-12 Kishimoto Sangyo Co., Ltd. Heating device used for a gas phase growing mechanism or heat treatment mechanism
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5885425A (en) * 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
US5866986A (en) * 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5865417A (en) * 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5729896A (en) * 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6206967B1 (en) * 1997-12-02 2001-03-27 Applied Materials, Inc. Low resistivity W using B2H6 nucleation step
US20030031794A1 (en) * 1997-12-24 2003-02-13 Kunihiro Tada Method of forming titanium film by CVD
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6190459B1 (en) * 1998-01-07 2001-02-20 Tokyo Electron Limited Gas treatment apparatus
US6032923A (en) * 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
US6334928B1 (en) * 1998-01-30 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor processing system and method of using the same
US6022483A (en) * 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
US6207937B1 (en) * 1998-05-11 2001-03-27 Semitool, Inc. Temperature control system for a thermal reactor
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6347602B2 (en) * 1998-06-04 2002-02-19 Tokyo Electron Limited Plasma processing apparatus
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6508268B1 (en) * 1998-11-13 2003-01-21 Ckd Corporation Vacuum pressure control apparatus
US20030000473A1 (en) * 1999-01-18 2003-01-02 Chae Yun-Sook Method of delivering gas into reaction chamber and shower head used to deliver gas
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6673196B1 (en) * 1999-09-02 2004-01-06 Tokyo Electron Limited Plasma processing apparatus
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US20030027431A1 (en) * 1999-12-22 2003-02-06 Ofer Sneh Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6507007B2 (en) * 2000-02-01 2003-01-14 Asm America, Inc. System of controlling the temperature of a processing chamber
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030003697A1 (en) * 2000-06-08 2003-01-02 Micron Techology, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20040007188A1 (en) * 2000-08-22 2004-01-15 Novellus Systems, Inc. Gas-purged vacuum valve
US6991684B2 (en) * 2000-09-29 2006-01-31 Tokyo Electron Limited Heat-treating apparatus and heat-treating method
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6704913B2 (en) * 2001-01-26 2004-03-09 Applied Materials Inc. In situ wafer heat for reduced backside contamination
US20030003730A1 (en) * 2001-02-13 2003-01-02 Micron Technology, Inc. Sequential pulse deposition
US6509280B2 (en) * 2001-02-22 2003-01-21 Samsung Electronics Co., Ltd. Method for forming a dielectric layer of a semiconductor device
US20030027428A1 (en) * 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US20030023338A1 (en) * 2001-07-27 2003-01-30 Applied Materials, Inc. Atomic layer deposition apparatus
US20030024477A1 (en) * 2001-08-02 2003-02-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20040025786A1 (en) * 2002-04-05 2004-02-12 Tadashi Kontani Substrate processing apparatus and reaction container
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US20040000270A1 (en) * 2002-06-26 2004-01-01 Carpenter Craig M. Methods and apparatus for vapor processing of micro-device workpieces
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US20050028734A1 (en) * 2003-02-11 2005-02-10 Carpenter Craig M. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050045100A1 (en) * 2003-03-03 2005-03-03 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20050039686A1 (en) * 2003-08-21 2005-02-24 Zheng Lingyi A. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US20050045102A1 (en) * 2003-08-28 2005-03-03 Zheng Lingyi A. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050059261A1 (en) * 2003-09-17 2005-03-17 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040049908A1 (en) * 2002-01-15 2004-03-18 Quallion Llc Electric storage battery construction and method of manufacture
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040099377A1 (en) * 2002-11-27 2004-05-27 International Business Machines Corporation Non-plasma reaction apparatus and method
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US20040226507A1 (en) * 2003-04-24 2004-11-18 Carpenter Craig M. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20060198955A1 (en) * 2003-08-21 2006-09-07 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20060205187A1 (en) * 2003-08-28 2006-09-14 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20060115957A1 (en) * 2003-09-17 2006-06-01 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20050087130A1 (en) * 2003-10-09 2005-04-28 Derderian Garo J. Apparatus and methods for plasma vapor deposition processes
US20050087302A1 (en) * 2003-10-10 2005-04-28 Mardian Allen P. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050081786A1 (en) * 2003-10-15 2005-04-21 Kubista David J. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050126489A1 (en) * 2003-12-10 2005-06-16 Beaman Kevin L. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20060204649A1 (en) * 2003-12-10 2006-09-14 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
US7771537B2 (en) 2003-12-10 2010-08-10 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US8384192B2 (en) 2004-01-28 2013-02-26 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050164466A1 (en) * 2004-01-28 2005-07-28 Zheng Lingyi A. Methods for forming small-scale capacitor structures
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US9023436B2 (en) 2004-05-06 2015-05-05 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050249887A1 (en) * 2004-05-06 2005-11-10 Dando Ross S Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050268856A1 (en) * 2004-06-02 2005-12-08 Miller Matthew W Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US8110891B2 (en) 2004-08-31 2012-02-07 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060110936A1 (en) * 2004-08-31 2006-05-25 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US8470686B2 (en) 2004-08-31 2013-06-25 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060046518A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
WO2015183483A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber

Also Published As

Publication number Publication date
US6821347B2 (en) 2004-11-23
US20050133161A1 (en) 2005-06-23
US7387685B2 (en) 2008-06-17
US20040003777A1 (en) 2004-01-08

Similar Documents

Publication Publication Date Title
US7387685B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
US7427425B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7647886B2 (en) Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
US7235138B2 (en) Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7056806B2 (en) Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7422635B2 (en) Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7699932B2 (en) Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
KR101522725B1 (en) High Temperature ALD Inlet Manifold
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
US20070015374A1 (en) Apparatus and method for atomic layer deposition on substrates
US7584942B2 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
CN115874149A (en) Shower head and substrate processing apparatus
KR100972111B1 (en) Batch type semiconductor manufacturing apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION