US20040237997A1 - Method for removal of residue from a substrate - Google Patents

Method for removal of residue from a substrate Download PDF

Info

Publication number
US20040237997A1
US20040237997A1 US10/446,332 US44633203A US2004237997A1 US 20040237997 A1 US20040237997 A1 US 20040237997A1 US 44633203 A US44633203 A US 44633203A US 2004237997 A1 US2004237997 A1 US 2004237997A1
Authority
US
United States
Prior art keywords
substrate
hydrogen
residue
aqueous solution
based plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/446,332
Inventor
Ying Rui
Chun Yan
Guowen Ding
Suzanne Arias
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/446,332 priority Critical patent/US20040237997A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YAN, CHUN, ARIAS, SUZANNE, DING, GUOWEN, RUI, YING
Priority to KR1020040037496A priority patent/KR20040102337A/en
Priority to TW093114873A priority patent/TW200501255A/en
Priority to CNA2004100457691A priority patent/CN1574203A/en
Publication of US20040237997A1 publication Critical patent/US20040237997A1/en
Priority to US11/363,833 priority patent/US8101025B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G21/00Table-ware
    • A47G21/14Knife racks or stands; Holders for table utensils attachable to plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45CPURSES; LUGGAGE; HAND CARRIED BAGS
    • A45C11/00Receptacles for purposes not provided for in groups A45C1/00-A45C9/00
    • A45C11/20Lunch or picnic boxes or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47GHOUSEHOLD OR TABLE EQUIPMENT
    • A47G2400/00Details not otherwise provided for in A47G19/00-A47G23/16
    • A47G2400/02Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Definitions

  • the present invention generally relates to a method of fabricating devices on semiconductor substrates. More specifically, the invention relates to a method for removal of residue from a semiconductor substrate.
  • Microelectronic devices are generally fabricated on a semiconductor substrate as integrated circuits wherein various metal layers are interconnected to one another to facilitate propagation of electrical signals within the device.
  • One typical process used for fabrication of the microelectronic devices is a plasma etch process.
  • a plasma etch process one or more layers that comprise a metal (e.g., tantalum (Ta), titanium (Ti), and the like) or a metal-based compound (e.g., tantalum nitride (TaN), titanium nitride (TiN), and the like) are removed, either partially or in total, to form a feature (e.g., interconnect line or contact via) of the integrated circuit.
  • a metal e.g., tantalum (Ta), titanium (Ti), and the like
  • a metal-based compound e.g., tantalum nitride (TaN), titanium nitride (TiN), and the like
  • plasma etch processes use gas chemistries that, when reacted with the material comprising the etched layer or etch mask, may produce non-volatile by-products. Such by-products accumulate on the substrate as a residue. In the art, such residue is commonly called a “post-etch residue.” Post-etch residues interfere with processing of the substrate, e.g., the residues may contaminate the remaining layers or cause difficulties in depositing subsequent layers. Metal-containing residue may also cause short-circuits that disrupt or degrade operation of the integrated circuits.
  • Conventional methods for removing residues typically include multiple wet treatments of the substrate with an intermediate plasma strip process using an oxygen-based chemistry. Multiple wet treatments, along with an intermediate plasma strip process (i.e., etch and strip processes), reduce productivity during fabrication of the microelectronic devices. Further, the oxygen-based plasma strip process may form hard to remove metal oxides on the substrate.
  • the present invention is a method for removing residue from a substrate.
  • the residue is removed by exposing the substrate to a hydrogen-based plasma.
  • the substrate may optionally be immersed in an aqueous solution including hydrogen fluoride.
  • the residue comprises at least one metal (e.g., tantalum (Ta), titanium (Ti), tungsten (W), hafnium (Hf), and the like).
  • FIG. 1 depicts a flow diagram of a method for removing residue in accordance with an embodiment of the present invention
  • FIGS. 2A-2D depict a sequence of schematic, cross-sectional views of a substrate having a film stack where residue is removed in accordance with the method of FIG. 1;
  • FIG. 3 depicts a schematic diagram of an exemplary plasma processing apparatus of the kind used in performing portions of the inventive method.
  • FIG. 4 is a table summarizing the processing parameters of one exemplary embodiment of the inventive method when practiced using the apparatus of FIG. 3.
  • the present invention is a method for removing residue from a substrate (e.g., silicon (Si) wafer, gallium arsenide (GaAs) wafer, and the like) during fabrication of a microelectronic device.
  • a substrate e.g., silicon (Si) wafer, gallium arsenide (GaAs) wafer, and the like
  • the inventive method is used to remove post-etch residue that comprises at least one metal (e.g., tantalum (Ta), titanium (Ti), tungsten (W), hafnium (Hf), and the like), as well as compounds thereof.
  • FIG. 1 depicts a flow diagram of one embodiment of the inventive method for removal of residue as sequence 100 .
  • the sequence 100 includes processes performed upon a film stack having at least one metal layer.
  • FIGS. 2A-2D depict a series of schematic, cross-sectional views of a substrate having a film stack from which residue is removed using sequence 100 .
  • the cross-sectional views in FIGS. 2A-2D relate to individual processing steps performed upon the film stack.
  • the images in FIGS. 2A-2D are not depicted to scale and are simplified for illustrative purposes.
  • the sequence 100 starts at step 101 and proceeds to step 102 when a film stack 202 and etch mask 204 are formed on a wafer 200 , e.g., silicon wafer (FIG. 2A).
  • the film stack 202 comprises a barrier layer 210 , a metal-containing layer 208 , and an insulating layer 206 .
  • the barrier layer 210 and insulating layer 206 are generally formed of a dielectric material, such as silicon nitride (Si 3 N 4 ), silicon dioxide (SiO 2 ), hafnium dioxide (HfO 2 ), and the like, to a thickness of about 300 to 600 Angstroms.
  • the metal-containing layer 208 is formed from tantalum nitride (TaN), tantalum (Ta), titanium (Ti), tungsten (W), and the like or compounds thereof, to a thickness of about 600 to 1000 Angstroms.
  • the layers of the film stack 202 can be formed using any conventional thin film deposition technique, such as atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), physical vapor deposition (PVD), and the like. Fabrication of the microelectric devices may be performed using the respective processing reactors of CENTURA®, ENDURA®, and other semiconductor wafer processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • PVD physical vapor deposition
  • the etch mask 204 is formed on the insulating layer 206 (FIG. 2A).
  • the etch mask 204 protects a region 220 of the film stack 202 while exposing adjacent regions 222 of the stack 202 .
  • the etch mask 204 is a photoresist mask that is fabricated using a conventional lithographic patterning process. For such process, a photoresist layer is exposed through a patterned mask, developed, and the undeveloped portion of the photoresist is removed.
  • the photoresist mask 204 typically has a thickness of about 2000 to 6000 Angstroms.
  • the etch mask 203 may be a hard mask formed of silicon dioxide (SiO 2 ), Advanced Patterning FilmTM (APF) (available from Applied Materials, Inc. of Santa Clara, Calif.) and hafnium dioxide (HfO 2 ).
  • SiO 2 silicon dioxide
  • APF Advanced Patterning FilmTM
  • HfO 2 hafnium dioxide
  • the etch mask 204 may further comprise an optional anti-reflective layer 205 (shown in broken line) that controls the reflection of the light during exposure of the photoresist.
  • an optional anti-reflective layer 205 shown in broken line
  • the anti-reflective layer 205 may comprise, for example, silicon oxi-nitride, polyamides, and the like.
  • the insulating layer 206 and the metal-containing layer 208 are plasma etched and removed in the unprotected regions 222 (FIG. 2B).
  • the insulating layer 206 and the metal-containing layer 208 may be etched using either a chlorine-based gas mixture or, alternatively, a fluorine-based gas mixture.
  • the chlorine-based gas mixture may comprise chlorine (Cl 2 ), BCL 3 and an inert diluent gas, such as at least one of argon (Ar), helium (He), neon (Ne), and the like, along with a small amount of a carbon-containing gas, such as carbon tetrafluoride (CF 4 ) and the like.
  • the fluorine-based gas mixture may comprise carbon tetrafluoride (CF 4 ), CHF 3 or SF 6 and an inert diluent gas, such as at least one of argon (Ar), helium (He), neon (Ne), and the like.
  • step 104 uses the mask 204 as an etch mask and the barrier layer 210 as an etch stop layer.
  • the endpoint detection system of the etch reactor may monitor plasma emissions at a particular wavelength to determine an end of the etch process.
  • the etch process continues until a shallow recess 224 is formed in the barrier layer 210 (FIG. 2B).
  • the shallow recess 224 is formed to a depth 226 of not greater than about 150 Angstroms, e.g., typically about 50 to 75 Angstroms.
  • Such recess 224 facilitates removal of the metal-containing layer 208 (e.g., tantalum nitride (TaN)) from the barrier layer 210 in the regions 222 .
  • TaN tantalum nitride
  • Step 104 can be performed in an etch reactor such as a Decoupled Plasma Source (DPS) reactor of the CENTURA® system, commercially available from Applied Materials, Inc. of Santa Clara, Calif.
  • DPS Decoupled Plasma Source
  • the DPS reactor uses a source of radio-frequency (RF) power at about 50 kHz to 13.56 MHz to produce a high-density inductively coupled plasma.
  • RF radio-frequency
  • a portion of the material removed from the insulating film 206 and the metal-containing layer 208 combine with components of the etchant gas mixture (e.g., chlorine-containing or fluorine-containing gases and the like), as well as with the components of the etch mask 204 (e.g., polymeric components, and the like) forming non-volatile compounds.
  • the etchant gas mixture e.g., chlorine-containing or fluorine-containing gases and the like
  • the etch mask 204 e.g., polymeric components, and the like
  • non-volatile compounds become re-deposited onto the substrate 200 , forming a residue 216 (i.e., post-etch residue).
  • the post-etch residue 216 is typically found on the etch mask 204 , sidewalls 212 of the film stack 202 and elsewhere on the substrate 200 .
  • the post-etch residue 216 also comprises atoms of such metal (e.g., tantalum (Ta), titanium (Ti), tungsten (W), and the like) and/or compounds of the metal (i.e., metal chlorides, metal fluorides, metal oxides, metal nitrides, and the like) that may be formed during the etch process.
  • metal e.g., tantalum (Ta), titanium (Ti), tungsten (W), and the like
  • compounds of the metal i.e., metal chlorides, metal fluorides, metal oxides, metal nitrides, and the like
  • such metallic compounds may comprise Ta x Cl y (where x and y are integers), Ta x F y (where x and y are integers), and Ta x O y (where x and y are integers), and the like.
  • Metal-containing post-etch residues are generally more difficult to remove from the substrate than other types of residue.
  • residues 216 are also considered a contaminant with respect to subsequent processing of the substrate 200 .
  • the etch mask 204 e.g., photoresist mask
  • the post-etch residues 216 are removed (or stripped) from the film stack 202 and the substrate 200 (FIG. 2C).
  • the mask 204 and post-etch residues 216 are removed using a hydrogen-based plasma.
  • the hydrogen-based plasma may comprise one or more hydrogen-containing gases including hydrogen (H 2 ), water vapor (H 2 O).
  • the hydrogen-based plasma is preferably a remote plasma (i.e., a plasma that is excited outside the reaction volume of the process chamber), such as a microwave plasma excited at about 1.0 to 10 GHz or a radio frequency plasma excited at about 0.05 to 1000 MHz.
  • Step 106 can be performed in a reactor such as an Advanced Strip and Passivation (ASP) reactor of the CENTURA® system.
  • the ASP reactor (described in detail with reference to FIG. 3 below) is a downstream plasma reactor in which a microwave plasma is confined such that only reactive neutrals are provided to the reaction volume of the process chamber. Such plasma confinement minimizes plasma-related damage of the substrate or circuits formed on the substrate.
  • step 106 can be performed in a DPS reactor or an AXIOM® reactor, both of which are commercially available from Applied Materials, Inc. of Santa Clara, Calif.
  • the AXIOM® reactor is also a remote plasma reactor and is described in U.S. patent application Ser. No. 10/264,664, filed Oct. 4, 2002 (Attorney docket number 6094), which is herein incorporated by reference.
  • the substrate 200 may be transported, under vacuum, from the DPS reactor to the ASP, AXIOM® or another DPS reactor for performing step 106 .
  • the substrate is protected from contaminants that may be present in a non-vacuumed portion of the manufacturing environment.
  • the etch mask 204 and post-etch residues 216 are removed in the ASP reactor by providing hydrogen (H 2 ) at a flow rate of about 1000 to 5000 sccm, water vapor (H 2 O) at a flow rate of up to about 50 sccm (i.e., a H 2 :H 2 O flow ratio ranging from about 100% of H 2 to 20:1), applying a microwave power of about 1000 to 2000 W at approximately 2.45 GHz and maintaining a wafer temperature at about 100 to 300 degrees Celsius at a pressure in the process chamber of between about 1 and 4 Torr.
  • the duration of step 106 is generally about 40 to 200 sec.
  • One exemplary process provides H 2 at a rate of 3000 sccm, H 2 O at a rate of 30 sccm (i.e., a H 2 :H 2 O flow ratio of about 100:1), applies a microwave power of 1400 W and maintains a wafer temperature of 250 degrees Celsius at a chamber pressure of 2 Torr.
  • Step 106 strips and volatilizes the etch mask 204 and the post-etch residue 216 . However, after step 106 , traces 228 of post-etch residues 216 and of the etch mask 204 may still remain on the film stack 202 and substrate 200 . Additionally, in some applications, the plasma strip process of step 106 may produce a thin film of residue 230 (shown in phantom in FIG. 2C).
  • the residues 216 , 230 are removed from the film stack 202 and elsewhere on the substrate 200 (FIG. 2D).
  • the residues 216 , 230 are removed by dipping the substrate 200 in an aqueous solution including hydrogen fluoride (HF).
  • the aqueous solution includes between 0.5 and 12% by volume of hydrogen fluoride.
  • the hydrogen fluoride solution may additionally include between 0.5 and 15% by volume of at least one of nitric acid (HNO 3 ) and hydrogen chloride (HCl).
  • HNO 3 nitric acid
  • HCl hydrogen chloride
  • the aqueous hydrogen fluoride solution may be maintained at a temperature of about 10 to 30 degrees Celsius.
  • the duration of the wet dip process is generally between 1 and 10 minutes.
  • One specific process uses an aqueous solution that comprises about 1% by volume of hydrogen fluoride, at a temperature of about 20 degrees Celsius (i.e., room temperature), for a duration of about 5 minutes.
  • step 110 the sequence 100 ends.
  • the inventive method for removing residues from the substrate uses only one wet treatment step (step 108 ), and such wet treatment step is performed after the substrate is removed from a vacuumed portion of the manufacturing environment.
  • the sequence 100 facilitates about four times higher throughput (measured as a number of wafers processed in a unit of time) than conventional residue removal techniques.
  • FIG. 3 depicts a schematic diagram of the exemplary Advanced Strip and Passivation (ASP) reactor 300 that may be used to practice portions of the invention.
  • the ASP reactor is available from Applied Materials, Inc. of Santa Clara, Calif.
  • the reactor 300 comprises a process chamber 302 , a remote plasma source 306 , and a controller 308 .
  • the process chamber 302 generally is a vacuum vessel, which includes a first portion 310 and a second portion 312 .
  • the first portion 310 comprises a substrate pedestal 304 , a sidewall 316 and a vacuum pump 314 .
  • the second portion 312 comprises a lid 318 and a gas distribution plate (showerhead) 320 , which defines a gas mixing volume 322 and a reaction volume 324 .
  • the lid 318 and sidewall 316 are generally formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and electrically coupled to a ground reference 360 .
  • the substrate pedestal 304 supports a substrate (wafer) 326 within the reaction volume 324 .
  • the substrate pedestal 304 may comprise a source of radiant heat, such as gas-filled lamps 328 , as well as an embedded resistive heater 330 and a conduit 332 .
  • the conduit 332 provides a gas (e.g., helium) from a source 334 to the backside of the wafer 326 through grooves (not shown) in the wafer support surface of the pedestal 304 .
  • the gas facilitates heat exchange between the support pedestal 304 and the wafer 326 .
  • the temperature of the wafer 326 may be controlled between 20 to 400 degrees Celsius.
  • the vacuum pump 314 is adapted to an exhaust port 336 formed in the bottom 316 of the process chamber 302 .
  • the vacuum pump 314 is used to maintain a desired gas pressure in the process chamber 102 , as well as evacuate post-processing gases and volatile compounds from the chamber.
  • the vacuum pump 314 comprises a throttle valve 338 to control a gas pressure in the process chamber 302 .
  • the process chamber 302 also includes conventional systems for retaining and releasing the wafer 326 , end of process detection, internal diagnostics, and the like. Such systems are collectively depicted in FIG. 3 as support systems 340 .
  • the remote plasma source 306 includes a microwave power source 346 , a gas panel 344 , and a remote plasma chamber 342 .
  • the microwave power source 346 comprises a microwave generator 348 , a tuning assembly 350 , and an applicator 352 .
  • the microwave generator 348 is generally capable of producing about 200 W to 3000 W at a frequency of about 0.8 to 3.0 GHz.
  • the applicator 352 is coupled to the remote plasma chamber 342 to energize a process gas (or gas mixture) provided to the remote plasma chamber 342 into a microwave plasma 362 .
  • the gas panel 344 uses a conduit 366 to deliver the process gas to the remote plasma chamber 342 .
  • the gas panel 344 (or conduit 366 ) comprises means (not shown), such as mass flow controllers and shut-off valves, to control gas pressure and flow rate for each individual gas supplied to the chamber 342 .
  • the process gas is ionized and dissociated to form reactive species.
  • the reactive species are directed into the mixing volume 322 through an inlet port 368 in the lid 318 .
  • the ionic species of the process gas 364 are substantially neutralized within the mixing volume 322 before the gas reaches the reaction volume 324 through a plurality of openings 370 in the showerhead 320 .
  • the controller 308 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the memory, or computer-readable medium, 356 of the CPU 354 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 358 are coupled to the CPU 354 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • the inventive method is generally stored in the memory 356 as a software routine.
  • the software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 354 .
  • FIG. 4 is a table 400 summarizing the process parameters of the plasma strip process described herein using the ASP reactor.
  • the process parameters summarized in column 402 are for one exemplary embodiment of the invention presented above.
  • the process ranges are presented in column 404 .
  • Exemplary process parameters for the plasma strip process are presented in column 406 . It should be understood, however, that the use of a different plasma reactor may necessitate different process parameter values and ranges.

Abstract

A method for removing residues from a substrate. The residue is removed by exposing the substrate to a hydrogen-based plasma. After the substrate is exposed to the hydrogen-based plasma, the substrate may optionally be immersed in an aqueous solution including hydrogen fluoride.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention generally relates to a method of fabricating devices on semiconductor substrates. More specifically, the invention relates to a method for removal of residue from a semiconductor substrate. [0002]
  • 2. Description of the Related Art [0003]
  • Microelectronic devices are generally fabricated on a semiconductor substrate as integrated circuits wherein various metal layers are interconnected to one another to facilitate propagation of electrical signals within the device. One typical process used for fabrication of the microelectronic devices is a plasma etch process. During plasma etch processes, one or more layers that comprise a metal (e.g., tantalum (Ta), titanium (Ti), and the like) or a metal-based compound (e.g., tantalum nitride (TaN), titanium nitride (TiN), and the like) are removed, either partially or in total, to form a feature (e.g., interconnect line or contact via) of the integrated circuit. [0004]
  • Generally, plasma etch processes use gas chemistries that, when reacted with the material comprising the etched layer or etch mask, may produce non-volatile by-products. Such by-products accumulate on the substrate as a residue. In the art, such residue is commonly called a “post-etch residue.” Post-etch residues interfere with processing of the substrate, e.g., the residues may contaminate the remaining layers or cause difficulties in depositing subsequent layers. Metal-containing residue may also cause short-circuits that disrupt or degrade operation of the integrated circuits. [0005]
  • Conventional methods for removing residues typically include multiple wet treatments of the substrate with an intermediate plasma strip process using an oxygen-based chemistry. Multiple wet treatments, along with an intermediate plasma strip process (i.e., etch and strip processes), reduce productivity during fabrication of the microelectronic devices. Further, the oxygen-based plasma strip process may form hard to remove metal oxides on the substrate. [0006]
  • Therefore, there is a need in the art for an improved method for removing residue from a substrate during fabrication of microelectronic devices. [0007]
  • SUMMARY OF THE INVENTION
  • The present invention is a method for removing residue from a substrate. The residue is removed by exposing the substrate to a hydrogen-based plasma. After the substrate is exposed to the hydrogen-based plasma, the substrate may optionally be immersed in an aqueous solution including hydrogen fluoride. In one application, the residue comprises at least one metal (e.g., tantalum (Ta), titanium (Ti), tungsten (W), hafnium (Hf), and the like).[0008]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which: [0009]
  • FIG. 1 depicts a flow diagram of a method for removing residue in accordance with an embodiment of the present invention; [0010]
  • FIGS. 2A-2D depict a sequence of schematic, cross-sectional views of a substrate having a film stack where residue is removed in accordance with the method of FIG. 1; [0011]
  • FIG. 3 depicts a schematic diagram of an exemplary plasma processing apparatus of the kind used in performing portions of the inventive method; and [0012]
  • FIG. 4 is a table summarizing the processing parameters of one exemplary embodiment of the inventive method when practiced using the apparatus of FIG. 3.[0013]
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. [0014]
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0015]
  • DETAILED DESCRIPTION
  • The present invention is a method for removing residue from a substrate (e.g., silicon (Si) wafer, gallium arsenide (GaAs) wafer, and the like) during fabrication of a microelectronic device. In one application, the inventive method is used to remove post-etch residue that comprises at least one metal (e.g., tantalum (Ta), titanium (Ti), tungsten (W), hafnium (Hf), and the like), as well as compounds thereof. [0016]
  • FIG. 1 depicts a flow diagram of one embodiment of the inventive method for removal of residue as [0017] sequence 100. The sequence 100 includes processes performed upon a film stack having at least one metal layer.
  • FIGS. 2A-2D depict a series of schematic, cross-sectional views of a substrate having a film stack from which residue is removed using [0018] sequence 100. The cross-sectional views in FIGS. 2A-2D relate to individual processing steps performed upon the film stack. The images in FIGS. 2A-2D are not depicted to scale and are simplified for illustrative purposes.
  • The [0019] sequence 100 starts at step 101 and proceeds to step 102 when a film stack 202 and etch mask 204 are formed on a wafer 200, e.g., silicon wafer (FIG. 2A). In one embodiment, the film stack 202 comprises a barrier layer 210, a metal-containing layer 208, and an insulating layer 206.
  • The [0020] barrier layer 210 and insulating layer 206 are generally formed of a dielectric material, such as silicon nitride (Si3N4), silicon dioxide (SiO2), hafnium dioxide (HfO2), and the like, to a thickness of about 300 to 600 Angstroms. The metal-containing layer 208 is formed from tantalum nitride (TaN), tantalum (Ta), titanium (Ti), tungsten (W), and the like or compounds thereof, to a thickness of about 600 to 1000 Angstroms.
  • The layers of the [0021] film stack 202 can be formed using any conventional thin film deposition technique, such as atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), physical vapor deposition (PVD), and the like. Fabrication of the microelectric devices may be performed using the respective processing reactors of CENTURA®, ENDURA®, and other semiconductor wafer processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • The [0022] etch mask 204 is formed on the insulating layer 206 (FIG. 2A). The etch mask 204 protects a region 220 of the film stack 202 while exposing adjacent regions 222 of the stack 202. Generally, the etch mask 204 is a photoresist mask that is fabricated using a conventional lithographic patterning process. For such process, a photoresist layer is exposed through a patterned mask, developed, and the undeveloped portion of the photoresist is removed. The photoresist mask 204 typically has a thickness of about 2000 to 6000 Angstroms.
  • Alternatively, the etch mask [0023] 203 may be a hard mask formed of silicon dioxide (SiO2), Advanced Patterning Film™ (APF) (available from Applied Materials, Inc. of Santa Clara, Calif.) and hafnium dioxide (HfO2).
  • The [0024] etch mask 204 may further comprise an optional anti-reflective layer 205 (shown in broken line) that controls the reflection of the light during exposure of the photoresist. As feature sizes are reduced, inaccuracies in an etch mask pattern transfer process can arise from optical limitations that are inherent to the lithographic process, such as the light reflection. The anti-reflective layer 205 may comprise, for example, silicon oxi-nitride, polyamides, and the like.
  • Processes of applying the [0025] etch mask 204 are described, for example, in commonly assigned U.S. patent application Ser. No. 10/245,130, filed Sep. 16, 2002 (Attorney docket number 7524) and Ser. No. 09/590,322, filed Jun. 8, 2000 (Attorney docket number 4227), which are incorporated herein by reference.
  • At [0026] step 104, the insulating layer 206 and the metal-containing layer 208 are plasma etched and removed in the unprotected regions 222 (FIG. 2B). The insulating layer 206 and the metal-containing layer 208 may be etched using either a chlorine-based gas mixture or, alternatively, a fluorine-based gas mixture. The chlorine-based gas mixture may comprise chlorine (Cl2), BCL3 and an inert diluent gas, such as at least one of argon (Ar), helium (He), neon (Ne), and the like, along with a small amount of a carbon-containing gas, such as carbon tetrafluoride (CF4) and the like. Alternatively, the fluorine-based gas mixture may comprise carbon tetrafluoride (CF4), CHF3 or SF6 and an inert diluent gas, such as at least one of argon (Ar), helium (He), neon (Ne), and the like.
  • In one embodiment, step [0027] 104 uses the mask 204 as an etch mask and the barrier layer 210 as an etch stop layer. Specifically, during etching of the metal-containing film 208, the endpoint detection system of the etch reactor may monitor plasma emissions at a particular wavelength to determine an end of the etch process. Conventionally, the etch process continues until a shallow recess 224 is formed in the barrier layer 210 (FIG. 2B). The shallow recess 224 is formed to a depth 226 of not greater than about 150 Angstroms, e.g., typically about 50 to 75 Angstroms. Such recess 224 facilitates removal of the metal-containing layer 208 (e.g., tantalum nitride (TaN)) from the barrier layer 210 in the regions 222.
  • [0028] Step 104 can be performed in an etch reactor such as a Decoupled Plasma Source (DPS) reactor of the CENTURA® system, commercially available from Applied Materials, Inc. of Santa Clara, Calif. The DPS reactor uses a source of radio-frequency (RF) power at about 50 kHz to 13.56 MHz to produce a high-density inductively coupled plasma.
  • During [0029] step 104, a portion of the material removed from the insulating film 206 and the metal-containing layer 208 combine with components of the etchant gas mixture (e.g., chlorine-containing or fluorine-containing gases and the like), as well as with the components of the etch mask 204 (e.g., polymeric components, and the like) forming non-volatile compounds. Such non-volatile compounds become re-deposited onto the substrate 200, forming a residue 216 (i.e., post-etch residue). After the etch process, the post-etch residue 216 is typically found on the etch mask 204, sidewalls 212 of the film stack 202 and elsewhere on the substrate 200.
  • When a metal-containing layer (i.e., layer [0030] 208) is etched during step 104, the post-etch residue 216 also comprises atoms of such metal (e.g., tantalum (Ta), titanium (Ti), tungsten (W), and the like) and/or compounds of the metal (i.e., metal chlorides, metal fluorides, metal oxides, metal nitrides, and the like) that may be formed during the etch process. In the illustrative embodiment discussed herein, such metallic compounds may comprise TaxCly (where x and y are integers), TaxFy (where x and y are integers), and TaxOy (where x and y are integers), and the like. Metal-containing post-etch residues are generally more difficult to remove from the substrate than other types of residue. Such residues 216 are also considered a contaminant with respect to subsequent processing of the substrate 200.
  • At [0031] step 106, the etch mask 204 (e.g., photoresist mask) and the post-etch residues 216 are removed (or stripped) from the film stack 202 and the substrate 200 (FIG. 2C). In one embodiment, the mask 204 and post-etch residues 216 are removed using a hydrogen-based plasma. The hydrogen-based plasma may comprise one or more hydrogen-containing gases including hydrogen (H2), water vapor (H2O). The hydrogen-based plasma is preferably a remote plasma (i.e., a plasma that is excited outside the reaction volume of the process chamber), such as a microwave plasma excited at about 1.0 to 10 GHz or a radio frequency plasma excited at about 0.05 to 1000 MHz.
  • [0032] Step 106 can be performed in a reactor such as an Advanced Strip and Passivation (ASP) reactor of the CENTURA® system. The ASP reactor (described in detail with reference to FIG. 3 below) is a downstream plasma reactor in which a microwave plasma is confined such that only reactive neutrals are provided to the reaction volume of the process chamber. Such plasma confinement minimizes plasma-related damage of the substrate or circuits formed on the substrate. Alternatively, step 106 can be performed in a DPS reactor or an AXIOM® reactor, both of which are commercially available from Applied Materials, Inc. of Santa Clara, Calif. The AXIOM® reactor is also a remote plasma reactor and is described in U.S. patent application Ser. No. 10/264,664, filed Oct. 4, 2002 (Attorney docket number 6094), which is herein incorporated by reference.
  • Using the CENTURA® system, upon completion of [0033] step 104, the substrate 200 may be transported, under vacuum, from the DPS reactor to the ASP, AXIOM® or another DPS reactor for performing step 106. As such, the substrate is protected from contaminants that may be present in a non-vacuumed portion of the manufacturing environment.
  • In one illustrative embodiment, the [0034] etch mask 204 and post-etch residues 216 are removed in the ASP reactor by providing hydrogen (H2) at a flow rate of about 1000 to 5000 sccm, water vapor (H2O) at a flow rate of up to about 50 sccm (i.e., a H2:H2O flow ratio ranging from about 100% of H2 to 20:1), applying a microwave power of about 1000 to 2000 W at approximately 2.45 GHz and maintaining a wafer temperature at about 100 to 300 degrees Celsius at a pressure in the process chamber of between about 1 and 4 Torr. The duration of step 106 is generally about 40 to 200 sec. One exemplary process provides H2 at a rate of 3000 sccm, H2O at a rate of 30 sccm (i.e., a H2:H2O flow ratio of about 100:1), applies a microwave power of 1400 W and maintains a wafer temperature of 250 degrees Celsius at a chamber pressure of 2 Torr.
  • Step [0035] 106 strips and volatilizes the etch mask 204 and the post-etch residue 216. However, after step 106, traces 228 of post-etch residues 216 and of the etch mask 204 may still remain on the film stack 202 and substrate 200. Additionally, in some applications, the plasma strip process of step 106 may produce a thin film of residue 230 (shown in phantom in FIG. 2C).
  • At [0036] step 108, the residues 216, 230 are removed from the film stack 202 and elsewhere on the substrate 200 (FIG. 2D). In one embodiment, the residues 216, 230 are removed by dipping the substrate 200 in an aqueous solution including hydrogen fluoride (HF). In one illustrative embodiment, the aqueous solution includes between 0.5 and 12% by volume of hydrogen fluoride. The hydrogen fluoride solution may additionally include between 0.5 and 15% by volume of at least one of nitric acid (HNO3) and hydrogen chloride (HCl). After the substrate is dipped in the aqueous solution of hydrogen fluoride, the substrate is conventionally rinsed with deionized water to remove any traces of hydrogen fluoride. During immersion, the aqueous hydrogen fluoride solution may be maintained at a temperature of about 10 to 30 degrees Celsius. The duration of the wet dip process is generally between 1 and 10 minutes. One specific process uses an aqueous solution that comprises about 1% by volume of hydrogen fluoride, at a temperature of about 20 degrees Celsius (i.e., room temperature), for a duration of about 5 minutes.
  • At [0037] step 110, the sequence 100 ends.
  • The inventive method for removing residues from the substrate uses only one wet treatment step (step [0038] 108), and such wet treatment step is performed after the substrate is removed from a vacuumed portion of the manufacturing environment. As a result, in comparable applications, the sequence 100 facilitates about four times higher throughput (measured as a number of wafers processed in a unit of time) than conventional residue removal techniques.
  • FIG. 3 depicts a schematic diagram of the exemplary Advanced Strip and Passivation (ASP) [0039] reactor 300 that may be used to practice portions of the invention. The ASP reactor is available from Applied Materials, Inc. of Santa Clara, Calif. The reactor 300 comprises a process chamber 302, a remote plasma source 306, and a controller 308.
  • The [0040] process chamber 302 generally is a vacuum vessel, which includes a first portion 310 and a second portion 312. In one embodiment, the first portion 310 comprises a substrate pedestal 304, a sidewall 316 and a vacuum pump 314. The second portion 312 comprises a lid 318 and a gas distribution plate (showerhead) 320, which defines a gas mixing volume 322 and a reaction volume 324. The lid 318 and sidewall 316 are generally formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and electrically coupled to a ground reference 360.
  • The [0041] substrate pedestal 304 supports a substrate (wafer) 326 within the reaction volume 324. In one embodiment, the substrate pedestal 304 may comprise a source of radiant heat, such as gas-filled lamps 328, as well as an embedded resistive heater 330 and a conduit 332. The conduit 332 provides a gas (e.g., helium) from a source 334 to the backside of the wafer 326 through grooves (not shown) in the wafer support surface of the pedestal 304. The gas facilitates heat exchange between the support pedestal 304 and the wafer 326. The temperature of the wafer 326 may be controlled between 20 to 400 degrees Celsius.
  • The [0042] vacuum pump 314 is adapted to an exhaust port 336 formed in the bottom 316 of the process chamber 302. The vacuum pump 314 is used to maintain a desired gas pressure in the process chamber 102, as well as evacuate post-processing gases and volatile compounds from the chamber. In one embodiment, the vacuum pump 314 comprises a throttle valve 338 to control a gas pressure in the process chamber 302.
  • The [0043] process chamber 302 also includes conventional systems for retaining and releasing the wafer 326, end of process detection, internal diagnostics, and the like. Such systems are collectively depicted in FIG. 3 as support systems 340.
  • The [0044] remote plasma source 306 includes a microwave power source 346, a gas panel 344, and a remote plasma chamber 342. The microwave power source 346 comprises a microwave generator 348, a tuning assembly 350, and an applicator 352. The microwave generator 348 is generally capable of producing about 200 W to 3000 W at a frequency of about 0.8 to 3.0 GHz. The applicator 352 is coupled to the remote plasma chamber 342 to energize a process gas (or gas mixture) provided to the remote plasma chamber 342 into a microwave plasma 362.
  • The [0045] gas panel 344 uses a conduit 366 to deliver the process gas to the remote plasma chamber 342. The gas panel 344 (or conduit 366) comprises means (not shown), such as mass flow controllers and shut-off valves, to control gas pressure and flow rate for each individual gas supplied to the chamber 342. In the microwave plasma 362, the process gas is ionized and dissociated to form reactive species.
  • The reactive species are directed into the mixing [0046] volume 322 through an inlet port 368 in the lid 318. To minimize plasma damage to devices formed on wafer 326, the ionic species of the process gas 364 are substantially neutralized within the mixing volume 322 before the gas reaches the reaction volume 324 through a plurality of openings 370 in the showerhead 320.
  • To facilitate control of the [0047] process chamber 300 as described above, the controller 308 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory, or computer-readable medium, 356 of the CPU 354 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 358 are coupled to the CPU 354 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. The inventive method is generally stored in the memory 356 as a software routine. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 354.
  • FIG. 4 is a table [0048] 400 summarizing the process parameters of the plasma strip process described herein using the ASP reactor. The process parameters summarized in column 402 are for one exemplary embodiment of the invention presented above. The process ranges are presented in column 404. Exemplary process parameters for the plasma strip process are presented in column 406. It should be understood, however, that the use of a different plasma reactor may necessitate different process parameter values and ranges.
  • The invention may be practiced in other semiconductor systems wherein the processing parameters may be adjusted to achieve acceptable characteristics by those skilled in the art by utilizing the teachings disclosed herein without departing from the spirit of the invention. [0049]
  • While the foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0050]

Claims (23)

What is claimed is:
1. A method for removing residue from a substrate, comprising:
providing a substrate having a metallic residue thereon; and
exposing the substrate to a hydrogen-based plasma to volatize the metallic residue.
2. The method of claim 1 wherein the metallic residue comprises at least one of a metal-containing residue and a polymeric residue.
3. The method of claim 2 wherein the metal-containing residue comprises at least one metal selected from the group consisting of tantalum (Ta), titanium (Ti), tungsten (W) and hafnium (Hf).
4. The method of claim 1 wherein the hydrogen-based plasma comprises at least one of hydrogen (H2), water vapor (H2O).
5. The method of claim 1 wherein the hydrogen-based plasma comprises hydrogen (H2) and water vapor (H2O) at a H2:H2O flow ratio in a range from 20:1 to 100% of H2.
6. The method of claim 1 wherein the exposing step comprises:
providing hydrogen (H2) and water vapor (H2O) at a H2:H2O flow ratio in a range from 20:1 to 100% of H2;
maintaining the substrate at a temperature of about 100 to 300 degrees Celsius at a process chamber pressure between about 1 to 4 Torr;
applying about 1000 to 2000 W of microwave power at about 2.45 GHz to form the hydrogen-based plasma; and
exposing the substrate to the hydrogen-based plasma for about 40 to 200 seconds.
7. The method of claim 1 further comprising immersing the substrate in an aqueous solution including hydrogen fluoride after exposing the substrate to the hydrogen-based plasma.
8. The method of claim 7 wherein the aqueous solution comprises between 0.5 and 12% by volume of hydrogen fluoride.
9. The method of claim 8 wherein the aqueous solution further comprises between 0.5 and 15% by volume of nitric acid (HNO3).
10. The method of claim 8 wherein the aqueous solution further comprises between 0.5 and 15% by volume of hydrogen chloride (HCl).
11. The method of claim 7 wherein the substrate is immersed in the aqueous solution for about 1 to 10 minutes.
12. The method of claim 7 wherein the immersing step comprises:
immersing the substrate in an aqueous solution comprising between 0.5 and 12% by volume of hydrogen fluoride and deionized water at a temperature of about 10 to 30 degrees Celsius for a duration of about 0.5 to 5 minutes.
13. A method for removing metallic residue from a substrate, comprising:
providing a substrate having a metallic residue thereon;
exposing the substrate to a hydrogen-based plasma to volatize the metallic residue; and
immersing the substrate in an aqueous solution including hydrogen fluoride.
14. The method of claim 13 wherein the metallic residue comprises at least one of a metal-containing residue and a polymeric residue.
15. The method of claim 14 wherein the metal-containing residue comprises at least one metal selected from the group consisting of tantalum (Ta), titanium (Ti), tungsten (W) and hafnium (Hf).
16. The method of claim 13 wherein the hydrogen-based plasma comprises at least one of hydrogen (H2), water vapor (H2O).
17. The method of claim 13 wherein the hydrogen-based plasma comprises hydrogen (H2) and water vapor (H2O) at a H2:H2O flow ratio in a range from 20:1 to 100% of H2.
18. The method of claim 13 wherein the aqueous solution comprises between 0.5 and 12% by volume of hydrogen fluoride.
19. The method of claim 18 wherein the aqueous solution further comprises between 0.5 and 15% by volume of nitric acid (HNO3).
20. The method of claim 18 wherein the aqueous solution further comprises between 0.5 and 15% by volume of hydrogen chloride (HCl).
21. The method of claim 13 wherein the substrate is immersed in the aqueous solution for about 1 to 10 minutes.
22. The method of claim 13 wherein the exposing step comprises:
providing hydrogen (H2) and water vapor (H2O) at a H2:H2O flow ratio in a range from 20:1 to 100% of H2;
maintaining the substrate at a temperature of about 100 to 300 degrees Celsius at a process chamber pressure between about 1 to 4 Torr;
applying about 1000 to 2000 W of microwave power at about 2.45 GHz to form the hydrogen-based plasma; and
exposing the substrate to the hydrogen-based plasma for about 40 to 200 seconds.
23. The method of claim 13 wherein the immersing step comprises:
immersing the substrate in an aqueous solution comprising between 0.5 and 12% by volume of hydrogen fluoride and deionized water at a temperature of about 10 to 30 degrees Celsius for a duration of about 0.5 to 5 minutes.
US10/446,332 2003-05-27 2003-05-27 Method for removal of residue from a substrate Abandoned US20040237997A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/446,332 US20040237997A1 (en) 2003-05-27 2003-05-27 Method for removal of residue from a substrate
KR1020040037496A KR20040102337A (en) 2003-05-27 2004-05-25 Method for removal of residue from a substrate
TW093114873A TW200501255A (en) 2003-05-27 2004-05-26 Method for removal of residue from a substrate
CNA2004100457691A CN1574203A (en) 2003-05-27 2004-05-26 Method for removal of residue from a substrate
US11/363,833 US8101025B2 (en) 2003-05-27 2006-02-27 Method for controlling corrosion of a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/446,332 US20040237997A1 (en) 2003-05-27 2003-05-27 Method for removal of residue from a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/363,833 Continuation-In-Part US8101025B2 (en) 2003-05-27 2006-02-27 Method for controlling corrosion of a substrate

Publications (1)

Publication Number Publication Date
US20040237997A1 true US20040237997A1 (en) 2004-12-02

Family

ID=33451019

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/446,332 Abandoned US20040237997A1 (en) 2003-05-27 2003-05-27 Method for removal of residue from a substrate

Country Status (4)

Country Link
US (1) US20040237997A1 (en)
KR (1) KR20040102337A (en)
CN (1) CN1574203A (en)
TW (1) TW200501255A (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050199586A1 (en) * 2004-03-12 2005-09-15 Semiconductor Leading Edge Technologies, Inc. Resist removal method and semiconductor device manufactured by using the same
US20050208756A1 (en) * 2004-03-16 2005-09-22 Semiconductor Leading Edge Technologies, Inc. Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
US20050215445A1 (en) * 2002-07-29 2005-09-29 Mohamed Boumerzoug Methods for residue removal and corrosion prevention in a post-metal etch process
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US20060137710A1 (en) * 2003-05-27 2006-06-29 Applied Materials, Inc. Method for controlling corrosion of a substrate
US20090120901A1 (en) * 2007-11-09 2009-05-14 Pixeloptics Inc. Patterned electrodes with reduced residue
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US20130157465A1 (en) * 2007-04-04 2013-06-20 David Chen Methods for stripping photoresist and/or cleaning metal regions
CN103646872A (en) * 2013-11-26 2014-03-19 上海华力微电子有限公司 Photoresist removing apparatus
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20150096589A1 (en) * 2013-10-03 2015-04-09 Applied Materials, Inc. Method of non-destructive post tungsten etch residue removal
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US20170243915A1 (en) * 2014-06-25 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation shrinkage method for enhanced device performance
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20190006188A1 (en) * 2017-06-29 2019-01-03 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US11094511B2 (en) 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101870491B1 (en) * 2014-03-11 2018-06-22 도쿄엘렉트론가부시키가이샤 Plasma processing appratus, substrate processing system, fabrication method of thin film transistor, and storage medium
SG11202005303XA (en) * 2017-12-14 2020-07-29 Applied Materials Inc Methods of etching metal oxides with less etch residue

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3917710A (en) * 1974-05-23 1975-11-04 Eastman Kodak Co Preparation of phytone via the addition of dimethylketene to 4,8-dimethyl-12-oxotridecanal
US5360995A (en) * 1993-09-14 1994-11-01 Texas Instruments Incorporated Buffered capped interconnect for a semiconductor device
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5620559A (en) * 1994-03-18 1997-04-15 Fujitsu Limited Hydrogen radical processing
US5913336A (en) * 1997-07-17 1999-06-22 Ingram; Thomas L. Gasoline dispensing hose
US6063300A (en) * 1997-03-19 2000-05-16 Fujitsu Limited Method of manufacturing semiconductor device including light etching
US6107215A (en) * 1997-05-23 2000-08-22 Fujitsu Limited Hydrogen plasma downstream treatment equipment and hydrogen plasma downstream treatment method
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6276997B1 (en) * 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
US20020037820A1 (en) * 2000-07-10 2002-03-28 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6436475B1 (en) * 1996-12-28 2002-08-20 Chemetall Gmbh Process of treating metallic surfaces
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US20020127840A1 (en) * 1998-11-25 2002-09-12 Smith Patricia B. Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US20030219912A1 (en) * 2002-05-21 2003-11-27 Xiaoyi Chen Method for removal of metallic residue after plasma etching of a metal layer
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3917710A (en) * 1974-05-23 1975-11-04 Eastman Kodak Co Preparation of phytone via the addition of dimethylketene to 4,8-dimethyl-12-oxotridecanal
US5360995A (en) * 1993-09-14 1994-11-01 Texas Instruments Incorporated Buffered capped interconnect for a semiconductor device
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5620559A (en) * 1994-03-18 1997-04-15 Fujitsu Limited Hydrogen radical processing
US6436475B1 (en) * 1996-12-28 2002-08-20 Chemetall Gmbh Process of treating metallic surfaces
US6063300A (en) * 1997-03-19 2000-05-16 Fujitsu Limited Method of manufacturing semiconductor device including light etching
US6107215A (en) * 1997-05-23 2000-08-22 Fujitsu Limited Hydrogen plasma downstream treatment equipment and hydrogen plasma downstream treatment method
US5913336A (en) * 1997-07-17 1999-06-22 Ingram; Thomas L. Gasoline dispensing hose
US20020127840A1 (en) * 1998-11-25 2002-09-12 Smith Patricia B. Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6276997B1 (en) * 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
US6153530A (en) * 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US20020037820A1 (en) * 2000-07-10 2002-03-28 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6777380B2 (en) * 2000-07-10 2004-08-17 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductor devices
US6796314B1 (en) * 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US20030219912A1 (en) * 2002-05-21 2003-11-27 Xiaoyi Chen Method for removal of metallic residue after plasma etching of a metal layer
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050215445A1 (en) * 2002-07-29 2005-09-29 Mohamed Boumerzoug Methods for residue removal and corrosion prevention in a post-metal etch process
US7134941B2 (en) * 2002-07-29 2006-11-14 Nanoclean Technologies, Inc. Methods for residue removal and corrosion prevention in a post-metal etch process
US8101025B2 (en) 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
US20060137710A1 (en) * 2003-05-27 2006-06-29 Applied Materials, Inc. Method for controlling corrosion of a substrate
US20050199586A1 (en) * 2004-03-12 2005-09-15 Semiconductor Leading Edge Technologies, Inc. Resist removal method and semiconductor device manufactured by using the same
US20050208756A1 (en) * 2004-03-16 2005-09-22 Semiconductor Leading Edge Technologies, Inc. Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
US7538038B2 (en) 2004-03-16 2009-05-26 Sony Corporation Method of removing resist, semiconductor device thereby and method of manufacturing a semiconductor device
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US9373497B2 (en) * 2007-04-04 2016-06-21 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20130157465A1 (en) * 2007-04-04 2013-06-20 David Chen Methods for stripping photoresist and/or cleaning metal regions
US20090120901A1 (en) * 2007-11-09 2009-05-14 Pixeloptics Inc. Patterned electrodes with reduced residue
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10217627B2 (en) * 2013-10-03 2019-02-26 Applied Materials, Inc. Methods of non-destructive post tungsten etch residue removal
US20150096589A1 (en) * 2013-10-03 2015-04-09 Applied Materials, Inc. Method of non-destructive post tungsten etch residue removal
CN103646872A (en) * 2013-11-26 2014-03-19 上海华力微电子有限公司 Photoresist removing apparatus
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US20170243915A1 (en) * 2014-06-25 2017-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation shrinkage method for enhanced device performance
US10325956B2 (en) * 2014-06-25 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation shrinkage method for enhanced device performance
US20190259804A1 (en) * 2014-06-25 2019-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation shrinkage method for enhanced device performance
US10964746B2 (en) * 2014-06-25 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation shrinkage method for enhanced device performance
US20190006188A1 (en) * 2017-06-29 2019-01-03 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US11251052B2 (en) * 2017-06-29 2022-02-15 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
TWI806878B (en) * 2017-06-29 2023-07-01 日商東京威力科創股份有限公司 Plasma processing method and palsma processing apparatus
US11094511B2 (en) 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing

Also Published As

Publication number Publication date
TW200501255A (en) 2005-01-01
KR20040102337A (en) 2004-12-04
CN1574203A (en) 2005-02-02

Similar Documents

Publication Publication Date Title
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US8101025B2 (en) Method for controlling corrosion of a substrate
US20040237997A1 (en) Method for removal of residue from a substrate
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
JP6646978B2 (en) Contact cleaning for high aspect ratio structures
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US20060032833A1 (en) Encapsulation of post-etch halogenic residue
US7846347B2 (en) Method for removing a halogen-containing residue
US7094613B2 (en) Method for controlling accuracy and repeatability of an etch process
US6933239B2 (en) Method for removing conductive residue
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
KR102483741B1 (en) Apparatus and methods for spacer deposition and selective removal in advanced patterning processes
US20040072446A1 (en) Method for fabricating an ultra shallow junction of a field effect transistor
KR20070089082A (en) Method for controlling corrosion of a substrate
JP7314293B2 (en) Film stacks for lithographic applications
KR20050028781A (en) Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US20040229470A1 (en) Method for etching an aluminum layer using an amorphous carbon mask
JP2004336029A (en) Method of manufacturing gate structure of field effect transistor
US6911346B2 (en) Method of etching a magnetic material
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US20050079703A1 (en) Method for planarizing an interconnect structure
US20100003828A1 (en) Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
JP7456023B2 (en) Selective deposition of carbon onto photoresist layers for lithography applications
US11201063B2 (en) Substrate processing method and substrate processing apparatus
TWI837338B (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RUI, YING;YAN, CHUN;DING, GUOWEN;AND OTHERS;REEL/FRAME:014123/0602;SIGNING DATES FROM 20030513 TO 20030523

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION