US20040224504A1 - Apparatus and method for plasma enhanced monolayer processing - Google Patents

Apparatus and method for plasma enhanced monolayer processing Download PDF

Info

Publication number
US20040224504A1
US20040224504A1 US10/865,111 US86511104A US2004224504A1 US 20040224504 A1 US20040224504 A1 US 20040224504A1 US 86511104 A US86511104 A US 86511104A US 2004224504 A1 US2004224504 A1 US 2004224504A1
Authority
US
United States
Prior art keywords
substrate
plasma
injectors
platen
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/865,111
Inventor
Prasad Gadgil
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2000/017202 external-priority patent/WO2000079019A1/en
Priority claimed from US10/019,244 external-priority patent/US6812157B1/en
Application filed by Individual filed Critical Individual
Priority to US10/865,111 priority Critical patent/US20040224504A1/en
Publication of US20040224504A1 publication Critical patent/US20040224504A1/en
Priority to US11/159,999 priority patent/US7365005B1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • the present invention relates to manufacturing of semiconductor devices, particularly to an apparatus and method for delivery of reactive chemical precursors to the surface of a substrate which is to be treated or coated, e.g., with a synchronized pulsed plasma processing apparatus for processing of thin films on substrates, e.g., in semiconductor device fabrication or in a similar field.
  • Manufacturing of advanced integrated circuits (ICs) in the microelectronic industry is accomplished through numerous and repetitive steps of deposition, patterning, and etching of thin films on the surface of silicon wafers.
  • An extremely complex, monolithic and three-dimensional structure with complex topography, including a variety of thin film materials such as semiconductors, insulators and metals, is generated on the surface of a silicon or gallium arsenide wafer or other appropriate substrate surface in a precisely controlled manner.
  • Processes of deposition and etching involve chemical reactions in which solid material is either added or removed from the substrate, and the activation energy required to affect the desired chemical reactions in a controlled fashion is supplied by various means such as heat, light or electromagnetic excitation, as applied to the gas phase or to the substrate or both, and the processes are commonly known as thermal, optical or plasma processes, respectively.
  • the necessary activation energy is supplied by the combination of two means, e.g., heat and plasma.
  • Plasma is conveniently generated by applying a time varying electromagnetic field to a gaseous medium, which generates high-energy electrons that collide inelastically with gas molecules and lead to their ionization, fragmentation and dissociation.
  • a chemical reaction invariably involves bond breaking among reactants and bond formation among the products and by-products.
  • Sufficient quantity of activation energy is required to break the bonds within reactant molecules in order for the reaction to proceed at an appreciable rate.
  • the most common source for this activation energy is heat.
  • Plasma assists in reducing the activation energy by partially dissociating or activating the reactant molecules thus reducing the amount of heat energy required for the reaction to take place.
  • the preferred process has been chemical vapor deposition (CVD) for conformal deposition of: conductors such as tungsten and tungsten silicide; insulators such as silicon nitride and boro-phospho-silicate glass (BPSG); and several other layers used in the fabrication of integrated circuits.
  • CVD chemical vapor deposition
  • conductors such as tungsten and tungsten silicide
  • insulators such as silicon nitride and boro-phospho-silicate glass (BPSG)
  • BPSG boro-phospho-silicate glass
  • CVD though a high rate process, cannot deposit thin films with perfect conformality in high aspect ratio geometries; furthermore, its resolution is too coarse to deposit the extremely thin films needed for barriers, gate dielectrics and various applications. All these factors call for new processing techniques that hereto were not a part of conventional device processing methodology. As a result, a deposition technique, with a sufficiently high deposition rate, for high quality large area thin films with low impurity concentration, high thickness precision and perfect conformality in aggressive device geometries is needed.
  • Atomic Layer Deposition ALD
  • ALD Atomic Layer Deposition
  • a typical ALD process consisting of four discrete pulses, is based on the phenomenon of chemisorption which is self limiting to a monolayer formation, is independent of the substrate topography and is scalable to any substrate size.
  • the deposition is carried out in a cyclic manner to build the desired film thickness.
  • ALD processes have some limitations such as: (1) reactants must be highly chemical reactive towards each other; (2) at least one reactant needs to effectively chemisorb on the substrate surface; (3) thermal activation of the reactants may lead to unacceptably high process temperatures; and (4) low-speed of ALD as compared with CVD.
  • Low temperature processes may be achieved by employing atomic species in lieu of molecular species with partially broken bonds in the gas phase.
  • Fujiwara et al in Journal of Applied Physics vol. 74, p. 5510, November 1993 published the results of synthesis of ZnS x Se 1-x by employing atomic hydrogen generated by RF plasma and a metallic mesh ion filter.
  • No. 6,428,859 described an apparatus and method for Modulated Ion Induced ALD processes for deposition of thin films.
  • the gas flow configuration in this apparatus was a combination of a showerhead flow and a side injection of chemical precursor within the chamber while active plasma was generated behind the showerhead.
  • Nguyen in the U.S. Pat. No. 6,689,220 described the pulsed plasma layer deposition process in which plasma power was primarily used to control the plasma volume (lower power plasma occupied smaller volume than higher power plasma) above the substrate.
  • the precursor flowing through a fixed inlet in the proximity was excited at high plasma power only if the flow was injected into the plasma volume.
  • gases or vapors are defined according to their mode of interaction with plasma or a high-energy electromagnetic excitation.
  • a non-condensable gas or vapor is defined as a gas or vapor that does not decompose in to one or more gaseous components and a solid residue and/or it is a gas or vapor that does not react vigorously and destructively with the material of construction of the plasma cavity or enclosure when subjected to an external excitation such as plasma or high-energy electromagnetic radiation.
  • non-condensable gases are, but not limited to: hydrogen, helium, argon, xenon, oxygen, nitrogen, ammonia etc. Whereas, condensable gases or vapors are ones that do not satisfy the criteria described above.
  • condensable gases are, but not limited to: chlorine, CFCs, methane, hydrogen sulfide, hydrogen selenide, arsine, phosphine, silane, silicon tetrachloride, diborane, tungsten hexafluoride, hydrogen chloride, carbon tetra-fluoride, and nitrogen tri-fluoride etc.
  • condensable gases or vapors along with non-condensable gases or vapors are together defined as chemical precursors.
  • ions and energetic species of inert gases, condensable and/or non-condensable gases and/or reactive species of suitable chemical precursors from the plasma can be suitably employed to generate the desired species on the surface of deposition.
  • convective transport of gaseous species to the substrate surface in an ALD process is highly desirable to enhance the overall process efficiency and may lead to substantial enhancement of the chemical utilization efficiency. Such factors are of significant value towards increased operating benefit and decreased cleaning and abatement of the effluents.
  • the technique of ALD offers a variety of advantages over the industry prevalent techniques such as CVD and PVD, at present, it is being accepted in the semiconductor industry for only a limited number of process applications.
  • a typical commercially available ALD apparatus completes one cycle in several seconds. This translates into a deposition rate of a few tens of Angstroms (a few nm) per minute.
  • a typical ALD apparatus cannot be used as a CVD apparatus and vice-a-versa—this necessitates separate reactor systems for thick film applications.
  • the present invention provides an apparatus and method for plasma enhanced monolayer (PEM) processing.
  • the plasma effectively lowers the activation energy of the desired chemical reaction on the substrate surface between a chemisorbed monolayer and a second chemical precursor.
  • the method for plasma enhanced monolayer deposition on a substrate comprises: chemisorption of a gaseous first chemical precursor on the surface of the substrate; reaction of a gaseous second chemical precursor with the chemisorbed first chemical precursor; and simultaneously with the reaction step, providing reactive species of non-condensable gas plasma to the substrate surface; wherein the first chemical precursor and the second chemical precursor are provided through injectors positioned proximate to the surface of the substrate.
  • the present invention provides an apparatus for plasma enhanced monolayer processing with at least two injectors positioned proximate to the substrate surface.
  • a plasma generator is contained at least partially within the reaction chamber, so as to allow for the generation of plasma species and their delivery to the substrate surface.
  • the injectors and the substrate are moved relative to each other to facilitate gas delivery to the substrate surface; and the injectors are linear injectors positioned diametrically above a substrate holding platen, the platen being generally circular.
  • the apparatus and method of the invention efficiently delivers process fluids, such as plasma excited gaseous species and gaseous chemical precursors, to a substrate surface; ensures rapid completion of the processing cycle without depletion of reactive gas or vapor over the substrate surface; and ensures effective and efficient separation of highly reactive gases prior to delivery to the substrate surface.
  • process fluids such as plasma excited gaseous species and gaseous chemical precursors
  • FIG. 1 is a schematic vertical cross section of the Plasma Enhanced Monolayer (PEM) deposition system with two parallel diagonally arranged linear injectors connected to a plasma source each.
  • PEM Plasma Enhanced Monolayer
  • FIG. 2 is a schematic vertical cross section of the PEM deposition system with two parallel diagonally arranged linear injectors and two semicircular RF electrodes mounted in the lid assembly connected to a plasma power source.
  • FIG. 3 is a schematic vertical cross section of the PEM deposition system with three parallel diagonally arranged linear injectors with one injector connected to a plasma source.
  • FIG. 4 is a schematic horizontal cross section of the PEM in FIG. 2, showing the linear injectors and RF plasma electrodes connected to a plasma source.
  • FIG. 5A is a vertical cross sectional view of a linear injector with a center gas inlet, where the cross section is along the length of the linear injector.
  • FIG. 5B is a vertical cross sectional view of a linear injector with a gas inlet at one end, where the cross section is along the length of the linear injector.
  • FIGS. 6A-6C are vertical cross-sectional views across the width of the linear injectors, illustrating three geometrical configurations.
  • FIG. 7A is a schematic vertical cross section of a linear injector with external RF electrodes connected to an impedance match network and a plasma generator.
  • FIG. 7B is a schematic vertical cross section of a linear injector with internal RF electrodes connected to an impedance match network and a plasma generator.
  • FIG. 7C is a schematic vertical cross section of a linear injector enclosed within a microwave plasma cavity with a tuner and a microwave plasma power source.
  • FIG. 7D is a schematic vertical cross section of a linear injector with its inlet enclosed within a microwave plasma cavity with a tuner and a microwave plasma power source.
  • FIGS. 8A-8C are bottom views of the linear injectors illustrating three different arrangements of outlet ports.
  • FIGS. 9A-9C are examples of flow distribution patterns achievable with the use of the injectors of the invention.
  • FIG. 10A is a diagram illustrating a first sequence of operation for a single process cycle in a PEM deposition system with two linear injectors each connected to a plasma source and a second condensable chemical precursor.
  • FIG. 10B is a diagram illustrating a second sequence of operation for a single process cycle in a PEM deposition system with two linear injectors each connected to a plasma source and a second non-condensable chemical precursor.
  • FIG. 10C is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with two linear injectors and a plasma source connected to two semi-circular RF electrodes.
  • FIG. 10D is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with three linear injectors.
  • the third linear injector is connected to a plasma source and a non-condensable chemical precursor.
  • FIG. 10E is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with three linear injectors.
  • the third linear injector is connected to a pulse plasma source and a non-condensable chemical precursor.
  • FIG. 10F is a diagram illustrating a mode of operation of a PEM deposition system with three linear injectors with a pulsing flow of the precursor followed by a pulse of a non-condensable purge gas in combination with a constant flow of second chemical precursor and a constant non-condensable plasma through the third injector.
  • FIG. 10G is a diagram illustrating a mode of operation of a PEM deposition system with three linear injectors with constant flows of the first precursor and the non-condensable purge gas in combination with a constant flow of the second chemical precursor and constant non-condensable plasma through the third injector.
  • the present invention relates to the apparatus and methods of deposition of various thin films by Plasma Enhanced Monolayer (PEM) deposition processes that exploit a variety of energetic species such as ions, excited atoms, excited molecules, radicals and electrons generated by active plasma.
  • the apparatus is configured to enhance the time required to complete a deposition cycle; furthermore, active plasma is used in various chemical processes to effect deposition at lower temperatures in order to obtain thin films with higher purity and desired electrical, optical, mechanical and structural properties.
  • An apparatus configuration that provides a starting point for the apparatus of this invention is described by P. Gadgil in U.S. patent application Ser. No. 10/019,244 filed May 20, 2002, incorporated by reference herein.
  • FIG. 1 is a schematic vertical cross section view of the apparatus with two parallel diametrically-arranged linear injectors each connected to a plasma source. More specifically, the apparatus shown in FIG. 1 comprises a PEM processing reactor, which as a whole is designated by reference numeral 10 .
  • the reactor 10 has a substantially circular sealed chamber 12 which operates at low pressure, e.g. of several hundred mtorr, with a co-axially mounted circular platen 14 that can be rotated, e.g., by a motor 16 via a pulley 18 on the output shaft of the motor 16 via a transmission belt 20 and a driven pulley 22 on the end of a platen shaft 24 .
  • a stationary heater 26 is provided underneath the platen 14 to heat a rotating substrate 28 , supported by platen 14 , to a predefined temperature as stipulated by the process. It is specifically noted here that the provision of a substrate heater 26 is desirable as the heat energy supplied imparts mobility to the gas molecules on the surface of the substrate 28 and assists in completing the desired chemical reactions. Moreover, moderate heating of the substrate 28 can assist in desorption and purging of reaction by-products and also can help to complete exchange reactions more effectively. Although the chemical reactions can possibly be carried out without substrate heating, the inventors' intention to include a substrate heater 26 is to obtain the product with higher purity and with lower defect density and overall higher quality.
  • the reactor 10 is provided with a substrate loading-unloading port 29 that forms a part of the reactor wall and allows loading/unloading of the substrates 28 to and from the reactor 10 (FIG. 1).
  • the reactor 10 is also connected to a vacuum pump 30 through a throttle valve 32 and a gate valve (not shown in the diagram), both operated, e.g., by a programmable controller 31 .
  • the reactor 10 is equipped with linear injectors 34 and 36 with apertures or slots, which are described in detail later.
  • the injectors are mounted in an enclosure 37 within the reactor cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath (as indicated by the arrows).
  • FIG. 1 and 4 show how the linear injectors 34 and 36 can be arranged parallel and proximate to the platen 14 .
  • the platen 14 is generally circular and the injectors are configured substantially diametrically across the generally circular platen 14 .
  • Each of the linear injectors 34 and 36 is supplied with an appropriate chemistry, e.g., a first chemical precursor species from a chemical precursor unit 40 (for injector 34 ) through control valves 42 and 44 .
  • chemical precursor supply unit 46 supplies a second chemical precursor species through control valves 48 and 50 to the injector 36 .
  • the linear injectors 34 and 36 are also supplied with a non-condensable gas through a non-condensable gas supply unit 52 through a control valve 54 .
  • control valves 44 and 50 are both mounted in close proximity to the linear injectors 34 and 36 (FIG. 1).
  • injector 34 is connected to a radio frequency plasma power source 56 by cable 58 and through an impedance match network 60 .
  • the platen shaft 24 is connected to the negative pole of a variable, direct current potential source 62 through an appropriate connection (not shown in the diagram).
  • FIG. 2 a chamber 12 with a co-axially mounted circular platen 14 is provided with a stationary heater 26 underneath the platen 14 to heat a rotating substrate 28 supported by platen 14 to a predefined temperature as stipulated by the process.
  • Two linear injectors 34 and 36 are mounted within an enclosure 37 in the cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath.
  • Two semicircular metal plates (electrodes) 47 and 49 are mounted within the cover 38 in electrical isolation and connected to the RF power plasma source 56 through an impedance matching network 60 and cables 58 and 59 .
  • the control computer 31 is employed to sequence operation of valves, platen rotation, pressure and temperature control, among other process parameters.
  • a complete connection set of all the wiring in the control circuitry to and from the control computer 31 which is different from that shown in FIG. 1, is not shown in FIG. 2. The required wiring will be obvious to those skilled in the art.
  • the chamber 12 with a co-axially mounted circular platen 14 is provided with a stationary heater 26 underneath the platen 14 to heat a rotating substrate 28 supported by platen 14 to a predefined temperature as stipulated by the process.
  • Three linear injectors 34 , 35 and 36 are mounted within an enclosure 37 in the cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath.
  • the first chemical precursor unit 40 is connected to the linear injector 34 through a control valve 44 ;
  • the second chemical precursor unit 46 is connected to the linear injector 36 through a control valve 50 and the non-condensable gas source unit 52 is connected to linear injector 35 through a control valve 54 .
  • the linear injector 35 is also supplied with a means to generate plasma, to be described in detail later, e.g. a RF plasma power source 56 , a power coupling cable 58 and an impedance matching network 60 .
  • the chamber 12 is connected to pump 30 through throttle valve 32 and a gate valve (not shown in the diagram) in order to control the chamber pressure.
  • the control computer 31 is employed to sequence operation of valves, platen rotation, pressure and temperature control among other process parameters.
  • a complete connection set of all the wiring in the control circuitry to and from the control computer 31 which is different from that shown in FIG. 1, is not shown in FIG. 3. The required wiring will be obvious to those skilled in the art.
  • FIG. 4 illustrates a schematic horizontal cross sectional view of the PEM chamber cover 38 of FIG. 2, comprising of the linear injectors 34 and 36 , and RF plasma electrodes 47 and 49 connected to a plasma power source 56 by cables 58 and 59 . The placement of the plasma electrodes relative to the linear injectors 34 and 36 is clearly shown.
  • FIG. 5A is a cross sectional view of a linear injector assembly 100 with an inlet 102 located substantially halfway along its length and a longitudinal body 104 closed at both the ends thus forming a linear cavity 106 .
  • a plurality of outlet ports 108 a , 108 b , . . . 108 m and 108 n , respectively are provided along the length of the injector L in order to provide a desired distribution of the flow of chemistry supplied to the surface of the substrate 28 (see FIGS. 1-3).
  • FIG. 5B is a cross sectional view of the linear injector assembly 200 with an inlet 202 located at one end and with the opposite end closed thus forming a linear cavity 206 .
  • a plurality of outlet ports 208 a , 208 b , . . . 208 m and 208 n , respectively are provided along the length of the injector L in order to provide a desired distribution pattern of the gas and chemistry flow supplied to the surface of the substrate 28 (FIGS. 1-3).
  • the length L of the injectors should be substantially equal to the diameter of the circular substrate 28 (FIGS. 1-3).
  • FIG. 6A is a cross sectional view of the linear injector assembly 300 with an inlet 302 , a square cross section cavity 304 and an outlet 306 .
  • FIG. 6B is a cross sectional view of the linear injector assembly 400 with an inlet 402 , circular cross section cavity 404 and an outlet 406 .
  • FIG. 6C is a cross sectional view of the linear injector assembly 500 with an inlet 502 , a high aspect ratio cavity 504 and an outlet 506 .
  • both inlet ports and outlet ports can be arranged parallel to each other, or at an angle, depending on specific design requirements. It is emphasized here that a variety of other cross sections, designs, geometrical shapes and configurations of injectors may be applicable and adaptable and in principle may satisfy the operation of the invention.
  • the cross section of the injector may be triangular, polygonal or oblong.
  • the injector may be configured as a ring and multiple injectors may be placed in concentric circles above the platen.
  • FIG. 7A is a schematic illustration of the arrangement of the external plasma electrodes 65 a and 65 b placed around the linear injector assembly 100 and connected to an RF plasma power source 56 through the impedance matching network 60 by a cable 58 .
  • FIG. 7B is a schematic illustration of the arrangement of the internal plasma electrodes 67 a and 67 b placed within the linear injector assembly 100 and connected to an RF plasma power source 56 through the impedance matching network 60 by a cable 58 . In this configuration, the plasma electrodes are in physical contact with the gas in the injectors.
  • FIG. 7A is a schematic illustration of the arrangement of the external plasma electrodes 65 a and 65 b placed around the linear injector assembly 100 and connected to an RF plasma power source 56 through the impedance matching network 60 by a cable 58 .
  • FIG. 7B is a schematic illustration of the arrangement of the internal plasma electrodes 67 a and 67 b placed within the linear injector assembly 100 and connected to an RF plasma power source 56 through the im
  • FIG. 7C illustrates a linear injector assembly enclosed within a microwave cavity 63 that is connected to a tuner 61 which in turn is connected to a microwave source 55 .
  • FIG. 7D is a schematic illustration of the microwave source 55 connected to a microwave cavity 73 through a tuner 61 such that only the inlet 102 of the linear injector 100 is enclosed within the microwave cavity 73 .
  • An appropriate RF plasma power source e.g., an inductive or capacitive RF plasma generator operating at 13.56 MHz frequency is employed to generate plasma within each injector.
  • 13.56 MHz frequency is conveniently available, other suitable excitation frequencies e.g., 40 KHz, 400 KHz, 2.0 MHz may also be used to generate plasma via solenoid coils or two curved plates around the tube or parallel plates within or outside the injector.
  • a microwave plasma power source operating at 2.4 GHz can be effectively employed to generate plasma.
  • the plasma generator power can be pulsed within the duration of a precursor pulse and it can also be pulsed in synchronized fashion with a chemical precursor pulse such that the duty cycle of the plasma power source matches the process cycle.
  • the reactor can be operated in a continuous gas or vapor flow and pulsed plasma mode or continuous flow and constant power (CW) plasma mode, and the rate of processing can be modulated.
  • CW constant power
  • the plasma generator may be either an RF or a microwave generator.
  • plasma generator is used to refer to the combination of the RF power source, impedance matching network, cable and electrodes; alternatively for microwave excitation it is the combination of the microwave power source, tuner, waveguide and cavity.
  • FIG. 8A illustrates the bottom view of a linear injector surface 150 with a plurality of circular shaped outlets 151 a , 151 b , . . . 151 m , 151 n employed to deliver the reactive gases to the substrate 28 (see FIGS. 1-3).
  • FIG. 8B shows the bottom view of the injector surface 160 with a plurality of slots 161 a , 161 b , . . . 161 m , 161 n .
  • FIG. 8C illustrates the bottom view of a linear injector surface 170 with a plurality of long slots 171 a . . . 171 n employed to deliver the reactive gases to the substrate 28 .
  • FIG. 9 illustrates three different profiles of velocity distribution of the gas flow along the length of the injector. It should be noted here, and is clearly understood to an individual reasonably skilled in the art, that various other velocity distribution profiles are achievable with a combination of various injector shapes and sizes and inlet port placements under various operating conditions of inlet flow rate, inlet pressure and inlet placement.
  • FIG. 10A is a diagram illustrating the sequence of operation in a single process cycle with a supply of charged species from a non-condensable gas plasma from the first injector and flow of a condensable gas from the second injector.
  • a linear injector assembly is composed of injectors 34 , ( 35 ) and 36 arranged side by side. It is understood that the linear injectors 34 , ( 35 ) and 36 may represent any linear injector described above with reference to FIGS. 4, 5, 6 , 7 and 8 , provided the linear injector is diametrically configured with respect to the generally circular platen.
  • a substrate loading-unloading port 29 is opened and the substrate 28 is placed onto the platen 14 .
  • the substrate 28 is heated by the heater 26 through the body of the platen 14 .
  • the working chamber 12 of the apparatus 10 is evacuated by opening the gate valve (not shown in the diagram) and connecting the cavity of the working chamber 12 with a vacuum pump 30 via an adjustable throttle valve 32 . All these operations are carried out from the controller 31 .
  • injector 34 is referred to as the “first injector”
  • injector 36 is referred to as the “second Injector”
  • injector 35 is referred to as the “third injector”.
  • a first chemical precursor is supplied to the first injector 34 from the chemical precursor supply unit 40 by opening control valves 42 and 44 during the time corresponding to a half-rotation of the substrate 28 (FIG. 10A).
  • the precursor covers the entire upper surface of the substrate 28 because the first injector 34 has a substantially diametrical arrangement relative to the circular substrate 28 .
  • the entire surface of the substrate is purged with a non-condensable purge gas supplied to the surface of the substrate 28 from the same injector 34 by first closing control valve 42 and opening control valve 54 . This can also be achieved by closing the control valve 42 and maintaining the control valve 54 open throughout both half rotations of the substrate 28 .
  • the chemical precursor may be exemplified, but not limited by Silane (SiH 4 ), Gallium Chloride (GaCl 3 ), Aluminum Chloride (AlCl 3 ), Titanium Tetrachloride (TiCl 4 ), Tantalum Penta-chloride (TaCl 5 ), Tungsten Hexa-fluoride (WF 6 ) and the purge gas may comprise an inert gas such as Ar, He, N 2 , etc., or an active gas such as hydrogen, oxygen, or the like.
  • Silane SiH 4
  • GaCl 3 Gallium Chloride
  • AlCl 3 Aluminum Chloride
  • TiCl 4 Titanium Tetrachloride
  • Tantalum Penta-chloride TiCl 5
  • WF 6 Tungsten Hexa-fluoride
  • the purge gas may comprise an inert gas such as Ar, He, N 2 , etc., or an active gas such as hydrogen, oxygen, or the like.
  • the first half-rotation supply period of the chemical precursor results in chemisorption of the first chemical precursor on the surface of the substrate 28 , and the second half-rotation supply period will sweep the excess first chemical precursor from the substrate surface. As a result, a chemisorbed monolayer of the chemical precursor will be formed and it will remain attached to the surface of the substrate 28 .
  • Residence time of the gas within the PEM reactor is minimized by a combination of active sweep of the substrate surface coupled with the shortest path length of the gas above the surface. Further, PEM reactor volume is minimized and any dead volume is eliminated. Furthermore, the gas flow above the substrate is streamlined by a proper combination of magnitudes and directions of forced convection of gas, pumping speed and pumping direction, and thermal convection. Such an arrangement is essential to eliminate unsteady and turbulent flow patterns within the PEM chamber. All such measures are highly essential to minimize the residence time of deposition gases within the PEM reaction chamber. In order to realize an efficient apparatus operation, residence time of the gas within the chamber must be smaller than the pulse width in a PEM process cycle. This must be achieved, however, without compromising the complete coverage of the substrate surface within a pulse.
  • a non-condensable gas plasma is generated in the first injector 34 .
  • the non-condensable gas is selected from the group comprising of gases such as hydrogen, oxygen, nitrogen, etc.
  • a second chemical precursor in the form of a condensable gas (e.g. silane, phosphine, arsine, hydrogen sulfide, hydrogen selenide etc.) is supplied from the second injector 36 onto the previously formed chemisorbed monolayer of the first chemical precursor on the substrate surface.
  • a condensable gas e.g. silane, phosphine, arsine, hydrogen sulfide, hydrogen selenide etc.
  • FIG. 10B A process in which the second precursor is a non-condensable is illustrated in FIG. 10B.
  • the reactive species are generated in a non-condensable second chemical precursor plasma within the second injector 36 during the third half-rotation periods of the working cycle to affect the desired chemical reaction and in the fourth half-rotation periods of the working cycle only a non-condensable gas is pulsed through the second injector 36 (from the supply unit 52 ) to purge the substrate and clear the reaction by-products.
  • FIG. 10C illustrates a process in which a first chemical precursor is supplied through the first injector 34 along with a non-condensable gas (e.g. hydrogen, argon, helium) for the time duration during which the substrate rotates at least half a rotation.
  • a non-condensable gas e.g. hydrogen, argon, helium
  • the first chemical precursor flow is switched off while maintaining the non-condensable gas flow to purge the substrate of the excess first precursor.
  • the second injector 36 maintains a constant flow of a non-condensable gas.
  • RF power is supplied to the semicircular RF electrodes 47 and 49 (FIG.
  • FIG. 10D illustrates a process that can be performed in the PEM processing reactor 10 with three linear injectors (referring to FIG. 3).
  • a first chemical precursor is supplied through the first injector 34 during the first half of the substrate rotation.
  • the third injector 35 supplies a non-condensable gas pulse to surface 28 to purge excess first chemical precursors, thus forming a chemisorbed monolayer.
  • the second chemical precursor (either condensable or non-condensable) is pulsed from second injector 36 and simultaneously plasma is generated within third injector 35 to generate reactive species on the substrate surface to react with the monolayer of the first chemical precursor.
  • a constant flow of a non-condensable gas through third injector 35 in the fourth half-rotation sweeps away the reaction by-products and completes one Plasma Enhanced Monolayer (PEM) process cycle.
  • PEM Plasma Enhanced Monolayer
  • FIG. 10E a similar PEM process cycle, as described in FIG. 10D in conjunction with FIG. 3, is described in which a pulsed plasma is generated within the third injector 35 during the third half-rotation.
  • the first chemical precursor is pulsed through the first injector 34 during the first half rotation of the substrate 28 .
  • the second injector 36 a constant flow of a condensable or non-condensable second chemical precursor is maintained, and simultaneously through the third injector 35 , a constant flow of non-condensable gas plasma is maintained through all the four half rotations of the substrate 28 .
  • constant flows of all the entities are maintained throughout the substrate rotation—e.g., a constant flow of the first chemical precursor through the first injector 34 , a constant flow of a second chemical precursor (condensable or non-condensable) through the second injector 36 and a constant plasma injection of a non-condensable gas through the third injector 35 .
  • Plasma may be generated within the third injector 35 with either a constant power or a pulsed power source.
  • the chemical precursor may be supplied in an intermittent mode such that it covers the substrate surface entirely while the radical-containing gases may be supplied continuously to the substrate rotating at a constant speed.
  • both the chemical precursor and the radical-containing gases may be supplied in a continuous mode to the substrate rotating at a constant speed.
  • a variety of metal deposition processes can be developed by employing the PEM (Plasma Enhanced Monolayer) process cycle with metal halide as a metal precursor through the first injector and hydrogen plasma through the second injector.
  • PEM Pulsma Enhanced Monolayer
  • Binary metallic hydrides in which metals react with hydrogen are known in the prior art (cf. F. A. Cotton and G. Wilkinson, in Advanced Inorganic Chemistry, ch. 5, 3 rd ed., John Wiley, New York, 1972) and metal halides can be conveniently and suitably generated in-situ by heating the respective metals in the presence of hydrogen chloride (HCl) or hydrogen bromide (HBr) gas.
  • HCl hydrogen chloride
  • HBr hydrogen bromide
  • Halides such as titanium tetrachloride and tungsten hexafluoride are volatile liquids and gases, respectively, at room temperature and can be transported into the PEM reactor through an injector with relative ease.
  • the two step process sequence can be broadly described as follows:
  • Heating the substrate in the temperature range of 50-300 degree C. is desirable for the various PEM processes.
  • Processes for atomic layer deposition (ALD) of copper are known.
  • Martensson et al. (Chemical Vapor Deposition, vol. 3, p. 45-50, 1997) employed CuCl and H 2 in a temperature range of 300-400 degree C.
  • Employing hydrogen plasma in place of H 2 should significantly advance the ALD process at lower temperature.
  • gettering of undesired elements such as Cl and C with H plasma should be more efficient as compared to molecular H 2 .
  • directionality of metal deposition can be used to obtain super-fill type of deposition, which is highly desirable for seamless gap-fill processes of sub-micron trenches and vias. This aspect of the deposition process can be precisely controlled by plasma power and substrate bias among other operating parameters of the PEM chamber to obtain desired results.
  • a variety of oxides of metals can be deposited by employing metal halides along with the plasma of hydrogen and oxygen mixture (alternatively water and hydrogen plasma) injected in combination with hydrogen, helium or argon as a non-condensable gas. Reaction of hydroxyl and associated reactive species in the plasma with metal halide monolayer generates metal oxides.
  • a variety of oxides of corresponding metals can be deposited by employing metal halides through the first injector with hydrogen as a non-condensable gas and ammonia as the second chemical precursor.
  • NH x species can be conveniently generated by pulsing N 2 in hydrogen plasma. The overall reaction can be described as:
  • M are, but not limited to, Al, Ti, Ta, Zr, Nb, Hf, Mo, W, Co, Ni, Cu and X is selected from F, Cl, Br or 1 .
  • metal halides are: SiCl 4 , TiCl 4 , WF 6 , MoF 6 , TaCl 5 , ZrCl 5 etc.
  • the mechanism of deposition of carbides can be described as:
  • Metal carbonitrides with general formula MC x N y are deposited by employing metal halide as a metal source such as TiCl 4 , WF 6 , SiCl 4 etc., injected as the first chemical precursor in combination with a mixture of gases containing carbon (e.g., CH 4 ) and nitrogen (e.g., NH 3 ) together as the second chemical precursor.
  • gases containing carbon e.g., CH 4
  • nitrogen e.g., NH 3
  • Composition of carbon containing gas and nitrogen containing gas is varied independently.
  • alkyl amine generally formula R-NH 2
  • R-NH 2 can be injected as a second chemical precursor along with hydrogen, a non-condensable gas, to generate plasma either within the injector or within the chamber.
  • Borides are deposited by employing an appropriate metal source such as TiCl 4 , WF 6 etc. and hydrogen plasma, as a non-condensable plasma gas, along with diborane (B 2 H 6 ) as a boron source.
  • an appropriate metal source such as TiCl 4 , WF 6 etc. and hydrogen plasma, as a non-condensable plasma gas, along with diborane (B 2 H 6 ) as a boron source.
  • Deposition of Phosphides, Arsenides and Antimonides Halides of gallium, indium, and aluminum, used as the first chemical precursor. These chemical precursors are combined in hydrogen plasma with second chemical precursor such as phosphine (PH 3 ), arsine (AsH 3 ) or SbCl 3 to deposit thin films of various desired compounds. Highly reactive hydrogen plasma species are effectively used to extract Cl and other undesired contaminants in the films due to their excellent scavenging capacity.
  • second chemical precursor such as phosphine (PH 3 ), arsine (AsH 3 ) or SbCl 3 to deposit thin films of various desired compounds.
  • Highly reactive hydrogen plasma species are effectively used to extract Cl and other undesired contaminants in the films due to their excellent scavenging capacity.
  • metal suicides are deposited by injecting metal halides as the first chemical precursor.
  • Hydrogen is employed as the non-condensable gas for plasma generation.
  • the hydrogen plasma is combined with a second chemical precursor (silicon source in this case) such as silane (SiH 4 ) or mono-chloro-silane (SiH 3 Cl).
  • metal sulfides are effectively deposited by employing metal halides as a first chemical precursor in combination with hydrogen as the non-condensable gas for plasma generation to be combined with hydrogen sulfide (H 2 S) or hydrogen selenide (H 2 Se) as the second chemical precursor.
  • metal halides as a first chemical precursor in combination with hydrogen as the non-condensable gas for plasma generation to be combined with hydrogen sulfide (H 2 S) or hydrogen selenide (H 2 Se) as the second chemical precursor.
  • ternary and quaternary compounds are deposited by pulsing a mixture of metal halides as the first chemical precursor to be combined with hydrides of non-metallic components as the non-metal source with hydrogen as a non-condensable plasma gas.
  • precursors of Al and Ga such as AlCl 3 and GaCl 3
  • Arsine is employed as a second chemical precursor, which is combined with active hydrogen plasma supplied from the third injector.
  • ternary compounds such as SiC x N y are deposited by employing SiCl 4 or SiH 2 Cl 2 as a silicon source. Hydrogen is employed in the plasma as a non-condensable gas and a mixture of CH 3 Cl and NH 3 in a predetermined composition is injected as the second chemical precursor and source of C and N.
  • Alloys of metals are deposited by reacting halides of metals as the first chemical precursors in the predetermined composition to be combined with hydrogen as the second chemical precursor and also a non-condensable active plasma gas.
  • Multi-layer laminates multi-layer laminates such as titanium oxide/silicon oxide/titanium oxide/silicon oxide/ . . . are deposited by alternately injecting titanium halide and silicon halide with H 2 as a non-condensable gas through the plasma, and O 2 as the second chemical precursor.
  • H 2 titanium halide
  • Si halide silicon halide with H 2 as a non-condensable gas
  • O 2 as the second chemical precursor.
  • the thickness of each layer can be independently tailored.
  • Si, Ge, Si x Ge (1-x) deposition Pulsed flow of SiCl 4 or SiH 2 Cl 2 with hydrogen plasma is used for silicon deposition. Whereas, GeCl 4 and H plasma is used for deposition of Germanium. A mixture of SiH 4 or SiH 2 Cl 2 as the first chemical precursor and GeCl 4 as the second chemical precursor in a predefined proportion with hydrogen plasma is used to deposit Si x Ge (1-x) alloy. Alternatively, silicon tetrachloride and germane can be employed as sources of silicon and germanium, respectively.
  • the present invention provides an apparatus and method for efficient delivery of process fluids, such as plasma generated species and chemical precursors, to a surface to be processed.
  • process fluids such as plasma generated species and chemical precursors
  • the aforementioned apparatus and method ensure rapid completion of the processing cycle without depletion of reactive gas or vapor over the substrate surface.
  • the invention provides versatility and improved control of the flow by utilizing linear injectors of various configurations and by operating the apparatus in continuous, pulsed, or combined modes.
  • the method and apparatus of the invention also reduces the consumption of chemicals and accelerates the process due to a reduced volume and shortened path length of chemicals in the delivery system. More importantly, the apparatus ensures effective and efficient separation of highly reactive gases prior to delivery to the target surface in operational space along with uniform surface coverage and the shortest gas residence time in the delivery system.
  • the platen 14 is generally circular in shape and it is mounted co-axially within the circular chamber 12 underneath the cover 38 such that the flow from the injectors 34 , 35 and 36 impinges substantially on the surface of substrate 28 mounted on the platen 14 .
  • the substrate 28 can be of any shape and size e.g., polygonal, rectangular or square.
  • platen 14 can accommodate multiple substrates that are of the same or different dimensions and shapes.
  • the direct current potential source 62 is electrically connected to the rotating platen shaft 24 to bias the substrate 28 mounted on the platen 14 with the help of an appropriate rotating electrical seal (not shown in FIGS. 1-3).
  • an appropriate rotating electrical seal is a mercury or indium-gallium lined seal that is commonly available.
  • the platen shaft 24 is made of conducting materials e.g., 316 stainless steel or molybdenum.
  • An Electrostatic Chuck (E-chuck) can also be employed in such a configuration. A person reasonably skilled in the art is knowledgeable regarding such methods and devices.
  • PEM Pulsma Enhanced Monolayer
  • the substrate is not necessarily round in shape and may have a square, rectangular, polygonal or any other shape. More than one substrate can be treated simultaneously.
  • the linear injectors different from those shown and described are possible.
  • the injectors are not necessarily cylindrical tubes and may have a conical or any other shape.
  • other injector configurations are equally adaptable, e.g., cross shaped injectors can be effectively employed to perform the PEM cycle.

Abstract

An apparatus and method for plasma enhanced monolayer (PEM) processing, wherein excited species from a non-condensable gas plasma are delivered to a substrate surface during the reaction of a chemical precursor with a previously chemisorbed monolayer on the substrate surface; the excited species lower the activation energy of the monolayer formation reaction and also modulate the film properties. In preferred embodiments a process reactor has linear injectors arranged diametrically above a substrate and reactive gases are sequentially injected onto the substrate surface while it is being rotated. The reactor can be operated in pulse precursor and pulsed plasma, constant precursor and constant plasma modes, or a combination thereof.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. application Ser. No. 10/019,244 filed on May 20, 2002 which is based on the International Application No. PCT/US 00/17202 filed on Jun. 23, 2000 with priority date of Jun. 24, 1999.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to manufacturing of semiconductor devices, particularly to an apparatus and method for delivery of reactive chemical precursors to the surface of a substrate which is to be treated or coated, e.g., with a synchronized pulsed plasma processing apparatus for processing of thin films on substrates, e.g., in semiconductor device fabrication or in a similar field. [0003]
  • 2. Description of the Related Art [0004]
  • Manufacturing of advanced integrated circuits (ICs) in the microelectronic industry is accomplished through numerous and repetitive steps of deposition, patterning, and etching of thin films on the surface of silicon wafers. An extremely complex, monolithic and three-dimensional structure with complex topography, including a variety of thin film materials such as semiconductors, insulators and metals, is generated on the surface of a silicon or gallium arsenide wafer or other appropriate substrate surface in a precisely controlled manner. Processes of deposition and etching involve chemical reactions in which solid material is either added or removed from the substrate, and the activation energy required to affect the desired chemical reactions in a controlled fashion is supplied by various means such as heat, light or electromagnetic excitation, as applied to the gas phase or to the substrate or both, and the processes are commonly known as thermal, optical or plasma processes, respectively. In many instances, the necessary activation energy is supplied by the combination of two means, e.g., heat and plasma. [0005]
  • Plasma is conveniently generated by applying a time varying electromagnetic field to a gaseous medium, which generates high-energy electrons that collide inelastically with gas molecules and lead to their ionization, fragmentation and dissociation. A chemical reaction invariably involves bond breaking among reactants and bond formation among the products and by-products. Sufficient quantity of activation energy is required to break the bonds within reactant molecules in order for the reaction to proceed at an appreciable rate. The most common source for this activation energy is heat. Plasma assists in reducing the activation energy by partially dissociating or activating the reactant molecules thus reducing the amount of heat energy required for the reaction to take place. For example, in the case of a diatomic gas such as hydrogen, direct electron impact dissociation of an H[0006] 2 molecule in the plasma leads to a variety of species such as hydrogen ions, H+, excited atomic hydrogen, H*, excited molecular hydrogen, H2*, atomic hydrogen, H, and secondary electrons, e, in gas phase which can be used effectively in a chemical reaction that involves hydrogen as one of the reactants.
  • The preferred process has been chemical vapor deposition (CVD) for conformal deposition of: conductors such as tungsten and tungsten silicide; insulators such as silicon nitride and boro-phospho-silicate glass (BPSG); and several other layers used in the fabrication of integrated circuits. However, in recent years, several factors have emerged in the electronic device fabrication processes that are of great significance—sufficient to warrant a shift towards alternative deposition processes. These factors are: (a) increasing wafer diameter from 200 mm to 300 mm; (b) continuously decreasing critical device dimensions from 0.18 micron to 0.13 micron to 0.10 micron and beyond, resulting in increasing aspect ratio geometries; (c) replacement of aluminum by copper due to its low resistivity and higher electromigration resistance; (d) decreasing gate-oxide thickness and introduction of new gate dielectric materials; and (e) introduction of low-k dielectric materials that are delicate and cannot withstand higher process temperatures. Introduction of copper necessitates its cladding by a conformal diffusion barrier because of the tendency for copper to diffuse into adjacent layers. CVD, though a high rate process, cannot deposit thin films with perfect conformality in high aspect ratio geometries; furthermore, its resolution is too coarse to deposit the extremely thin films needed for barriers, gate dielectrics and various applications. All these factors call for new processing techniques that hereto were not a part of conventional device processing methodology. As a result, a deposition technique, with a sufficiently high deposition rate, for high quality large area thin films with low impurity concentration, high thickness precision and perfect conformality in aggressive device geometries is needed. Atomic Layer Deposition (ALD), a technique that was invented in the mid-1970's (T. Suntola and J. Antson, U.S. Pat. No. 4,058,430, issued in 1977), is the most promising technique that can satisfy these demanding requirements. It is a variant of CVD in which two reactive gases are pulsed in a sequential mode interspersed by an inert gas. A typical ALD process, consisting of four discrete pulses, is based on the phenomenon of chemisorption which is self limiting to a monolayer formation, is independent of the substrate topography and is scalable to any substrate size. The deposition is carried out in a cyclic manner to build the desired film thickness. [0007]
  • In practice, however, ALD processes have some limitations such as: (1) reactants must be highly chemical reactive towards each other; (2) at least one reactant needs to effectively chemisorb on the substrate surface; (3) thermal activation of the reactants may lead to unacceptably high process temperatures; and (4) low-speed of ALD as compared with CVD. [0008]
  • Low temperature processes may be achieved by employing atomic species in lieu of molecular species with partially broken bonds in the gas phase. J. Sumakeris et al., in Thin Solid Films, vol. 225, page 244-249 (1993), described an atomic layer epitaxial process of Gallium Nitride on Silicon Carbide with the use of hot filament to decompose Ammonia in order to obtain lower process temperatures in the range of 250-350° C. range. Fujiwara et al in Journal of Applied Physics vol. 74, p. 5510, November 1993, published the results of synthesis of ZnS[0009] xSe1-x by employing atomic hydrogen generated by RF plasma and a metallic mesh ion filter. Both deposition processes confirmed the requirement to remove the alkyl ligand from the metal atom prior to the adsorption of the second precursor. S. M. Bedair (Journal of Vacuum Science and Technology B 12(1), p. 179, 1994) described an Atomic Layer Deposition process of silicon using dichlorosilane (SiH2Cl2) with atomic hydrogen generated by a hot-filament method in which the deposition temperature is dropped from 900° C. to 650° C. and in which the surface is terminated with hydrogen at the end of the pulse sequence.
  • In order to lower the process temperature and also to accelerate the overall process, Radical Assisted ALD and Plasma Assisted ALD processes were introduced. Markunas et al. (U.S. Pat. No. 5,180,435) described an apparatus and method for a remote plasma enhanced chemical vapor deposition process for growing epitaxial films. In U.S. Pat. No. 5,637,146 granted to Chyi a method and apparatus is described for the growth of nitride-based semiconductors. Aucoin et al (U.S. Pat. No. 5,443,647) described an apparatus and method for plasma chemical vapor deposition. Sneh (U.S. Pat. No. 6,200,893) described the apparatus and process sequence to achieve a variety of radical-assisted chemistries to deposit thin films of metals, oxides and nitrides. Sherman in U.S. Pat. No. 5,916,365 and U.S. Pat. No. 6,342,277 has described an apparatus and method for sequential chemical vapor deposition employing radicals of gases such as hydrogen and oxygen over substrates in a longitudinal path and in free flow regime on a stationary substrate. In the inventions described above that pertain to Radical Assisted ALD processes, the inventors have taken significant effort to eliminate charged species (ions and energetic electrons) from the plasma activated feed gas either singly or by combining several methods e.g., long gas path length, large chamber volume, grounded or biased wire mesh, increase in chamber pressure, etc. [0010]
  • Lower process temperature, higher deposition speed and lower impurity concentration as compared to continuous flow CVD type processes were obtained by pulsing only RF plasma as a reaction switch. Chiang et al., demonstrated chemical vapor deposition of copper films at room temperature using microwave plasma to generate H atoms that were combined with an organometallic source of copper and published their results in Journal of Vacuum Science & Technology, A, vol. 15 No. 5, p. 2677-2686, September/October 1997. Lee et al., in U.S. Pat. No. 6,539,891, described a plasma enhanced chemical deposition reactor and method for forming a thin film on the substrate by employing an active plasma within the chamber. Chiang et al., in U.S. Pat. No. 6,428,859, described an apparatus and method for Modulated Ion Induced ALD processes for deposition of thin films. The gas flow configuration in this apparatus was a combination of a showerhead flow and a side injection of chemical precursor within the chamber while active plasma was generated behind the showerhead. Nguyen in the U.S. Pat. No. 6,689,220 described the pulsed plasma layer deposition process in which plasma power was primarily used to control the plasma volume (lower power plasma occupied smaller volume than higher power plasma) above the substrate. The precursor flowing through a fixed inlet in the proximity was excited at high plasma power only if the flow was injected into the plasma volume. [0011]
  • Herein, gases or vapors are defined according to their mode of interaction with plasma or a high-energy electromagnetic excitation. A non-condensable gas or vapor is defined as a gas or vapor that does not decompose in to one or more gaseous components and a solid residue and/or it is a gas or vapor that does not react vigorously and destructively with the material of construction of the plasma cavity or enclosure when subjected to an external excitation such as plasma or high-energy electromagnetic radiation. Examples of non-condensable gases are, but not limited to: hydrogen, helium, argon, xenon, oxygen, nitrogen, ammonia etc. Whereas, condensable gases or vapors are ones that do not satisfy the criteria described above. Examples of condensable gases are, but not limited to: chlorine, CFCs, methane, hydrogen sulfide, hydrogen selenide, arsine, phosphine, silane, silicon tetrachloride, diborane, tungsten hexafluoride, hydrogen chloride, carbon tetra-fluoride, and nitrogen tri-fluoride etc. Furthermore, condensable gases or vapors along with non-condensable gases or vapors are together defined as chemical precursors. [0012]
  • Thus, ions and energetic species of inert gases, condensable and/or non-condensable gases and/or reactive species of suitable chemical precursors from the plasma can be suitably employed to generate the desired species on the surface of deposition. Moreover, convective transport of gaseous species to the substrate surface in an ALD process is highly desirable to enhance the overall process efficiency and may lead to substantial enhancement of the chemical utilization efficiency. Such factors are of significant value towards increased operating benefit and decreased cleaning and abatement of the effluents. Although, in principle, the technique of ALD offers a variety of advantages over the industry prevalent techniques such as CVD and PVD, at present, it is being accepted in the semiconductor industry for only a limited number of process applications. The reasons behind the limited applications of ALD are: (a) sluggishness of typical ALD processes in the currently available apparatus, and (b) chemical processes with high reaction temperatures which can be detrimental to a variety of materials such as low-k dielectrics. A typical commercially available ALD apparatus completes one cycle in several seconds. This translates into a deposition rate of a few tens of Angstroms (a few nm) per minute. Moreover, a typical ALD apparatus cannot be used as a CVD apparatus and vice-a-versa—this necessitates separate reactor systems for thick film applications. [0013]
  • What is needed is an apparatus and method that could efficiently generate reactive species (ions and excited atoms, excited molecules and radicals) from a variety of chemical species, condensable and non-condensable, and mixtures thereof, in the gas phase at sufficiently high concentration to realize a wide range of chemistries in the smallest volume. Further, what is needed is to employ the shortest viable path lengths in order to achieve the final goal of high-speed Plasma Enhanced Monolayer processing with enhanced flexibility. Furthermore, the following drawbacks of the existing ALD and CVD apparatus and techniques need to be eliminated: [0014]
  • (a) Unstable fluid flow above the substrate and within the reactor; [0015]
  • (b) Depletion of reactive gas or vapor over the substrate surface which makes it impossible to achieve full surface coverage in the shortest time frame; [0016]
  • (c) Inadequate separation of highly reactive gases in operational space; [0017]
  • (d) Improper construction materials for the reaction vessel, which lead to contamination; [0018]
  • (e) Non-optimized path length of reactive gases within the apparatus; [0019]
  • (f) Non-optimized internal volume with inadequate pumping speed leading to longer residence time which results in slow ALD cycle time; [0020]
  • (g) Absence of reactors configured for maintenance and service in the field; [0021]
  • (h) Insufficient reproducibility and repeatability of the processes. [0022]
  • SUMMARY OF THE INVENTION
  • The present invention provides an apparatus and method for plasma enhanced monolayer (PEM) processing. The plasma effectively lowers the activation energy of the desired chemical reaction on the substrate surface between a chemisorbed monolayer and a second chemical precursor. The method for plasma enhanced monolayer deposition on a substrate comprises: chemisorption of a gaseous first chemical precursor on the surface of the substrate; reaction of a gaseous second chemical precursor with the chemisorbed first chemical precursor; and simultaneously with the reaction step, providing reactive species of non-condensable gas plasma to the substrate surface; wherein the first chemical precursor and the second chemical precursor are provided through injectors positioned proximate to the surface of the substrate. [0023]
  • The present invention provides an apparatus for plasma enhanced monolayer processing with at least two injectors positioned proximate to the substrate surface. A plasma generator is contained at least partially within the reaction chamber, so as to allow for the generation of plasma species and their delivery to the substrate surface. In preferred embodiments: the injectors and the substrate are moved relative to each other to facilitate gas delivery to the substrate surface; and the injectors are linear injectors positioned diametrically above a substrate holding platen, the platen being generally circular. [0024]
  • The apparatus and method of the invention: efficiently delivers process fluids, such as plasma excited gaseous species and gaseous chemical precursors, to a substrate surface; ensures rapid completion of the processing cycle without depletion of reactive gas or vapor over the substrate surface; and ensures effective and efficient separation of highly reactive gases prior to delivery to the substrate surface. The efficient delivery relies on a small reaction chamber volume and short path length of chemicals between the injectors and the substrate.[0025]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic vertical cross section of the Plasma Enhanced Monolayer (PEM) deposition system with two parallel diagonally arranged linear injectors connected to a plasma source each. [0026]
  • FIG. 2 is a schematic vertical cross section of the PEM deposition system with two parallel diagonally arranged linear injectors and two semicircular RF electrodes mounted in the lid assembly connected to a plasma power source. [0027]
  • FIG. 3 is a schematic vertical cross section of the PEM deposition system with three parallel diagonally arranged linear injectors with one injector connected to a plasma source. [0028]
  • FIG. 4 is a schematic horizontal cross section of the PEM in FIG. 2, showing the linear injectors and RF plasma electrodes connected to a plasma source. [0029]
  • FIG. 5A is a vertical cross sectional view of a linear injector with a center gas inlet, where the cross section is along the length of the linear injector. [0030]
  • FIG. 5B is a vertical cross sectional view of a linear injector with a gas inlet at one end, where the cross section is along the length of the linear injector. [0031]
  • FIGS. 6A-6C are vertical cross-sectional views across the width of the linear injectors, illustrating three geometrical configurations. [0032]
  • FIG. 7A is a schematic vertical cross section of a linear injector with external RF electrodes connected to an impedance match network and a plasma generator. [0033]
  • FIG. 7B is a schematic vertical cross section of a linear injector with internal RF electrodes connected to an impedance match network and a plasma generator. [0034]
  • FIG. 7C is a schematic vertical cross section of a linear injector enclosed within a microwave plasma cavity with a tuner and a microwave plasma power source. [0035]
  • FIG. 7D is a schematic vertical cross section of a linear injector with its inlet enclosed within a microwave plasma cavity with a tuner and a microwave plasma power source. [0036]
  • FIGS. 8A-8C are bottom views of the linear injectors illustrating three different arrangements of outlet ports. [0037]
  • FIGS. 9A-9C are examples of flow distribution patterns achievable with the use of the injectors of the invention. [0038]
  • FIG. 10A is a diagram illustrating a first sequence of operation for a single process cycle in a PEM deposition system with two linear injectors each connected to a plasma source and a second condensable chemical precursor. [0039]
  • FIG. 10B is a diagram illustrating a second sequence of operation for a single process cycle in a PEM deposition system with two linear injectors each connected to a plasma source and a second non-condensable chemical precursor. [0040]
  • FIG. 10C is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with two linear injectors and a plasma source connected to two semi-circular RF electrodes. [0041]
  • FIG. 10D is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with three linear injectors. The third linear injector is connected to a plasma source and a non-condensable chemical precursor. [0042]
  • FIG. 10E is a diagram illustrating a sequence of operation for a single process cycle in a PEM deposition system with three linear injectors. The third linear injector is connected to a pulse plasma source and a non-condensable chemical precursor. [0043]
  • FIG. 10F is a diagram illustrating a mode of operation of a PEM deposition system with three linear injectors with a pulsing flow of the precursor followed by a pulse of a non-condensable purge gas in combination with a constant flow of second chemical precursor and a constant non-condensable plasma through the third injector. [0044]
  • FIG. 10G is a diagram illustrating a mode of operation of a PEM deposition system with three linear injectors with constant flows of the first precursor and the non-condensable purge gas in combination with a constant flow of the second chemical precursor and constant non-condensable plasma through the third injector.[0045]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention relates to the apparatus and methods of deposition of various thin films by Plasma Enhanced Monolayer (PEM) deposition processes that exploit a variety of energetic species such as ions, excited atoms, excited molecules, radicals and electrons generated by active plasma. The apparatus is configured to enhance the time required to complete a deposition cycle; furthermore, active plasma is used in various chemical processes to effect deposition at lower temperatures in order to obtain thin films with higher purity and desired electrical, optical, mechanical and structural properties. An apparatus configuration that provides a starting point for the apparatus of this invention is described by P. Gadgil in U.S. patent application Ser. No. 10/019,244 filed May 20, 2002, incorporated by reference herein. [0046]
  • FIG. 1 is a schematic vertical cross section view of the apparatus with two parallel diametrically-arranged linear injectors each connected to a plasma source. More specifically, the apparatus shown in FIG. 1 comprises a PEM processing reactor, which as a whole is designated by [0047] reference numeral 10. The reactor 10 has a substantially circular sealed chamber 12 which operates at low pressure, e.g. of several hundred mtorr, with a co-axially mounted circular platen 14 that can be rotated, e.g., by a motor 16 via a pulley 18 on the output shaft of the motor 16 via a transmission belt 20 and a driven pulley 22 on the end of a platen shaft 24. A stationary heater 26 is provided underneath the platen 14 to heat a rotating substrate 28, supported by platen 14, to a predefined temperature as stipulated by the process. It is specifically noted here that the provision of a substrate heater 26 is desirable as the heat energy supplied imparts mobility to the gas molecules on the surface of the substrate 28 and assists in completing the desired chemical reactions. Moreover, moderate heating of the substrate 28 can assist in desorption and purging of reaction by-products and also can help to complete exchange reactions more effectively. Although the chemical reactions can possibly be carried out without substrate heating, the inventors' intention to include a substrate heater 26 is to obtain the product with higher purity and with lower defect density and overall higher quality. The reactor 10 is provided with a substrate loading-unloading port 29 that forms a part of the reactor wall and allows loading/unloading of the substrates 28 to and from the reactor 10 (FIG. 1). The reactor 10 is also connected to a vacuum pump 30 through a throttle valve 32 and a gate valve (not shown in the diagram), both operated, e.g., by a programmable controller 31. The reactor 10 is equipped with linear injectors 34 and 36 with apertures or slots, which are described in detail later. The injectors are mounted in an enclosure 37 within the reactor cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath (as indicated by the arrows). FIGS. 1 and 4 show how the linear injectors 34 and 36 can be arranged parallel and proximate to the platen 14. Furthermore, in preferred embodiments the platen 14 is generally circular and the injectors are configured substantially diametrically across the generally circular platen 14.
  • Each of the [0048] linear injectors 34 and 36 is supplied with an appropriate chemistry, e.g., a first chemical precursor species from a chemical precursor unit 40 (for injector 34) through control valves 42 and 44. Whereas chemical precursor supply unit 46 supplies a second chemical precursor species through control valves 48 and 50 to the injector 36. The linear injectors 34 and 36 are also supplied with a non-condensable gas through a non-condensable gas supply unit 52 through a control valve 54. It should be noted that control valves 44 and 50 are both mounted in close proximity to the linear injectors 34 and 36 (FIG. 1). Furthermore, injector 34 is connected to a radio frequency plasma power source 56 by cable 58 and through an impedance match network 60. The platen shaft 24 is connected to the negative pole of a variable, direct current potential source 62 through an appropriate connection (not shown in the diagram).
  • In an alternate embodiment of the invention, (FIG. 2) a [0049] chamber 12 with a co-axially mounted circular platen 14 is provided with a stationary heater 26 underneath the platen 14 to heat a rotating substrate 28 supported by platen 14 to a predefined temperature as stipulated by the process. Two linear injectors 34 and 36 are mounted within an enclosure 37 in the cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath. Two semicircular metal plates (electrodes) 47 and 49 are mounted within the cover 38 in electrical isolation and connected to the RF power plasma source 56 through an impedance matching network 60 and cables 58 and 59. The control computer 31 is employed to sequence operation of valves, platen rotation, pressure and temperature control, among other process parameters. A complete connection set of all the wiring in the control circuitry to and from the control computer 31, which is different from that shown in FIG. 1, is not shown in FIG. 2. The required wiring will be obvious to those skilled in the art.
  • In the third embodiment of the invention (FIG. 3) the [0050] chamber 12 with a co-axially mounted circular platen 14 is provided with a stationary heater 26 underneath the platen 14 to heat a rotating substrate 28 supported by platen 14 to a predefined temperature as stipulated by the process. Three linear injectors 34, 35 and 36 are mounted within an enclosure 37 in the cover 38 such that the flow emanating from them impinges directly on the substrate 28 underneath. The first chemical precursor unit 40 is connected to the linear injector 34 through a control valve 44; the second chemical precursor unit 46 is connected to the linear injector 36 through a control valve 50 and the non-condensable gas source unit 52 is connected to linear injector 35 through a control valve 54. The linear injector 35 is also supplied with a means to generate plasma, to be described in detail later, e.g. a RF plasma power source 56, a power coupling cable 58 and an impedance matching network 60. The chamber 12 is connected to pump 30 through throttle valve 32 and a gate valve (not shown in the diagram) in order to control the chamber pressure. The control computer 31 is employed to sequence operation of valves, platen rotation, pressure and temperature control among other process parameters. A complete connection set of all the wiring in the control circuitry to and from the control computer 31, which is different from that shown in FIG. 1, is not shown in FIG. 3. The required wiring will be obvious to those skilled in the art.
  • FIG. 4 illustrates a schematic horizontal cross sectional view of the PEM chamber cover [0051] 38 of FIG. 2, comprising of the linear injectors 34 and 36, and RF plasma electrodes 47 and 49 connected to a plasma power source 56 by cables 58 and 59. The placement of the plasma electrodes relative to the linear injectors 34 and 36 is clearly shown.
  • FIG. 5A is a cross sectional view of a [0052] linear injector assembly 100 with an inlet 102 located substantially halfway along its length and a longitudinal body 104 closed at both the ends thus forming a linear cavity 106. A plurality of outlet ports 108 a, 108 b, . . . 108 m and 108 n, respectively are provided along the length of the injector L in order to provide a desired distribution of the flow of chemistry supplied to the surface of the substrate 28 (see FIGS. 1-3). FIG. 5B is a cross sectional view of the linear injector assembly 200 with an inlet 202 located at one end and with the opposite end closed thus forming a linear cavity 206. A plurality of outlet ports 208 a, 208 b, . . . 208 m and 208 n, respectively are provided along the length of the injector L in order to provide a desired distribution pattern of the gas and chemistry flow supplied to the surface of the substrate 28 (FIGS. 1-3). The length L of the injectors should be substantially equal to the diameter of the circular substrate 28 (FIGS. 1-3).
  • FIG. 6A is a cross sectional view of the [0053] linear injector assembly 300 with an inlet 302, a square cross section cavity 304 and an outlet 306. FIG. 6B is a cross sectional view of the linear injector assembly 400 with an inlet 402, circular cross section cavity 404 and an outlet 406. FIG. 6C is a cross sectional view of the linear injector assembly 500 with an inlet 502, a high aspect ratio cavity 504 and an outlet 506. Although the direction of the outlet ports 306, 406 and 506 of the injector assemblies 300, 400 and 500, respectively, is shown perpendicular to the direction of the inlet ports 302, 402 and 502, respectively, both inlet ports and outlet ports can be arranged parallel to each other, or at an angle, depending on specific design requirements. It is emphasized here that a variety of other cross sections, designs, geometrical shapes and configurations of injectors may be applicable and adaptable and in principle may satisfy the operation of the invention. For example the cross section of the injector may be triangular, polygonal or oblong. Also, the injector may be configured as a ring and multiple injectors may be placed in concentric circles above the platen.
  • FIGS. 7A-7D illustrate various configurations to effectively generate plasma within the linear injectors. FIG. 7A is a schematic illustration of the arrangement of the [0054] external plasma electrodes 65 a and 65 b placed around the linear injector assembly 100 and connected to an RF plasma power source 56 through the impedance matching network 60 by a cable 58. FIG. 7B is a schematic illustration of the arrangement of the internal plasma electrodes 67 a and 67 b placed within the linear injector assembly 100 and connected to an RF plasma power source 56 through the impedance matching network 60 by a cable 58. In this configuration, the plasma electrodes are in physical contact with the gas in the injectors. FIG. 7C illustrates a linear injector assembly enclosed within a microwave cavity 63 that is connected to a tuner 61 which in turn is connected to a microwave source 55. FIG. 7D is a schematic illustration of the microwave source 55 connected to a microwave cavity 73 through a tuner 61 such that only the inlet 102 of the linear injector 100 is enclosed within the microwave cavity 73. An appropriate RF plasma power source e.g., an inductive or capacitive RF plasma generator operating at 13.56 MHz frequency is employed to generate plasma within each injector. Although 13.56 MHz frequency is conveniently available, other suitable excitation frequencies e.g., 40 KHz, 400 KHz, 2.0 MHz may also be used to generate plasma via solenoid coils or two curved plates around the tube or parallel plates within or outside the injector. Also, a microwave plasma power source operating at 2.4 GHz can be effectively employed to generate plasma. Furthermore, the plasma generator power can be pulsed within the duration of a precursor pulse and it can also be pulsed in synchronized fashion with a chemical precursor pulse such that the duty cycle of the plasma power source matches the process cycle. Moreover, the reactor can be operated in a continuous gas or vapor flow and pulsed plasma mode or continuous flow and constant power (CW) plasma mode, and the rate of processing can be modulated. As will be clear from the discussion above, the plasma generator may be either an RF or a microwave generator. Here the term plasma generator is used to refer to the combination of the RF power source, impedance matching network, cable and electrodes; alternatively for microwave excitation it is the combination of the microwave power source, tuner, waveguide and cavity.
  • FIG. 8A illustrates the bottom view of a linear injector surface [0055] 150 with a plurality of circular shaped outlets 151 a, 151 b, . . . 151 m, 151 n employed to deliver the reactive gases to the substrate 28 (see FIGS. 1-3). FIG. 8B shows the bottom view of the injector surface 160 with a plurality of slots 161 a, 161 b, . . . 161 m, 161 n. FIG. 8C illustrates the bottom view of a linear injector surface 170 with a plurality of long slots 171 a . . . 171 n employed to deliver the reactive gases to the substrate 28.
  • FIG. 9 illustrates three different profiles of velocity distribution of the gas flow along the length of the injector. It should be noted here, and is clearly understood to an individual reasonably skilled in the art, that various other velocity distribution profiles are achievable with a combination of various injector shapes and sizes and inlet port placements under various operating conditions of inlet flow rate, inlet pressure and inlet placement. [0056]
  • Operation of the Apparatus of the Invention [0057]
  • The operation of the invention will now be described with reference to FIGS. 10A, 10B, [0058] 10C, 10D, 10E, 10F and 10G. Wherein FIG. 10A is a diagram illustrating the sequence of operation in a single process cycle with a supply of charged species from a non-condensable gas plasma from the first injector and flow of a condensable gas from the second injector.
  • The [0059] PEM processing reactor 10 of FIGS. 1 through 3 operates on a principle similar to that described earlier in U.S. patent application Ser. No. 10/019,244 to P. Gadgil filed on May 20, 2002, incorporated by reference herein. In these particular embodiments of the invention, a linear injector assembly is composed of injectors 34, (35) and 36 arranged side by side. It is understood that the linear injectors 34, (35) and 36 may represent any linear injector described above with reference to FIGS. 4, 5, 6, 7 and 8, provided the linear injector is diametrically configured with respect to the generally circular platen.
  • In order to start the processing of the substrate [0060] 28 (see FIGS. 1-3) by treating its surface with gaseous reagents supplied by the chemical precursor supply unit 40, chemical precursor supply unit 46 and the chemical precursor supply unit 52, a substrate loading-unloading port 29 is opened and the substrate 28 is placed onto the platen 14. The substrate 28 is heated by the heater 26 through the body of the platen 14. The working chamber 12 of the apparatus 10 is evacuated by opening the gate valve (not shown in the diagram) and connecting the cavity of the working chamber 12 with a vacuum pump 30 via an adjustable throttle valve 32. All these operations are carried out from the controller 31. The platen 14 with the substrate 28 on it is set into constant rotation from the motor 16 via the pulleys 18 and 22 through the transmission belt 20. To simplify the following discussion, injector 34 is referred to as the “first injector”, injector 36 is referred to as the “second Injector” and injector 35 is referred to as the “third injector”.
  • Now specifically referring to FIG. 1, a first chemical precursor is supplied to the [0061] first injector 34 from the chemical precursor supply unit 40 by opening control valves 42 and 44 during the time corresponding to a half-rotation of the substrate 28 (FIG. 10A). The precursor covers the entire upper surface of the substrate 28 because the first injector 34 has a substantially diametrical arrangement relative to the circular substrate 28. During the next half-rotation of the substrate 28, the entire surface of the substrate is purged with a non-condensable purge gas supplied to the surface of the substrate 28 from the same injector 34 by first closing control valve 42 and opening control valve 54. This can also be achieved by closing the control valve 42 and maintaining the control valve 54 open throughout both half rotations of the substrate 28. Depending on the process chemistry, the chemical precursor may be exemplified, but not limited by Silane (SiH4), Gallium Chloride (GaCl3), Aluminum Chloride (AlCl3), Titanium Tetrachloride (TiCl4), Tantalum Penta-chloride (TaCl5), Tungsten Hexa-fluoride (WF6) and the purge gas may comprise an inert gas such as Ar, He, N2, etc., or an active gas such as hydrogen, oxygen, or the like. The first half-rotation supply period of the chemical precursor results in chemisorption of the first chemical precursor on the surface of the substrate 28, and the second half-rotation supply period will sweep the excess first chemical precursor from the substrate surface. As a result, a chemisorbed monolayer of the chemical precursor will be formed and it will remain attached to the surface of the substrate 28.
  • Residence time of the gas within the PEM reactor is minimized by a combination of active sweep of the substrate surface coupled with the shortest path length of the gas above the surface. Further, PEM reactor volume is minimized and any dead volume is eliminated. Furthermore, the gas flow above the substrate is streamlined by a proper combination of magnitudes and directions of forced convection of gas, pumping speed and pumping direction, and thermal convection. Such an arrangement is essential to eliminate unsteady and turbulent flow patterns within the PEM chamber. All such measures are highly essential to minimize the residence time of deposition gases within the PEM reaction chamber. In order to realize an efficient apparatus operation, residence time of the gas within the chamber must be smaller than the pulse width in a PEM process cycle. This must be achieved, however, without compromising the complete coverage of the substrate surface within a pulse. [0062]
  • In the third half-rotation (FIG. 10A), a non-condensable gas plasma is generated in the [0063] first injector 34. The non-condensable gas is selected from the group comprising of gases such as hydrogen, oxygen, nitrogen, etc. Simultaneously, a second chemical precursor, in the form of a condensable gas (e.g. silane, phosphine, arsine, hydrogen sulfide, hydrogen selenide etc.) is supplied from the second injector 36 onto the previously formed chemisorbed monolayer of the first chemical precursor on the substrate surface. As a result, reactive species formed by the interaction of the non-condensable gas plasma from first injector 34 and the condensable gas from second injector 36 react with the monolayer and form a desired product monolayer coating of the types described in the examples given below.
  • In the last, i.e., the fourth half-rotation (FIG. 10A) of the [0064] substrate 28, supply of the second chemical precursor is discontinued by closing control valve 48 and the surface 28 is purged with the flow only of non-condensable gas from the second injector 36 by opening the control valves 54 and 50. Subsequently, the next four half-rotation cycles of chemical supply, i.e., a two-revolution process cycle, are initiated and repeated as described above for a desired number of times until a coating of a required thickness is formed.
  • What has been described above is a process in which the reactive species from the plasma are supplied in the third half-rotation periods of the working cycle in a continuous mode. A process in which the second precursor is a non-condensable is illustrated in FIG. 10B. The reactive species are generated in a non-condensable second chemical precursor plasma within the [0065] second injector 36 during the third half-rotation periods of the working cycle to affect the desired chemical reaction and in the fourth half-rotation periods of the working cycle only a non-condensable gas is pulsed through the second injector 36 (from the supply unit 52) to purge the substrate and clear the reaction by-products.
  • In the following example reference is made to FIG. 2 in conjunction with FIG. 10C. FIG. 10C illustrates a process in which a first chemical precursor is supplied through the [0066] first injector 34 along with a non-condensable gas (e.g. hydrogen, argon, helium) for the time duration during which the substrate rotates at least half a rotation. During the next half rotation, the first chemical precursor flow is switched off while maintaining the non-condensable gas flow to purge the substrate of the excess first precursor. During both these pulses, the second injector 36 maintains a constant flow of a non-condensable gas. During the third half-rotation, RF power is supplied to the semicircular RF electrodes 47 and 49 (FIG. 4) through cables 58 and 59, respectively, from the plasma power source 56 via an impedance match network 60 to generate plasma in the chamber 12 and simultaneously a second chemical precursor (either condensable or a non-condensable) is injected through the second injector 36. During the fourth half rotation, the plasma power to electrodes 47 and 49 is switched off. The substrate is maintained in constant angular motion during the processing under a constant flow of non-condensable gas through the injectors 34 and 36. Constant flow of a non-condensable gas within the chamber volume helps maintain required constant gas pressure to help strike plasma with the help of semicircular plasma electrodes 47 and 49.
  • FIG. 10D illustrates a process that can be performed in the [0067] PEM processing reactor 10 with three linear injectors (referring to FIG. 3). During the process cycle, a first chemical precursor is supplied through the first injector 34 during the first half of the substrate rotation. During the second half rotation of the substrate 28, the third injector 35 supplies a non-condensable gas pulse to surface 28 to purge excess first chemical precursors, thus forming a chemisorbed monolayer. In the third half of substrate rotation, the second chemical precursor (either condensable or non-condensable) is pulsed from second injector 36 and simultaneously plasma is generated within third injector 35 to generate reactive species on the substrate surface to react with the monolayer of the first chemical precursor. A constant flow of a non-condensable gas through third injector 35 in the fourth half-rotation sweeps away the reaction by-products and completes one Plasma Enhanced Monolayer (PEM) process cycle.
  • In FIG. 10E, a similar PEM process cycle, as described in FIG. 10D in conjunction with FIG. 3, is described in which a pulsed plasma is generated within the [0068] third injector 35 during the third half-rotation.
  • Referring to FIG. 3 and FIG. 10F, the first chemical precursor is pulsed through the [0069] first injector 34 during the first half rotation of the substrate 28. Through the second injector 36, a constant flow of a condensable or non-condensable second chemical precursor is maintained, and simultaneously through the third injector 35, a constant flow of non-condensable gas plasma is maintained through all the four half rotations of the substrate 28.
  • Referring to FIG. 10G, in conjunction with the PEM reactor as described in FIG. 3, constant flows of all the entities are maintained throughout the substrate rotation—e.g., a constant flow of the first chemical precursor through the [0070] first injector 34, a constant flow of a second chemical precursor (condensable or non-condensable) through the second injector 36 and a constant plasma injection of a non-condensable gas through the third injector 35. Plasma may be generated within the third injector 35 with either a constant power or a pulsed power source.
  • It is important to note here that throughout all the process steps, described in detail and illustrated above with the help of FIGS. 10A through 10E, a constant process-specific pre-determined substrate bias is provided by the [0071] DC power supply 62, under the control of the control computer 31. Furthermore, in all the process cycles described above, a constant flow of a gas or a chemical precursor can be generated and maintained through the PEM reactor 12 with the help of mass flow controllers that are routinely available from a number of suppliers and are well known to an individual reasonably skilled in the art.
  • Modes of the reactor operation with other combinations of the precursor and radical-containing gases are possible. For example, the chemical precursor may be supplied in an intermittent mode such that it covers the substrate surface entirely while the radical-containing gases may be supplied continuously to the substrate rotating at a constant speed. In another embodiment, both the chemical precursor and the radical-containing gases may be supplied in a continuous mode to the substrate rotating at a constant speed. [0072]
  • The method of the invention based on the use of the apparatus of the invention will now be described with reference to the practical examples given below which are given only for illustrative purposes and should not be construed as limiting the scope of the application of the invention. [0073]
  • EXAMPLE—1
  • Deposition of metals at lower temperature: A variety of metal deposition processes can be developed by employing the PEM (Plasma Enhanced Monolayer) process cycle with metal halide as a metal precursor through the first injector and hydrogen plasma through the second injector. Binary metallic hydrides in which metals react with hydrogen are known in the prior art (cf. F. A. Cotton and G. Wilkinson, in Advanced Inorganic Chemistry, ch. 5, 3[0074] rd ed., John Wiley, New York, 1972) and metal halides can be conveniently and suitably generated in-situ by heating the respective metals in the presence of hydrogen chloride (HCl) or hydrogen bromide (HBr) gas. Halides such as titanium tetrachloride and tungsten hexafluoride are volatile liquids and gases, respectively, at room temperature and can be transported into the PEM reactor through an injector with relative ease. The two step process sequence can be broadly described as follows:
  • Surface+MX[0075] n→MXn (adsorbed)
  • MX[0076] n (adsorbed)+H (plasma)→M+n HX
  • Here, M=Al, Ti, Ta, Zr, Nb, Hf, Mo, W, Co, Ni, Cu and X=F, Cl, Br and I. Heating the substrate in the temperature range of 50-300 degree C. is desirable for the various PEM processes. Processes for atomic layer deposition (ALD) of copper are known. For example, Martensson et al. (Chemical Vapor Deposition, vol. 3, p. 45-50, 1997) employed CuCl and H[0077] 2 in a temperature range of 300-400 degree C. Employing hydrogen plasma in place of H2 should significantly advance the ALD process at lower temperature. Moreover, gettering of undesired elements such as Cl and C with H plasma should be more efficient as compared to molecular H2. Furthermore, due to active plasma species, directionality of metal deposition can be used to obtain super-fill type of deposition, which is highly desirable for seamless gap-fill processes of sub-micron trenches and vias. This aspect of the deposition process can be precisely controlled by plasma power and substrate bias among other operating parameters of the PEM chamber to obtain desired results.
  • EXAMPLE—2
  • Deposition of Metal Oxides: A variety of oxides of metals can be deposited by employing metal halides along with the plasma of hydrogen and oxygen mixture (alternatively water and hydrogen plasma) injected in combination with hydrogen, helium or argon as a non-condensable gas. Reaction of hydroxyl and associated reactive species in the plasma with metal halide monolayer generates metal oxides. The metal halide can be selected with a general formula MX[0078] n where, M: Al, Si, In, Sn, Pb, Ba, Sr, Ni, Ti, Ta, Zr, Nb, Hf, Mo, W, Fe, Co, Ni, Co, and Cu and other transition metals. Whereas, X=F, Cl, Br or 1.
  • EXAMPLE—3
  • Deposition of Metal Nitrides: A variety of oxides of corresponding metals can be deposited by employing metal halides through the first injector with hydrogen as a non-condensable gas and ammonia as the second chemical precursor. Alternately, NH[0079] x species can be conveniently generated by pulsing N2 in hydrogen plasma. The overall reaction can be described as:
  • MX[0080] n+Surface→MXn (adsorbed)
  • MX[0081] n (adsorbed)+NHx+H→MNx+n HX
  • Examples of M are, but not limited to, Al, Ti, Ta, Zr, Nb, Hf, Mo, W, Co, Ni, Cu and X is selected from F, Cl, Br or [0082] 1.
  • EXAMPLE—4
  • Deposition of Metal Carbides: Metal carbides are deposited by employing hydrogen as a non-condensable gas with methyl halide (CH[0083] 3X, X=F, Cl, Br and 1) as a carbon precursor and metal halides as the metal precursor in hydrogen plasma. Some examples of metal halides are: SiCl4, TiCl4, WF6, MoF6, TaCl5, ZrCl5 etc. The mechanism of deposition of carbides can be described as:
  • MX[0084] n+surface→MXn (adsorbed)
  • MX[0085] n (adsorbed)+CH3X+H→MCy+n HX
  • EXAMPLE—5
  • Deposition of Metal Carbonitrides: Metal carbonitrides with general formula MC[0086] xNy are deposited by employing metal halide as a metal source such as TiCl4, WF6, SiCl4 etc., injected as the first chemical precursor in combination with a mixture of gases containing carbon (e.g., CH4) and nitrogen (e.g., NH3) together as the second chemical precursor. Composition of carbon containing gas and nitrogen containing gas is varied independently. Alternately, alkyl amine (general formula R-NH2) can be injected as a second chemical precursor along with hydrogen, a non-condensable gas, to generate plasma either within the injector or within the chamber.
  • EXAMPLE—6
  • Deposition of Metal Borides: Borides are deposited by employing an appropriate metal source such as TiCl[0087] 4, WF6 etc. and hydrogen plasma, as a non-condensable plasma gas, along with diborane (B2H6) as a boron source.
  • EXAMPLE—7
  • Deposition of Phosphides, Arsenides and Antimonides: Halides of gallium, indium, and aluminum, used as the first chemical precursor. These chemical precursors are combined in hydrogen plasma with second chemical precursor such as phosphine (PH[0088] 3), arsine (AsH3) or SbCl3 to deposit thin films of various desired compounds. Highly reactive hydrogen plasma species are effectively used to extract Cl and other undesired contaminants in the films due to their excellent scavenging capacity.
  • EXAMPLE—8
  • Deposition of Metal Silicides: metal suicides are deposited by injecting metal halides as the first chemical precursor. Hydrogen is employed as the non-condensable gas for plasma generation. The hydrogen plasma is combined with a second chemical precursor (silicon source in this case) such as silane (SiH[0089] 4) or mono-chloro-silane (SiH3Cl).
  • EXAMPLE—9
  • Deposition of Metal Chalcogenides (Sulfides, Selenides and Tellurides): metal sulfides are effectively deposited by employing metal halides as a first chemical precursor in combination with hydrogen as the non-condensable gas for plasma generation to be combined with hydrogen sulfide (H[0090] 2S) or hydrogen selenide (H2Se) as the second chemical precursor.
  • EXAMPLE—10
  • Deposition of ternary and quaternary compounds and alloys: ternary and quaternary compounds are deposited by pulsing a mixture of metal halides as the first chemical precursor to be combined with hydrides of non-metallic components as the non-metal source with hydrogen as a non-condensable plasma gas. For example, in the synthesis of Al[0091] xGa(1-x)As thin films, precursors of Al and Ga (such as AlCl3 and GaCl3) are mixed together in a predefined proportion and the mixture is injected into the reactor in the first step through the first injector. Arsine is employed as a second chemical precursor, which is combined with active hydrogen plasma supplied from the third injector.
  • Similarly, ternary compounds such as SiC[0092] xNy are deposited by employing SiCl4 or SiH2Cl2 as a silicon source. Hydrogen is employed in the plasma as a non-condensable gas and a mixture of CH3Cl and NH3 in a predetermined composition is injected as the second chemical precursor and source of C and N.
  • Alloys of metals are deposited by reacting halides of metals as the first chemical precursors in the predetermined composition to be combined with hydrogen as the second chemical precursor and also a non-condensable active plasma gas. [0093]
  • EXAMPLE—11
  • Multi-layer laminates: multi-layer laminates such as titanium oxide/silicon oxide/titanium oxide/silicon oxide/ . . . are deposited by alternately injecting titanium halide and silicon halide with H[0094] 2 as a non-condensable gas through the plasma, and O2 as the second chemical precursor. The thickness of each layer can be independently tailored.
  • EXAMPLE—12
  • Si, Ge, Si[0095] xGe(1-x) deposition: Pulsed flow of SiCl4 or SiH2Cl2 with hydrogen plasma is used for silicon deposition. Whereas, GeCl4 and H plasma is used for deposition of Germanium. A mixture of SiH4 or SiH2Cl2 as the first chemical precursor and GeCl4 as the second chemical precursor in a predefined proportion with hydrogen plasma is used to deposit SixGe(1-x) alloy. Alternatively, silicon tetrachloride and germane can be employed as sources of silicon and germanium, respectively.
  • Thus it has been shown that the present invention provides an apparatus and method for efficient delivery of process fluids, such as plasma generated species and chemical precursors, to a surface to be processed. The aforementioned apparatus and method ensure rapid completion of the processing cycle without depletion of reactive gas or vapor over the substrate surface. The invention provides versatility and improved control of the flow by utilizing linear injectors of various configurations and by operating the apparatus in continuous, pulsed, or combined modes. The method and apparatus of the invention also reduces the consumption of chemicals and accelerates the process due to a reduced volume and shortened path length of chemicals in the delivery system. More importantly, the apparatus ensures effective and efficient separation of highly reactive gases prior to delivery to the target surface in operational space along with uniform surface coverage and the shortest gas residence time in the delivery system. [0096]
  • In the present invention, the [0097] platen 14 is generally circular in shape and it is mounted co-axially within the circular chamber 12 underneath the cover 38 such that the flow from the injectors 34, 35 and 36 impinges substantially on the surface of substrate 28 mounted on the platen 14. It is emphasized here that the substrate 28 can be of any shape and size e.g., polygonal, rectangular or square. Moreover, platen 14 can accommodate multiple substrates that are of the same or different dimensions and shapes.
  • With reference to FIGS. 1-3, the direct current [0098] potential source 62 is electrically connected to the rotating platen shaft 24 to bias the substrate 28 mounted on the platen 14 with the help of an appropriate rotating electrical seal (not shown in FIGS. 1-3). Various techniques are known and corresponding devices are available which are routinely employed for this purpose. An example of such a rotating electrical contact is a mercury or indium-gallium lined seal that is commonly available. To electrically bias the substrate 28 during processing, it is mounted on an electrically conducting platen 14 for example made of graphite or molybdenum. Also, the platen shaft 24 is made of conducting materials e.g., 316 stainless steel or molybdenum. An Electrostatic Chuck (E-chuck) can also be employed in such a configuration. A person reasonably skilled in the art is knowledgeable regarding such methods and devices.
  • The invention has been shown and described with reference to specific embodiments, which should be construed only as examples and do not limit the scope of practical applications of the invention. Therefore any changes and modifications in technological processes, constructions, materials, shapes, and their components are possible, provided these changes and modifications do not depart from the scope of the patent claims. For example, a large variety of chemical processes can be developed by employing the apparatus and methods described above. Also, the process sequence can be suitably modified according to process chemistry and the desired product; however, all such modifications will fall within the scope of the invention. The operation of such a reactor can be modulated over a wide range of process parameters such as pulse widths, pulsing frequency and power of the plasma, plasma pulsing frequency and plasma power duty cycle, flow rates of gases and substrate bias voltage. In addition to deposition, the invention is equally applicable to other broad areas of processing such as etching or removal of material, striping of photoresist, post-etch or post-ash cleaning of residues in the microstructures, removing deposits on the inner surfaces of the processing chamber, and so on. It thus encompasses a broad area of substrate processing and is referred to by the inventor as “Plasma Enhanced Monolayer” (PEM) processing; the processing chamber is termed the PEM reactor. Moreover, it is not restricted to a particular chemical process and a wide range of chemistries can be effectively performed within its scope. Such apparatus and methods of substrate processing are taught in sufficient and enabling detail. The substrate is not necessarily round in shape and may have a square, rectangular, polygonal or any other shape. More than one substrate can be treated simultaneously. Various combinations and arrangements of the linear injectors different from those shown and described are possible. In the case of an injector the injectors are not necessarily cylindrical tubes and may have a conical or any other shape. Furthermore, other injector configurations are equally adaptable, e.g., cross shaped injectors can be effectively employed to perform the PEM cycle. In the case of cross shaped injectors mounted above the platen and along the radius of the platen, only a quarter turn of the substrate should be needed to obtain complete surface coverage of the substrate with gas from the injector. For a set of three injectors symmetrically mounted along the radius of the platen, with 120° angle between them, a third of full rotation is required for substrate coverage. Thus the angle of rotation of the platen will vary accordingly for different configurations of injectors employed, for example cross shaped, concentric rings etc. in a particular PEM reactor. [0099]

Claims (36)

What is claimed is:
1. An apparatus for plasma enhanced monolayer deposition on a substrate, comprising:
a reaction chamber;
a platen contained within said reaction chamber, said substrate being positioned on the top surface of said platen during deposition;
at least two injectors positioned within said reaction chamber proximate to the top surface of said platen; and
a plasma generator contained at least partially within said reaction chamber;
wherein said injectors are configured to deliver gas to the upper surface of said substrate and wherein said plasma generator and said injectors are configured together to generate and deliver plasma species to the upper surface of said substrate.
2. The apparatus of claim 1, wherein said platen is rotatable.
3. The apparatus of claim 1, wherein said platen is generally circular and wherein said injectors are linear injectors positioned diametrically above said platen.
4. The apparatus of claim 1, wherein said injectors are linear injectors with a plurality of outlets distributed longitudinally.
5. The apparatus of claim 1, wherein said plasma generator comprises:
a plasma power source; and
plasma electrodes coupled to said plasma power source, said electrodes being contained within said reaction chamber.
6. The apparatus of claim 5, wherein said plasma electrodes are proximate and parallel to the top surface of said platen.
7. The apparatus of claim 5, wherein said plasma electrodes are positioned around one of said injectors.
8. The apparatus of claim 5, wherein said plasma electrodes are positioned within one of said injectors.
9. The apparatus of claim 5, wherein said plasma electrodes are configured to form a cavity containing one of said injectors.
10. The apparatus of claim 5, wherein said plasma electrodes are configured to form a cavity containing a gas inlet, said gas inlet being attached to one of said injectors.
11. The apparatus of claim 1, further comprising a substrate heater configured to heat said substrate during deposition.
12. The apparatus of claim 1, further comprising a DC power supply coupled to said platen, said DC power supply being configured to maintain said substrate at a predetermined bias voltage during deposition, wherein said substrate is electrically coupled to said platen.
13. The apparatus of claim 1, further comprising a vacuum pump coupled to said reaction chamber, said vacuum pump and said reaction chamber being configured together to minimize the residence time of deposition gases within said reaction chamber without increasing the time required to form a chemisorbed monolayer of precursor gas on the surface of said substrate.
14. The apparatus of claim 1, further comprising three gas source units coupled to said injectors.
15. The apparatus as in claim 14, wherein said gas source units comprise a first chemical precursor unit, a second chemical precursor unit and a non-condensable gas source unit.
16. The apparatus of claim 14, wherein two of said gas source units are coupled to one of said injectors.
17. The apparatus of claim 14, wherein each of said gas source units is coupled to a different one of said injectors.
18. The apparatus of claim 1, wherein said platen accommodates multiple substrates.
19. The apparatus of claim 18, wherein said multiple substrates include substrates of different shapes.
20. The apparatus of claim 18, wherein said multiple substrates include substrates of different sizes.
21. An apparatus for plasma enhanced monolayer deposition on a substrate, comprising:
a reaction chamber;
a vacuum pump coupled to said reaction chamber;
a platen contained within said reaction chamber, said substrate being positioned on the top surface of said platen during deposition;
three linear injectors positioned within said reaction chamber proximate and parallel to the top surface of said platen;
three gas source units, each gas source unit coupled to a different one of said linear injectors; and
a plasma generator contained at least partially within said reaction chamber.
22. An apparatus as in claim 21, wherein said plasma generator is coupled to one of said linear injectors.
23. A method for plasma enhanced monolayer deposition on a substrate, comprising:
delivering a gaseous first chemical precursor on the surface of said substrate for chemisorption;
delivering a gaseous second chemical precursor for reaction with said chemisorbed first chemical precursor; and
simultaneously with said reaction step, providing reactive species of non-condensable gas plasma to said substrate surface;
wherein said first chemical precursor and said second chemical precursor are provided through injectors positioned proximate to the surface of said substrate.
24. The method as in claim 23, further comprising continuously rotating said substrate during said deposition.
25. The method as in claim 23, further comprising the step of purging excess first chemical precursor from the surface of said substrate.
26. The method as in claim 25, wherein said purging step occurs after said chemisorption step.
27. The method as in claim 25, wherein said purging step occurs before said reaction step.
28. The method as in claim 25, wherein said purging step utilizes a non-condensable gas.
29. The method as in claim 23, further comprising purging excess second chemical precursor from the surface of said substrate.
30. The method as in claim 29, wherein said purging step occurs after said reaction step.
31. The method as in claim 23, wherein said providing step includes generating pulsed plasma.
32. The method as in claim 23, wherein said substrate is positioned on a platen and wherein said injectors are linear and configured parallel to the surface of said platen.
33. The method as in claim 32, wherein said platen is generally circular and wherein said injectors are positioned diametrically with respect to said platen.
34. The method as in claim 33, further including the step of rotating said platen, wherein one deposition cycle includes two complete rotations of said platen.
35. The method as in claim 23, wherein said active species are provided through one of said injectors positioned proximate to the surface of said substrate.
36 The method as in claim 23, wherein said active species are provided through a further injector positioned proximate to the surface of said substrate.
US10/865,111 2000-06-23 2004-06-09 Apparatus and method for plasma enhanced monolayer processing Abandoned US20040224504A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/865,111 US20040224504A1 (en) 2000-06-23 2004-06-09 Apparatus and method for plasma enhanced monolayer processing
US11/159,999 US7365005B1 (en) 2002-05-20 2005-06-22 Method for filling of a recessed structure of a semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
PCT/US2000/017202 WO2000079019A1 (en) 1999-06-24 2000-06-23 Apparatus for atomic layer chemical vapor deposition
WOPCT/US00/17202 2000-06-23
US10/019,244 US6812157B1 (en) 1999-06-24 2000-06-23 Apparatus for atomic layer chemical vapor deposition
US10/865,111 US20040224504A1 (en) 2000-06-23 2004-06-09 Apparatus and method for plasma enhanced monolayer processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/019,244 Continuation-In-Part US6812157B1 (en) 1999-06-24 2000-06-23 Apparatus for atomic layer chemical vapor deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US97516904A Continuation-In-Part 2002-05-20 2004-10-27

Publications (1)

Publication Number Publication Date
US20040224504A1 true US20040224504A1 (en) 2004-11-11

Family

ID=39321637

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/865,111 Abandoned US20040224504A1 (en) 2000-06-23 2004-06-09 Apparatus and method for plasma enhanced monolayer processing
US11/159,999 Expired - Fee Related US7365005B1 (en) 2002-05-20 2005-06-22 Method for filling of a recessed structure of a semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/159,999 Expired - Fee Related US7365005B1 (en) 2002-05-20 2005-06-22 Method for filling of a recessed structure of a semiconductor device

Country Status (1)

Country Link
US (2) US20040224504A1 (en)

Cited By (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050064725A1 (en) * 2003-09-24 2005-03-24 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050130416A1 (en) * 2001-12-03 2005-06-16 Akira Fujisawa Method for forming thin film, substrate having thin film formed by the method, and photoelectric conversion device using the substrate
US20060046477A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing, deposition, and ALD methods
US20060175015A1 (en) * 2002-08-09 2006-08-10 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060210713A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
WO2006104921A2 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited A plasma enhanced atomic layer deposition system and method
US20060234504A1 (en) * 2005-02-04 2006-10-19 Matthias Bauer Selective deposition of silicon-containing films
US20070042577A1 (en) * 2005-08-19 2007-02-22 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US20070054475A1 (en) * 2005-09-03 2007-03-08 Jin-Il Lee Method of forming a phase changeable material layer, a method of manufacturing a phase changeable memory unit, and a method of manufacturing a phase changeable semiconductor memory device
US20070082468A1 (en) * 2005-10-06 2007-04-12 Blalock Guy T Atomic layer deposition methods
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070117397A1 (en) * 2005-11-22 2007-05-24 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US20070187359A1 (en) * 2006-02-13 2007-08-16 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US20070187362A1 (en) * 2006-02-13 2007-08-16 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US20070204907A1 (en) * 2001-06-29 2007-09-06 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20080026162A1 (en) * 2006-07-29 2008-01-31 Dickey Eric R Radical-enhanced atomic layer deposition system and method
US20080124488A1 (en) * 2006-11-10 2008-05-29 Schott Ag Method and apparatus for plasma enhanced chemical vapor deposition
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20080197110A1 (en) * 2007-02-21 2008-08-21 Tae Won Kim Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US20080206901A1 (en) * 2007-02-22 2008-08-28 Tae Won Kim Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductor structures
US20090004078A1 (en) * 2004-10-13 2009-01-01 Fhue Mao Catalyzed Diesel Soot Filter and Process
US20090011065A1 (en) * 2005-05-24 2009-01-08 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US20090017259A1 (en) * 2006-02-13 2009-01-15 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US20090200672A1 (en) * 2005-12-28 2009-08-13 Soo Hyun Kim Method for manufacturing semiconductor device
US20090278224A1 (en) * 2008-05-07 2009-11-12 Asm Genitech Korea Ltd. Methods of forming an amorphous silicon thin film
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20100055342A1 (en) * 2000-12-06 2010-03-04 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (mii-ald)
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20100090342A1 (en) * 2008-10-15 2010-04-15 Hui-Lin Chang Metal Line Formation Through Silicon/Germanium Soaking
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US20100143710A1 (en) * 2008-12-05 2010-06-10 Lotus Applied Technology, Llc High rate deposition of thin films with improved barrier layer properties
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US20100151691A1 (en) * 2008-12-12 2010-06-17 Novellus Systems Inc. Method for improved thickness repeatability of pecvd deposited carbon films
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20100297853A1 (en) * 2008-06-04 2010-11-25 Novellus Method for purifying acetylene gas for use in semiconductor processes
US7863163B2 (en) 2005-12-22 2011-01-04 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
DE102009026249A1 (en) * 2009-07-24 2011-02-03 Q-Cells Se Plasma assisted deposition process, semiconductor device and deposition device
US7893433B2 (en) 2001-02-12 2011-02-22 Asm America, Inc. Thin films and methods of making them
US20110083607A1 (en) * 2008-06-05 2011-04-14 Sorona Inc. Vapor phase self-assembled monolayer coating apparatus
US20110159204A1 (en) * 2009-12-29 2011-06-30 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8110493B1 (en) * 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20120222615A1 (en) * 2010-09-03 2012-09-06 Tokyo Electron Limited Film deposition apparatus
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
KR20130074420A (en) * 2011-12-26 2013-07-04 주식회사 원익아이피에스 Gas injecting device and substrate processing apparatus having the same
US20130224965A1 (en) * 2012-02-29 2013-08-29 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8669181B1 (en) 2007-02-22 2014-03-11 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20140170320A1 (en) * 2012-12-18 2014-06-19 Tokyo Electron Limited Thin film forming method and thin film forming apparatus
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8946083B2 (en) 2011-06-24 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formation of silicon and tantalum containing barrier
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US9023731B2 (en) 2012-05-18 2015-05-05 Novellus Systems, Inc. Carbon deposition-etch-ash gap fill process
US20150221508A1 (en) * 2014-02-05 2015-08-06 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
US9200364B2 (en) * 2010-03-19 2015-12-01 Tokyo Electron Limited Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
WO2016191432A1 (en) * 2015-05-27 2016-12-01 Asm Ip Holding B.V. Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
CN106521452A (en) * 2015-09-15 2017-03-22 超科技公司 Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
CN108140578A (en) * 2015-10-23 2018-06-08 应用材料公司 The gap filling from bottom to top handled by envenomation
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10262867B2 (en) * 2013-08-02 2019-04-16 Lam Research Corporation Fast-gas switching for etching
CN110186908A (en) * 2018-02-23 2019-08-30 Asm Ip控股有限公司 Equipment for detecting or monitoring precursor in the high temperature environment
US20190300468A1 (en) * 2016-10-12 2019-10-03 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110692123A (en) * 2017-05-31 2020-01-14 应用材料公司 Anhydrous etching method
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
TWI697956B (en) * 2016-09-27 2020-07-01 日商東京威力科創股份有限公司 Gas introduction mechanism and processing device
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11087958B2 (en) * 2018-06-15 2021-08-10 Toshiba Memory Corporation Restoration method for plasma processing apparatus
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11322348B2 (en) * 2017-09-15 2022-05-03 Miin-Jang Chen Multi-function equipment implementing fabrication of high-k dielectric layer
US11377737B2 (en) 2016-06-01 2022-07-05 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
WO2023150265A1 (en) * 2022-02-04 2023-08-10 Prasad Narhar Gadgil Atomic layer or chemical vapor deposition process for nitride or oxide films
US11791153B2 (en) 2020-02-10 2023-10-17 Asm Ip Holding B.V. Deposition of hafnium oxide within a high aspect ratio hole
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070264816A1 (en) * 2006-05-12 2007-11-15 Lavoie Adrien R Copper alloy layer for integrated circuit interconnects
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
KR20110077451A (en) * 2009-12-30 2011-07-07 삼성전자주식회사 Image sensor, fabricating method thereof, and device comprising the image sensor
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US8715890B2 (en) * 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
DE102012106953A1 (en) * 2012-07-30 2014-01-30 Osram Opto Semiconductors Gmbh Method for producing a plurality of optoelectronic semiconductor chips and optoelectronic semiconductor chip
US8722534B2 (en) 2012-07-30 2014-05-13 Globalfoundries Inc. Method for reducing wettability of interconnect material at corner interface and device incorporating same
KR102049569B1 (en) 2013-08-01 2019-11-28 삼성디스플레이 주식회사 Method for constituting structure including micro pattern, method for constituting nano pattern, and method for manufacturing display panel for liquid crystal display
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11257677B2 (en) * 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5256205A (en) * 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5266153A (en) * 1992-06-16 1993-11-30 National Semiconductor Corp. Gas distribution head for plasma deposition and etch systems
US5433647A (en) * 1991-02-14 1995-07-18 Ciquet; Bernard Invertible foam objects capable of several stable configurations
US5529632A (en) * 1994-06-14 1996-06-25 Sumitomo Metal Industries, Ltd. Microwave plasma processing system
US5563092A (en) * 1993-04-23 1996-10-08 Canon Kabushiki Kaisha Method of producing a substrate for an amorphous semiconductor
US5637146A (en) * 1995-03-30 1997-06-10 Saturn Cosmos Co., Ltd. Method for the growth of nitride based semiconductors and its apparatus
US5716484A (en) * 1993-10-29 1998-02-10 Applied Materials, Inc. Contaminant reduction improvements for plasma etch chambers
US5735451A (en) * 1993-04-05 1998-04-07 Seiko Epson Corporation Method and apparatus for bonding using brazing material
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6015595A (en) * 1998-05-28 2000-01-18 Felts; John T. Multiple source deposition plasma apparatus
US6162285A (en) * 1997-05-08 2000-12-19 Applied Materials, Inc. Ozone enhancement unit
US6189485B1 (en) * 1998-06-25 2001-02-20 Anelva Corporation Plasma CVD apparatus suitable for manufacturing solar cell and the like
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010006093A1 (en) * 1999-12-07 2001-07-05 Toshihiro Tabuchi Surface treatment apparatus
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6539891B1 (en) * 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6579428B2 (en) * 2000-09-26 2003-06-17 Shinmaywa Industries, Ltd. Arc evaporator, method for driving arc evaporator, and ion plating apparatus
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US20040149221A1 (en) * 2001-05-15 2004-08-05 Chishio Koshimizu Plasma processor
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US20060075966A1 (en) * 2002-01-26 2006-04-13 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20060127601A1 (en) * 2003-08-11 2006-06-15 Tokyo Electron Limited Film formation method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284052B2 (en) 1998-08-19 2001-09-04 Sharp Laboratories Of America, Inc. In-situ method of cleaning a metal-organic chemical vapor deposition chamber
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process

Patent Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5180435A (en) * 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5256205A (en) * 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5433647A (en) * 1991-02-14 1995-07-18 Ciquet; Bernard Invertible foam objects capable of several stable configurations
US5266153A (en) * 1992-06-16 1993-11-30 National Semiconductor Corp. Gas distribution head for plasma deposition and etch systems
US5735451A (en) * 1993-04-05 1998-04-07 Seiko Epson Corporation Method and apparatus for bonding using brazing material
US5563092A (en) * 1993-04-23 1996-10-08 Canon Kabushiki Kaisha Method of producing a substrate for an amorphous semiconductor
US5716484A (en) * 1993-10-29 1998-02-10 Applied Materials, Inc. Contaminant reduction improvements for plasma etch chambers
US5529632A (en) * 1994-06-14 1996-06-25 Sumitomo Metal Industries, Ltd. Microwave plasma processing system
US5637146A (en) * 1995-03-30 1997-06-10 Saturn Cosmos Co., Ltd. Method for the growth of nitride based semiconductors and its apparatus
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6162285A (en) * 1997-05-08 2000-12-19 Applied Materials, Inc. Ozone enhancement unit
US6015595A (en) * 1998-05-28 2000-01-18 Felts; John T. Multiple source deposition plasma apparatus
US6189485B1 (en) * 1998-06-25 2001-02-20 Anelva Corporation Plasma CVD apparatus suitable for manufacturing solar cell and the like
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6539891B1 (en) * 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US20010006093A1 (en) * 1999-12-07 2001-07-05 Toshihiro Tabuchi Surface treatment apparatus
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US6634314B2 (en) * 2000-08-09 2003-10-21 Jusung Engineering Co., Ltd. Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US6579428B2 (en) * 2000-09-26 2003-06-17 Shinmaywa Industries, Ltd. Arc evaporator, method for driving arc evaporator, and ion plating apparatus
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20040149221A1 (en) * 2001-05-15 2004-08-05 Chishio Koshimizu Plasma processor
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US20060075966A1 (en) * 2002-01-26 2006-04-13 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20060127601A1 (en) * 2003-08-11 2006-06-15 Tokyo Electron Limited Film formation method

Cited By (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US20100055342A1 (en) * 2000-12-06 2010-03-04 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (mii-ald)
US7893433B2 (en) 2001-02-12 2011-02-22 Asm America, Inc. Thin films and methods of making them
US20070204907A1 (en) * 2001-06-29 2007-09-06 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7666479B2 (en) * 2001-06-29 2010-02-23 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US20050130416A1 (en) * 2001-12-03 2005-06-16 Akira Fujisawa Method for forming thin film, substrate having thin film formed by the method, and photoelectric conversion device using the substrate
US7259085B2 (en) * 2001-12-03 2007-08-21 Nippon Sheet Glass Company, Limited Method for forming thin film, substrate having thin film formed by the method, and photoelectric conversion device using the substrate
US20080038541A1 (en) * 2001-12-03 2008-02-14 Nippon Sheet Glass Company, Limited Method of forming thin film, substrate having thin film formed by the method, photoelectric conversion device using the substrate
US20060175015A1 (en) * 2002-08-09 2006-08-10 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20050064725A1 (en) * 2003-09-24 2005-03-24 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050159018A1 (en) * 2003-09-24 2005-07-21 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050158998A1 (en) * 2003-09-24 2005-07-21 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US7312163B2 (en) * 2003-09-24 2007-12-25 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US7323412B2 (en) 2003-09-24 2008-01-29 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20060264012A1 (en) * 2004-08-30 2006-11-23 Rueger Neal R Plasma processing, deposition, and ALD methods
US8003000B2 (en) 2004-08-30 2011-08-23 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US20060046477A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing, deposition, and ALD methods
US7402526B2 (en) * 2004-08-30 2008-07-22 Micron Technology, Inc. Plasma processing, deposition, and ALD methods
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US20080274622A1 (en) * 2004-08-30 2008-11-06 Rueger Neal R Plasma Processing, Deposition and ALD Methods
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US20090004078A1 (en) * 2004-10-13 2009-01-01 Fhue Mao Catalyzed Diesel Soot Filter and Process
US20060234504A1 (en) * 2005-02-04 2006-10-19 Matthias Bauer Selective deposition of silicon-containing films
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7648690B2 (en) 2005-02-04 2010-01-19 Asm America Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060210713A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
WO2006101886A2 (en) * 2005-03-21 2006-09-28 Tokyo Electron Limited A plasma enhanced atomic layer deposition system and method
WO2006101886A3 (en) * 2005-03-21 2007-10-04 Tokyo Electron Ltd A plasma enhanced atomic layer deposition system and method
US7435454B2 (en) * 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
WO2006104921A3 (en) * 2005-03-31 2009-05-07 Tokyo Electron Ltd A plasma enhanced atomic layer deposition system and method
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
WO2006104921A2 (en) * 2005-03-31 2006-10-05 Tokyo Electron Limited A plasma enhanced atomic layer deposition system and method
US20060225655A1 (en) * 2005-03-31 2006-10-12 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20090011065A1 (en) * 2005-05-24 2009-01-08 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US7919005B2 (en) 2005-05-24 2011-04-05 Panasonic Corporation Dry etching method, fine structure formation method, mold and mold fabrication method
US7338901B2 (en) * 2005-08-19 2008-03-04 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
WO2007024341A2 (en) * 2005-08-19 2007-03-01 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US20070042577A1 (en) * 2005-08-19 2007-02-22 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
WO2007024341A3 (en) * 2005-08-19 2009-04-23 Tokyo Electron Ltd Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7569417B2 (en) * 2005-09-03 2009-08-04 Samsung Electronics Co., Ltd. Method of forming a phase changeable material layer, a method of manufacturing a phase changeable memory unit, and a method of manufacturing a phase changeable semiconductor memory device
US20070054475A1 (en) * 2005-09-03 2007-03-08 Jin-Il Lee Method of forming a phase changeable material layer, a method of manufacturing a phase changeable memory unit, and a method of manufacturing a phase changeable semiconductor memory device
US7582562B2 (en) * 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US20090280639A1 (en) * 2005-10-06 2009-11-12 Micron Technology, Inc. Atomic Layer Deposition Methods
US20070082468A1 (en) * 2005-10-06 2007-04-12 Blalock Guy T Atomic layer deposition methods
US7985679B2 (en) * 2005-10-06 2011-07-26 Micron Technology, Inc. Atomic layer deposition methods
US8163648B2 (en) 2005-10-06 2012-04-24 Micron Technology, Inc. Atomic layer deposition methods
US20070084563A1 (en) * 2005-10-18 2007-04-19 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7695983B2 (en) 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7695633B2 (en) 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US9831094B2 (en) 2005-10-27 2017-11-28 Asm International N.V. Enhanced thin film deposition
US10964534B2 (en) 2005-10-27 2021-03-30 Asm International Enhanced thin film deposition
US10297444B2 (en) 2005-10-27 2019-05-21 Asm International N.V. Enhanced thin film deposition
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US9127351B2 (en) 2005-10-27 2015-09-08 Asm International N.V. Enhanced thin film deposition
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US20070117397A1 (en) * 2005-11-22 2007-05-24 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7863163B2 (en) 2005-12-22 2011-01-04 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US8110493B1 (en) * 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20090200672A1 (en) * 2005-12-28 2009-08-13 Soo Hyun Kim Method for manufacturing semiconductor device
US20070187362A1 (en) * 2006-02-13 2007-08-16 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US20070187359A1 (en) * 2006-02-13 2007-08-16 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US7906030B2 (en) 2006-02-13 2011-03-15 Panasonic Corporation Dry etching method, fine structure formation method, mold and mold fabrication method
US20090017259A1 (en) * 2006-02-13 2009-01-15 Hideo Nakagawa Dry etching method, fine structure formation method, mold and mold fabrication method
US7758761B2 (en) * 2006-02-13 2010-07-20 Panasonic Corporation Dry etching method, fine structure formation method, mold and mold fabrication method
US7731862B2 (en) 2006-02-13 2010-06-08 Panasonic Corporation Dry etching method, fine structure formation method, mold and mold fabrication method
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US20080026162A1 (en) * 2006-07-29 2008-01-31 Dickey Eric R Radical-enhanced atomic layer deposition system and method
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US20080124488A1 (en) * 2006-11-10 2008-05-29 Schott Ag Method and apparatus for plasma enhanced chemical vapor deposition
US7947337B2 (en) * 2006-11-10 2011-05-24 Schott Ag Method and apparatus for plasma enhanced chemical vapor deposition
WO2008103456A3 (en) * 2007-02-21 2008-10-23 Applied Materials Inc Pulsed plasma system with pulsed sample bias for etching semiconductor structures
US20080197110A1 (en) * 2007-02-21 2008-08-21 Tae Won Kim Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
WO2008103456A2 (en) * 2007-02-21 2008-08-28 Applied Materials, Inc Pulsed plasma system with pulsed sample bias for etching semiconductor structures
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US20080206901A1 (en) * 2007-02-22 2008-08-28 Tae Won Kim Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductor structures
US8669181B1 (en) 2007-02-22 2014-03-11 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8076242B2 (en) * 2008-05-07 2011-12-13 Asm Genitech Korea Ltd. Methods of forming an amorphous silicon thin film
US20090278224A1 (en) * 2008-05-07 2009-11-12 Asm Genitech Korea Ltd. Methods of forming an amorphous silicon thin film
US20100297853A1 (en) * 2008-06-04 2010-11-25 Novellus Method for purifying acetylene gas for use in semiconductor processes
US8309473B2 (en) 2008-06-04 2012-11-13 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US20110083607A1 (en) * 2008-06-05 2011-04-14 Sorona Inc. Vapor phase self-assembled monolayer coating apparatus
US9240320B1 (en) 2008-06-27 2016-01-19 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US20100090342A1 (en) * 2008-10-15 2010-04-15 Hui-Lin Chang Metal Line Formation Through Silicon/Germanium Soaking
US20100143710A1 (en) * 2008-12-05 2010-06-10 Lotus Applied Technology, Llc High rate deposition of thin films with improved barrier layer properties
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US20100151691A1 (en) * 2008-12-12 2010-06-17 Novellus Systems Inc. Method for improved thickness repeatability of pecvd deposited carbon films
DE102009026249A1 (en) * 2009-07-24 2011-02-03 Q-Cells Se Plasma assisted deposition process, semiconductor device and deposition device
DE102009026249B4 (en) * 2009-07-24 2012-11-15 Q-Cells Se Plasma assisted deposition process, semiconductor device and deposition device
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110159204A1 (en) * 2009-12-29 2011-06-30 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US8637123B2 (en) 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
US9200364B2 (en) * 2010-03-19 2015-12-01 Tokyo Electron Limited Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US20120222615A1 (en) * 2010-09-03 2012-09-06 Tokyo Electron Limited Film deposition apparatus
US9543234B2 (en) 2011-06-24 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formation of silicon and tantalum containing barrier
US8946083B2 (en) 2011-06-24 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formation of silicon and tantalum containing barrier
KR101869948B1 (en) 2011-12-26 2018-06-22 주식회사 원익아이피에스 Gas injecting device and Substrate processing apparatus having the same
KR20130074420A (en) * 2011-12-26 2013-07-04 주식회사 원익아이피에스 Gas injecting device and substrate processing apparatus having the same
US20130224965A1 (en) * 2012-02-29 2013-08-29 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US9023731B2 (en) 2012-05-18 2015-05-05 Novellus Systems, Inc. Carbon deposition-etch-ash gap fill process
US10192759B2 (en) 2012-12-14 2019-01-29 Lam Research Corporation Image reversal with AHM gap fill for multiple patterning
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9139904B2 (en) * 2012-12-18 2015-09-22 Tokyo Electron Limited Thin film forming method
KR101662877B1 (en) 2012-12-18 2016-10-05 도쿄엘렉트론가부시키가이샤 Thin film forming method and thin film forming apparatus
US10422035B2 (en) 2012-12-18 2019-09-24 Tokyo Electron Limited Thin film forming method and thin film forming appartus
KR20140079295A (en) * 2012-12-18 2014-06-26 도쿄엘렉트론가부시키가이샤 Thin film forming method and thin film forming apparatus
US20140170320A1 (en) * 2012-12-18 2014-06-19 Tokyo Electron Limited Thin film forming method and thin film forming apparatus
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9618846B2 (en) 2013-02-25 2017-04-11 Lam Research Corporation PECVD films for EUV lithography
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US10074541B2 (en) 2013-03-13 2018-09-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9111749B2 (en) 2013-03-14 2015-08-18 Asm Ip Holdings B.V. Silane or borane treatment of metal thin films
US9583348B2 (en) 2013-03-14 2017-02-28 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9236247B2 (en) 2013-03-14 2016-01-12 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US10262867B2 (en) * 2013-08-02 2019-04-16 Lam Research Corporation Fast-gas switching for etching
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9214340B2 (en) * 2014-02-05 2015-12-15 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
US20150221508A1 (en) * 2014-02-05 2015-08-06 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US11823976B2 (en) 2014-04-17 2023-11-21 ASM IP Holding, B.V. Fluorine-containing conductive films
US11450591B2 (en) 2014-04-17 2022-09-20 Asm Ip Holding B.V. Fluorine-containing conductive films
US10636889B2 (en) 2014-10-23 2020-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11139383B2 (en) 2014-10-23 2021-10-05 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
CN107923039A (en) * 2015-05-27 2018-04-17 Asm Ip 控股有限公司 Synthesis and purposes for the precursor containing molybdenum or the ALD of W film
WO2016191432A1 (en) * 2015-05-27 2016-12-01 Asm Ip Holding B.V. Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
US11624112B2 (en) 2015-05-27 2023-04-11 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
US11047042B2 (en) 2015-05-27 2021-06-29 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
CN106521452A (en) * 2015-09-15 2017-03-22 超科技公司 Laser-assisted atomic layer deposition of 2D metal chalcogenide films
US11362222B2 (en) 2015-10-16 2022-06-14 Asm Ip Holding B.V. Photoactive devices and materials
US10861986B2 (en) 2015-10-16 2020-12-08 Asm Ip Holding B.V. Photoactive devices and materials
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
CN108140578A (en) * 2015-10-23 2018-06-08 应用材料公司 The gap filling from bottom to top handled by envenomation
US11107673B2 (en) 2015-11-12 2021-08-31 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10424476B2 (en) 2015-11-12 2019-09-24 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10510529B2 (en) 2015-11-12 2019-12-17 Asm Ip Holding B.V. Formation of SiOCN thin films
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11377737B2 (en) 2016-06-01 2022-07-05 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
TWI697956B (en) * 2016-09-27 2020-07-01 日商東京威力科創股份有限公司 Gas introduction mechanism and processing device
US11014866B2 (en) 2016-10-12 2021-05-25 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US11667595B2 (en) 2016-10-12 2023-06-06 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US20190300468A1 (en) * 2016-10-12 2019-10-03 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11195845B2 (en) 2017-04-13 2021-12-07 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN110692123A (en) * 2017-05-31 2020-01-14 应用材料公司 Anhydrous etching method
US11322348B2 (en) * 2017-09-15 2022-05-03 Miin-Jang Chen Multi-function equipment implementing fabrication of high-k dielectric layer
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
CN110186908A (en) * 2018-02-23 2019-08-30 Asm Ip控股有限公司 Equipment for detecting or monitoring precursor in the high temperature environment
US11087958B2 (en) * 2018-06-15 2021-08-10 Toshiba Memory Corporation Restoration method for plasma processing apparatus
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
US11791153B2 (en) 2020-02-10 2023-10-17 Asm Ip Holding B.V. Deposition of hafnium oxide within a high aspect ratio hole
WO2023150265A1 (en) * 2022-02-04 2023-08-10 Prasad Narhar Gadgil Atomic layer or chemical vapor deposition process for nitride or oxide films

Also Published As

Publication number Publication date
US7365005B1 (en) 2008-04-29

Similar Documents

Publication Publication Date Title
US20040224504A1 (en) Apparatus and method for plasma enhanced monolayer processing
US20040129212A1 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6921555B2 (en) Method and system for sequential processing in a two-compartment chamber
US7153542B2 (en) Assembly line processing method
US7435445B2 (en) Method for manufacturing semiconductor device
US6998014B2 (en) Apparatus and method for plasma assisted deposition
US7569501B2 (en) ALD metal oxide deposition process using direct oxidation
JP4666912B2 (en) Plasma reinforced atomic layer deposition apparatus and thin film forming method using the same
US6756318B2 (en) Nanolayer thick film processing system and method
US6689220B1 (en) Plasma enhanced pulsed layer deposition
US20130263783A1 (en) Atomic layer deposition reactor
US20060046412A1 (en) Method and system for sequential processing in a two-compartment chamber
US6820570B2 (en) Atomic layer deposition reactor
KR100684910B1 (en) Apparatus for treating plasma and method for cleaning the same
US6656282B2 (en) Atomic layer deposition apparatus and process using remote plasma
US20120202353A1 (en) Nanolayer deposition using plasma treatment
EP1672093B1 (en) Film-forming apparatus and film-forming method
US20140023794A1 (en) Method And Apparatus For Low Temperature ALD Deposition
US20060040055A1 (en) Method and system for sequential processing in a two-compartment chamber
US20040058293A1 (en) Assembly line processing system
KR20100132779A (en) Method for manufacturing thin film and apparatus for the same
EP2006888A9 (en) Method and apparatus for growing plasma atomic layer
WO2006104864A2 (en) A plasma enhanced atomic layer deposition system
TWI643971B (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
WO2014144377A1 (en) Plasma source for rotating platen ald chambers

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION