US20040219761A1 - Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same - Google Patents

Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same Download PDF

Info

Publication number
US20040219761A1
US20040219761A1 US10/821,230 US82123004A US2004219761A1 US 20040219761 A1 US20040219761 A1 US 20040219761A1 US 82123004 A US82123004 A US 82123004A US 2004219761 A1 US2004219761 A1 US 2004219761A1
Authority
US
United States
Prior art keywords
oxide layer
buried oxide
thickness
section
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/821,230
Inventor
Mark fuselier
Derick Wristers
Andy Wei
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US10/821,230 priority Critical patent/US20040219761A1/en
Publication of US20040219761A1 publication Critical patent/US20040219761A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. AFFIRMATION OF PATENT ASSIGNMENT Assignors: ADVANCED MICRO DEVICES, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel

Definitions

  • This invention relates generally to semiconductor fabrication technology, and, more particularly, to a semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same.
  • Transistors fabricated in SOI substrates offer several performance advantages over transistors fabricated in bulk silicon substrates. For example, complementary-metal-oxide-semiconductor (CMOS) devices fabricated in SOI substrates are not prone to disabling capacitive coupling, known as latch-up. In addition, transistors fabricated in SOI substrates, in general, have large drive currents and high transconductance values. Also, the sub-micron SOI transistors have improved immunity to short-channel effects when compared with bulk transistors fabricated to similar dimensions.
  • CMOS complementary-metal-oxide-semiconductor
  • SOI devices offer performance advantages over bulk silicon devices of similar dimensions, SOI devices share certain performance problems common to all thin-film transistors.
  • the active elements of an SOI transistor are fabricated in a thin-film active layer. Scaling of thin-film transistors to smaller dimensions requires that the thickness of the active layer be reduced.
  • the electrical resistance of the active layer correspondingly increases. This can have a negative impact on transistor performance because the fabrication of transistor elements in a conductive body having a high electrical resistance reduces the drive current of the transistor.
  • variations in the threshold voltage (V T ) of the device occur.
  • the threshold voltage of the device becomes unstable.
  • use of such unstable devices in modern integrated circuit devices e.g., microprocessors, memory devices, logic devices, etc., becomes very difficult if not impossible.
  • the present invention is directed to a device and various methods that may solve, or at least reduce, some or all of the aforementioned problems.
  • the present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same.
  • the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer.
  • the multiple thickness buried oxide layer further comprises a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections.
  • the method comprises performing a first oxygen ion implant process on a silicon substrate, forming a masking layer above the substrate after the first oxygen implant process, performing a second oxygen ion implant process on the substrate through the masking layer, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate.
  • the method comprises forming a masking layer above the substrate, performing a first oxygen ion implant process on a silicon substrate through the masking layer, removing the masking layer, performing a second oxygen ion implant process on the substrate after the masking layer is removed, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate.
  • the method comprises forming a layer of silicon dioxide above a first substrate, forming a masking layer above a portion of the layer of silicon dioxide, performing at least one etching process to etch a recess in the substrate adjacent each side of the masking layer, and removing the masking layer.
  • the method further comprises performing at least one of an oxidation process and a deposition process to form silicon dioxide in at least the recesses, performing at least one chemical mechanical polishing operation on at least the silicon dioxide formed in the recesses, bonding a second substrate to at least the silicon dioxide formed in the recesses, and removing a portion of the second substrate.
  • FIG. 1 is a cross-sectional view of an illustrative semiconductor device in accordance with one illustrative embodiment of the present invention
  • FIGS. 2A-2D are various cross-sectional views depicting one illustrative method for forming portions of the semiconductor device of the present invention.
  • FIGS. 3A-3F depict another illustrative embodiment of a method of forming the device disclosed herein.
  • FIGS. 4A-4C depict yet another illustrative embodiment of an illustrative semiconductor device in accordance with yet another illustrative embodiment of the present invention.
  • FIG. 1 is a cross-sectional view of a semiconductor device 10 in accordance with one illustrative embodiment of the present invention.
  • the present invention will be disclosed in the context of the formation of an illustrative NMOS transistor, those skilled in the art will understand after a complete reading of the present application that the present invention is not so limited.
  • the present invention may be employed with respect to a variety of technologies, e.g., NMOS, PMOS, CMOS, etc., and it may be employed with a variety of different type devices, e.g., memory devices, microprocessors, logic devices, etc.
  • technologies e.g., NMOS, PMOS, CMOS, etc.
  • type devices e.g., memory devices, microprocessors, logic devices, etc.
  • the semiconductor device 10 is formed above a silicon-on-insulator (SOI) type structure comprised of a bulk substrate 12 , a buried oxide layer (BOX) 20 , and an active layer 21 .
  • the buried oxide layer 20 has a varying thickness, as indicated by relatively thick buried oxide sections 20 A positioned adjacent a relatively thin buried oxide section 20 B.
  • FIG. 1 only depicts a small portion of an entire substrate or wafer. As a result, the buried oxide layer 20 will have many thousands of thin sections 20 B spread across the entirety of the wafer.
  • the SOI structure depicted in FIG. 1 may be formed by various techniques to be described in further detail later in the application.
  • the bulk substrate 12 may be doped with a P-type dopant material, e.g., boron, boron difluoride, etc.
  • the thick sections 20 A of the buried oxide layer 20 may have a thickness that, in one embodiment, varies from approximately 120-180 nm (1200-1800 ⁇ ), whereas the thickness of the thin section 20 B of the buried oxide layer 20 may vary from approximately 30-50 nm (300-500 ⁇ ).
  • the active layer 21 may have a thickness that varies from approximately 5-30 nm (50-300 ⁇ ), and, in the case of an NMOS device, it may be doped with a P-type dopant material.
  • the semiconductor device 10 further comprises a gate insulation layer 14 , a gate electrode 16 , sidewall spacers 19 , and a plurality of source/drain regions 18 formed in the active layer 21 .
  • Trench isolations regions 17 are formed in the active layer 21 to electronically isolate the semiconductor device 10 from other semiconductor devices (not shown).
  • a plurality of conductive contacts 30 that are formed in a layer of insulating material 29 , e.g., silicon dioxide.
  • the conductive contacts 30 provide the necessary electrical connections to the source/drain regions 18 of the device 10 .
  • a contact 32 that is used to produce electrical connection to the substrate 12 .
  • the thin section 20 B of the buried oxide layer 20 is positioned under the channel region 23 of the semiconductor device 10 .
  • the thin section 20 B is approximately aligned with the gate electrode 16 of the semiconductor device 10 .
  • the semiconductor device 10 and its various components may all be formed using traditional techniques for forming such components.
  • the gate insulation layer 14 may be comprised of silicon dioxide
  • the gate electrode 16 may be comprised of a doped polysilicon
  • the source/drain regions 18 may be formed by performing a relatively low-dose extension implant process followed by a relatively high-dose source/drain implant process.
  • FIGS. 2A-2D depict various methods that employ oxygen implant techniques as part of the process of forming the buried oxide layer 20 .
  • an initial oxygen ion implant process as indicated by arrows 42 , may be performed on a wafer 40 to form a relatively thin oxygen implant layer 48 in the substrate 40 .
  • the oxygen implant process 42 may be performed using an oxygen dose ranging from approximately 10 17 -10 18 ions/cm 2 at an energy level ranging from approximately 10-40 keV.
  • the oxygen implant layer 48 may have a thickness of approximately 20-70 nm (200-700 ⁇ ) and its upper surface 48 A may be positioned approximately 10-70 nm (100-700 ⁇ ) beneath the surface 40 A of the substrate 40 .
  • the oxygen implant process may be performed at an elevated temperature, e.g., 400-700° C.
  • a masking layer 44 is formed above the substrate 40 .
  • the masking layer 44 may employ a variety of materials, e.g., photoresist. In some cases, the masking layer 44 may actually be a gate electrode 16 of what will become the finished semiconductor device 10 .
  • a second oxygen implant process is performed to form relatively thick oxygen implant layers 49 in the substrate 40 .
  • one or more anneal processes will be performed at a temperature ranging from approximately 950-1150° C.
  • the second implant process 46 may be performed at an energy level of approximately 30-150 keV with a dopant dose of approximately 10 17 -10 18 ions/cm 2 .
  • FIGS. 2C and 2D depict an alternative embodiment where the ion implant process 42 and 46 are performed in a different order.
  • the masking layer 44 is initially formed above the substrate 40 .
  • the oxygen ion implant process 46 is performed to form relatively thick oxygen implant regions 49 in the substrate 40 .
  • the masking layer 44 is removed, and the oxygen implant process 42 is performed to form the relatively thin oxygen implant region 48 .
  • one or more anneal processes may be subsequently performed to convert the implanted oxygen layers 48 , 49 to the buried oxide layer 20 comprised of relatively thick sections 20 A and relatively thin sections 20 B, as depicted in FIG. 1.
  • FIGS. 3A-3E depict a wafer-bonding technique for formation of the multiple thickness buried oxide layer 20 depicted in FIG. 1.
  • a traditional oxidation process is performed to form a relatively thin layer of silicon dioxide 52 on a silicon wafer 50 .
  • the layer of silicon dioxide 52 may have a thickness ranging from approximately 30-70 nm (300-700 ⁇ ).
  • a masking layer 54 is formed above the silicon dioxide layer 52 , and an etching process is performed to form recesses 55 in the wafer 50 .
  • the recesses 55 may have depths of approximately 10-50 nm (100-500 ⁇ ), and this depth may vary depending upon the desired final thickness of the thick sections 20 A of the multiple thickness buried oxide layer 20 depicted in FIG. 1.
  • the masking layer 54 is removed and a second oxidation process or a deposition process is used to form silicon dioxide in the recesses 55 in the wafer 50 . Thereafter, a chemical mechanical polishing operation is performed to reduce the thickness of the silicon dioxide to a desired level. These processes result in the formation of the stepped oxide layer 56 depicted in FIG. 3C. Then, as shown in FIG. 3D, traditional bonding techniques are employed to bond a second wafer 58 to the stepped oxide layer 56 . Traditional “smart cut” processing may then be employed to complete the formation of the desired SOI structure. More particularly, as indicated in FIG. 3E, a hydrogen implant process, as indicated by arrows 60 , is performed and the bulk of the wafer 58 is removed.
  • a chemical mechanical polish process is performed on the surface 59 of the remaining portion of the wafer 58 to result in the final desired SOI structure with a buried oxide layer 20 comprised of thick sections 20 A and a thin section 20 B having an active layer 21 formed thereabove.
  • a doped back gate region 13 may be formed in the bulk substrate 12 .
  • portions of the back gate region 13 are formed under the multiple thickness buried oxide layer 20 .
  • the back gate region 13 may be doped with a dopant material that is of the same type as the dopant type used to dope the channel region 23 of the semiconductor device 10 .
  • the back gate region 13 may be doped with a P-type dopant material.
  • the back gate region 13 may be doped with an N-type dopant material.
  • aspects of the present invention may be employed in semiconductor devices without forming the back gate region 13 , e.g., as in the semiconductor device 10 depicted in FIG. 1.
  • a contact 31 may be provided to provide electrical contact to the back gate region 13 .
  • the back gate region 13 may be formed by performing a single or multiple ion implant processes.
  • an initial ion implant process may be performed, as indicated by arrows 70 in FIG. 4B, to form an implant region 72 in the substrate 12 .
  • the multiple thickness buried oxide layer 20 is indicated by heavy dashed lines in FIGS. 4B-4C.
  • the initial implant process 70 may be performed using arsenic and a dopant dose of approximately 10 15l - 10 16 ions/cm 2 and at an energy level of approximately 50-80 keV. Thereafter, as indicated in FIG.
  • a second ion implant process as indicated by arrows 80 may be performed to form implant regions 82 in the substrate 12 .
  • the implant process 80 may be performed using arsenic at a dopant dose level of approximately 10 15 -10 16 ions/cm 2 and at an energy level of approximately 50-200 keV.
  • one or more anneal processes are performed to repair the damaged lattice structure of the silicon substrate and to drive the implanted dopant material to the final position of the back gate region 13 depicted in FIG. 4A.
  • the present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same.
  • the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer.
  • the multiple thickness buried oxide layer further comprises a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections.
  • the method comprises performing a first oxygen ion implant process on a silicon substrate, forming a masking layer above the substrate after the first oxygen ion implant process, performing a second oxygen ion implant process on the substrate through the masking layer, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate.
  • the method comprises forming a masking layer above the substrate, performing a first oxygen ion implant process on a silicon substrate through the masking layer, removing the masking layer, performing a second oxygen ion implant process on the substrate after the masking layer is removed, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate.
  • the method comprises forming a layer of silicon dioxide above a first substrate, forming a masking layer above a portion of the layer of silicon dioxide, performing at least one etching process to etch a recess in the substrate adjacent each side of the masking layer, and removing the masking layer.
  • the method further comprises performing at least one of an oxidation process and a deposition process to form silicon dioxide in at least the recesses, performing at least one chemical mechanical polishing operation on at least the silicon dioxide formed in the recesses, bonding a second substrate to at least the silicon dioxide formed in the recesses, and removing a portion of the second substrate.

Abstract

The present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same. In one illustrative embodiment, the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer. In some embodiments, the multiple thickness buried oxide layer is comprised of a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections. In one illustrative embodiment, the method comprises performing a first oxygen ion implant process on a silicon substrate, forming a masking layer above the substrate, performing a second oxygen ion implant process on the substrate through the masking layer, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate. In another illustrative embodiment, the method comprises performing a first oxygen ion implant process on a silicon substrate, forming a masking layer above the substrate, performing a second oxygen ion implant process on the substrate through the masking layer, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate. In yet another illustrative embodiment, the method comprises forming a multiple thickness buried oxide layer using a wafer bonding technique.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention relates generally to semiconductor fabrication technology, and, more particularly, to a semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same. [0002]
  • 2. Description of the Related Art [0003]
  • There is a constant drive within the semiconductor industry to increase the operating speed of integrated circuit devices, e.g., microprocessors, memory devices, and the like. This drive is fueled by consumer demands for computers and electronic devices that operate at increasingly greater speeds. This demand for increased speed has resulted in a continual reduction in the size of semiconductor devices, e.g., transistors. That is, many components of a typical field effect transistor (FET), e.g., channel length, junction depths, gate insulation thickness, and the like, are reduced. For example, all other things being equal, the smaller the channel length of the transistor, the faster the transistor will operate. Thus, there is a constant drive to reduce the size, or scale, of the components of a typical transistor to increase the overall speed of the transistor, as well as integrated circuit devices incorporating such transistors. [0004]
  • As transistors are continually scaled in keeping with the requirements of advancing technology, device reliability dictates a concomitant reduction in the power supply voltage. Hence, every successive technology generation is often accompanied by a reduction in the operating voltage of the transistor. It is known that transistor devices fabricated on silicon-on-insulator (SOI) substrates exhibit better performance at low operating voltages than do transistors of similar dimensions fabricated in bulk silicon substrates. The superior performance of SOI devices at low operating voltage is related to the relatively lower junction capacitances obtained on an SOI device compared to a bulk silicon device of similar dimensions. The buried oxide layer in an SOI device separates active transistor regions from the bulk silicon substrate, thus reducing junction capacitance. [0005]
  • Transistors fabricated in SOI substrates offer several performance advantages over transistors fabricated in bulk silicon substrates. For example, complementary-metal-oxide-semiconductor (CMOS) devices fabricated in SOI substrates are not prone to disabling capacitive coupling, known as latch-up. In addition, transistors fabricated in SOI substrates, in general, have large drive currents and high transconductance values. Also, the sub-micron SOI transistors have improved immunity to short-channel effects when compared with bulk transistors fabricated to similar dimensions. [0006]
  • Although SOI devices offer performance advantages over bulk silicon devices of similar dimensions, SOI devices share certain performance problems common to all thin-film transistors. For example, the active elements of an SOI transistor are fabricated in a thin-film active layer. Scaling of thin-film transistors to smaller dimensions requires that the thickness of the active layer be reduced. However, as the thickness of the active layer is reduced, the electrical resistance of the active layer correspondingly increases. This can have a negative impact on transistor performance because the fabrication of transistor elements in a conductive body having a high electrical resistance reduces the drive current of the transistor. Moreover, as the thickness of the active layer of an SOI device continues to decrease, variations in the threshold voltage (V[0007] T) of the device occur. In short, as the thickness of the active layer decreases, the threshold voltage of the device becomes unstable. As a result, use of such unstable devices in modern integrated circuit devices, e.g., microprocessors, memory devices, logic devices, etc., becomes very difficult if not impossible.
  • The present invention is directed to a device and various methods that may solve, or at least reduce, some or all of the aforementioned problems. [0008]
  • SUMMARY OF THE INVENTION
  • The present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same. In one illustrative embodiment, the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer. In a more particular embodiment, the multiple thickness buried oxide layer further comprises a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections. [0009]
  • In one illustrative embodiment, the method comprises performing a first oxygen ion implant process on a silicon substrate, forming a masking layer above the substrate after the first oxygen implant process, performing a second oxygen ion implant process on the substrate through the masking layer, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate. In another illustrative embodiment, the method comprises forming a masking layer above the substrate, performing a first oxygen ion implant process on a silicon substrate through the masking layer, removing the masking layer, performing a second oxygen ion implant process on the substrate after the masking layer is removed, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate. [0010]
  • In yet another illustrative embodiment, the method comprises forming a layer of silicon dioxide above a first substrate, forming a masking layer above a portion of the layer of silicon dioxide, performing at least one etching process to etch a recess in the substrate adjacent each side of the masking layer, and removing the masking layer. The method further comprises performing at least one of an oxidation process and a deposition process to form silicon dioxide in at least the recesses, performing at least one chemical mechanical polishing operation on at least the silicon dioxide formed in the recesses, bonding a second substrate to at least the silicon dioxide formed in the recesses, and removing a portion of the second substrate.[0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which: [0012]
  • FIG. 1 is a cross-sectional view of an illustrative semiconductor device in accordance with one illustrative embodiment of the present invention; [0013]
  • FIGS. 2A-2D are various cross-sectional views depicting one illustrative method for forming portions of the semiconductor device of the present invention; [0014]
  • FIGS. 3A-3F depict another illustrative embodiment of a method of forming the device disclosed herein; and [0015]
  • FIGS. 4A-4C depict yet another illustrative embodiment of an illustrative semiconductor device in accordance with yet another illustrative embodiment of the present invention. [0016]
  • While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.[0017]
  • DETAILED DESCRIPTION OF THE INVENTION
  • Illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure. [0018]
  • The present invention will now be described with reference to the attached figures. Although the various regions and structures of a semiconductor device are depicted in the drawings as having very precise, sharp configurations and profiles, those skilled in the art recognize that, in reality, these regions and structures are not as precise as indicated in the drawings. Additionally, the relative sizes of the various features and doped regions depicted in the drawings may be exaggerated or reduced as compared to the size of those features or regions on fabricated devices. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present invention. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase. [0019]
  • In general, the present invention is directed to a semiconductor device formed over a buried oxide layer having sections of differing thicknesses, and various methods of making same. FIG. 1 is a cross-sectional view of a [0020] semiconductor device 10 in accordance with one illustrative embodiment of the present invention. Although the present invention will be disclosed in the context of the formation of an illustrative NMOS transistor, those skilled in the art will understand after a complete reading of the present application that the present invention is not so limited. More particularly, the present invention may be employed with respect to a variety of technologies, e.g., NMOS, PMOS, CMOS, etc., and it may be employed with a variety of different type devices, e.g., memory devices, microprocessors, logic devices, etc.
  • As shown in FIG. 1, the [0021] semiconductor device 10 is formed above a silicon-on-insulator (SOI) type structure comprised of a bulk substrate 12, a buried oxide layer (BOX) 20, and an active layer 21. The buried oxide layer 20 has a varying thickness, as indicated by relatively thick buried oxide sections 20A positioned adjacent a relatively thin buried oxide section 20B. Of course, FIG. 1 only depicts a small portion of an entire substrate or wafer. As a result, the buried oxide layer 20 will have many thousands of thin sections 20B spread across the entirety of the wafer. The SOI structure depicted in FIG. 1 may be formed by various techniques to be described in further detail later in the application.
  • In the illustrative embodiment where an NMOS device is formed, the [0022] bulk substrate 12 may be doped with a P-type dopant material, e.g., boron, boron difluoride, etc. The thick sections 20A of the buried oxide layer 20 may have a thickness that, in one embodiment, varies from approximately 120-180 nm (1200-1800 Å), whereas the thickness of the thin section 20B of the buried oxide layer 20 may vary from approximately 30-50 nm (300-500 Å). The active layer 21 may have a thickness that varies from approximately 5-30 nm (50-300 Å), and, in the case of an NMOS device, it may be doped with a P-type dopant material.
  • The [0023] semiconductor device 10 further comprises a gate insulation layer 14, a gate electrode 16, sidewall spacers 19, and a plurality of source/drain regions 18 formed in the active layer 21. Trench isolations regions 17 are formed in the active layer 21 to electronically isolate the semiconductor device 10 from other semiconductor devices (not shown). Also depicted in FIG. 1 are a plurality of conductive contacts 30 that are formed in a layer of insulating material 29, e.g., silicon dioxide. The conductive contacts 30 provide the necessary electrical connections to the source/drain regions 18 of the device 10. Also depicted in FIG. 1 is a contact 32 that is used to produce electrical connection to the substrate 12. Note that the thin section 20B of the buried oxide layer 20 is positioned under the channel region 23 of the semiconductor device 10. For example, in the depicted embodiment, the thin section 20B is approximately aligned with the gate electrode 16 of the semiconductor device 10.
  • The [0024] semiconductor device 10 and its various components, e.g., gate electrode 16, gate insulation layer 14, source/drain regions 18, sidewall spacers 19, trench isolation regions 17, and contacts 30 may all be formed using traditional techniques for forming such components. For example, the gate insulation layer 14 may be comprised of silicon dioxide, the gate electrode 16 may be comprised of a doped polysilicon, and the source/drain regions 18 may be formed by performing a relatively low-dose extension implant process followed by a relatively high-dose source/drain implant process. Thus, the particular techniques and materials used for forming the various components of the semiconductor device 10 depicted in FIG. 1 should not be considered a limitation of the present invention unless such limitations are clearly set forth in the appended claims.
  • The multiple thickness buried [0025] oxide layer 20 depicted in FIG. 1 may be formed by a variety of techniques. FIGS. 2A-2D depict various methods that employ oxygen implant techniques as part of the process of forming the buried oxide layer 20. For example, as shown in FIG. 2A, an initial oxygen ion implant process, as indicated by arrows 42, may be performed on a wafer 40 to form a relatively thin oxygen implant layer 48 in the substrate 40. In one illustrative embodiment, the oxygen implant process 42 may be performed using an oxygen dose ranging from approximately 1017-1018 ions/cm2 at an energy level ranging from approximately 10-40 keV. As a result, the oxygen implant layer 48 may have a thickness of approximately 20-70 nm (200-700 Å) and its upper surface 48A may be positioned approximately 10-70 nm (100-700 Å) beneath the surface 40A of the substrate 40. If desired, the oxygen implant process may be performed at an elevated temperature, e.g., 400-700° C.
  • Thereafter, as shown in FIG. 2B, a [0026] masking layer 44 is formed above the substrate 40. The masking layer 44 may employ a variety of materials, e.g., photoresist. In some cases, the masking layer 44 may actually be a gate electrode 16 of what will become the finished semiconductor device 10. After the masking layer 44 is formed, a second oxygen implant process, as indicated by arrows 46, is performed to form relatively thick oxygen implant layers 49 in the substrate 40. At some point later during the process of forming the semiconductor device 10, one or more anneal processes will be performed at a temperature ranging from approximately 950-1150° C. to covert the oxygen implant layers 48, 49 into silicon dioxide, thereby forming the sections 20A, 20B of the multiple thickness buried oxide layer 20. The second implant process 46 may be performed at an energy level of approximately 30-150 keV with a dopant dose of approximately 1017-1018 ions/cm2.
  • FIGS. 2C and 2D depict an alternative embodiment where the [0027] ion implant process 42 and 46 are performed in a different order. In this embodiment, the masking layer 44 is initially formed above the substrate 40. Thereafter, the oxygen ion implant process 46 is performed to form relatively thick oxygen implant regions 49 in the substrate 40. Thereafter, the masking layer 44 is removed, and the oxygen implant process 42 is performed to form the relatively thin oxygen implant region 48. As before, one or more anneal processes may be subsequently performed to convert the implanted oxygen layers 48, 49 to the buried oxide layer 20 comprised of relatively thick sections 20A and relatively thin sections 20B, as depicted in FIG. 1.
  • FIGS. 3A-3E depict a wafer-bonding technique for formation of the multiple thickness buried [0028] oxide layer 20 depicted in FIG. 1. As shown in FIG. 3A, a traditional oxidation process is performed to form a relatively thin layer of silicon dioxide 52 on a silicon wafer 50. The layer of silicon dioxide 52 may have a thickness ranging from approximately 30-70 nm (300-700Å). Thereafter, as shown in FIG. 3B, a masking layer 54 is formed above the silicon dioxide layer 52, and an etching process is performed to form recesses 55 in the wafer 50. In one illustrative embodiment, the recesses 55 may have depths of approximately 10-50 nm (100-500Å), and this depth may vary depending upon the desired final thickness of the thick sections 20A of the multiple thickness buried oxide layer 20 depicted in FIG. 1.
  • Next, the [0029] masking layer 54 is removed and a second oxidation process or a deposition process is used to form silicon dioxide in the recesses 55 in the wafer 50. Thereafter, a chemical mechanical polishing operation is performed to reduce the thickness of the silicon dioxide to a desired level. These processes result in the formation of the stepped oxide layer 56 depicted in FIG. 3C. Then, as shown in FIG. 3D, traditional bonding techniques are employed to bond a second wafer 58 to the stepped oxide layer 56. Traditional “smart cut” processing may then be employed to complete the formation of the desired SOI structure. More particularly, as indicated in FIG. 3E, a hydrogen implant process, as indicated by arrows 60, is performed and the bulk of the wafer 58 is removed. Then, a chemical mechanical polish process is performed on the surface 59 of the remaining portion of the wafer 58 to result in the final desired SOI structure with a buried oxide layer 20 comprised of thick sections 20A and a thin section 20B having an active layer 21 formed thereabove.
  • In a further embodiment of the present invention, as depicted in FIG. 4A, a doped [0030] back gate region 13 may be formed in the bulk substrate 12. In one illustrative embodiment, portions of the back gate region 13 are formed under the multiple thickness buried oxide layer 20. The back gate region 13 may be doped with a dopant material that is of the same type as the dopant type used to dope the channel region 23 of the semiconductor device 10. For example, for an NMOS device, the back gate region 13 may be doped with a P-type dopant material. For a PMOS device, the back gate region 13 may be doped with an N-type dopant material. Of course, as will be recognized by those skilled in the art after a complete reading of the present application, aspects of the present invention may be employed in semiconductor devices without forming the back gate region 13, e.g., as in the semiconductor device 10 depicted in FIG. 1. A contact 31 may be provided to provide electrical contact to the back gate region 13.
  • In situations in which the [0031] back gate region 13 is formed, it may be formed by performing a single or multiple ion implant processes. For example, in the case of an NMOS device, an initial ion implant process may be performed, as indicated by arrows 70 in FIG. 4B, to form an implant region 72 in the substrate 12. For purposes of clarity, the multiple thickness buried oxide layer 20 is indicated by heavy dashed lines in FIGS. 4B-4C. The initial implant process 70 may be performed using arsenic and a dopant dose of approximately 1015l -1016 ions/cm2 and at an energy level of approximately 50-80 keV. Thereafter, as indicated in FIG. 4C, a second ion implant process, as indicated by arrows 80 may be performed to form implant regions 82 in the substrate 12. In one illustrative embodiment, the implant process 80 may be performed using arsenic at a dopant dose level of approximately 1015-1016 ions/cm2 and at an energy level of approximately 50-200 keV. After the implant processes 70, 80 are performed, one or more anneal processes are performed to repair the damaged lattice structure of the silicon substrate and to drive the implanted dopant material to the final position of the back gate region 13 depicted in FIG. 4A.
  • The present invention is generally directed to a semiconductor device formed over a multiple thickness buried oxide layer, and various methods of making same. In one illustrative embodiment, the device comprises a bulk substrate, a multiple thickness buried oxide layer formed above the bulk substrate, and an active layer formed above the multiple thickness buried oxide layer, the semiconductor device being formed in the active layer above the multiple thickness buried oxide layer. In further embodiments, the multiple thickness buried oxide layer further comprises a first section positioned between two second sections, the first section having a thickness that is less than the thickness of the second sections. [0032]
  • In one illustrative embodiment, the method comprises performing a first oxygen ion implant process on a silicon substrate, forming a masking layer above the substrate after the first oxygen ion implant process, performing a second oxygen ion implant process on the substrate through the masking layer, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate. In another illustrative embodiment, the method comprises forming a masking layer above the substrate, performing a first oxygen ion implant process on a silicon substrate through the masking layer, removing the masking layer, performing a second oxygen ion implant process on the substrate after the masking layer is removed, and performing at least one heating process on the substrate to form a multiple thickness buried oxide layer in the substrate. [0033]
  • In yet another illustrative embodiment, the method comprises forming a layer of silicon dioxide above a first substrate, forming a masking layer above a portion of the layer of silicon dioxide, performing at least one etching process to etch a recess in the substrate adjacent each side of the masking layer, and removing the masking layer. The method further comprises performing at least one of an oxidation process and a deposition process to form silicon dioxide in at least the recesses, performing at least one chemical mechanical polishing operation on at least the silicon dioxide formed in the recesses, bonding a second substrate to at least the silicon dioxide formed in the recesses, and removing a portion of the second substrate. [0034]
  • The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below. [0035]

Claims (31)

1. A semiconductor device, comprising:
a bulk substrate;
a multiple thickness buried oxide layer formed above said bulk substrate; and
an active layer formed above said multiple thickness buried oxide layer, said semiconductor device being formed in said active layer above said multiple thickness buried oxide layer.
2. The device of claim 1, wherein said bulk substrate is comprised of silicon.
3. The device of claim 1, wherein said semiconductor device is a transistor.
4. The device of claim 1, wherein said semiconductor device is part of at least one of a microprocessor, a memory device and a logic device.
5. The device of claim 1, wherein said active layer is comprised of silicon.
6. The device of claim 1, wherein said active layer has a thickness ranging from approximately 5-30 nm.
7. The device of claim 1, wherein said buried oxide layer is comprised of silicon dioxide.
8. The device of claim 1, wherein said multiple thickness buried oxide layer comprises:
a first section positioned between two second sections, said first section having a thickness and each of said second sections having a thickness, said thickness of said first section being less than said thickness of said second sections.
9. The device of claim 1, wherein said semiconductor device is a transistor having a channel region, at least a portion of said channel region being positioned above a section of said buried oxide layer that has a thickness that is less than a thickness of a remaining portion of said buried oxide layer.
10. The device of claim 1, wherein said semiconductor device is a transistor comprised of a gate electrode and wherein said multiple thickness buried oxide layer has a first section positioned between two second sections, said first section having a thickness and each of said second sections having a thickness, said thickness of said first section being less than a thickness of said second sections, said first section being at least partially positioned under said gate electrode.
11. The device of claim 1, wherein said semiconductor device is a transistor comprised of a gate electrode and wherein said multiple thickness buried oxide layer has a first section positioned between two second sections, said first section having a thickness and each of said second sections having a thickness, said thickness of said first section being less than a thickness of said second sections, said first section being substantially aligned with said gate electrode.
12. The device of claim 8, wherein said first section has a thickness ranging from approximately 30-50 nm and said second sections have a thickness ranging from approximately 120-180 nm.
13. A transistor, comprising:
a bulk substrate;
a buried oxide layer formed above said bulk substrate, said buried oxide layer comprising a first section positioned between two second sections, said first section having a thickness and each of said second sections having a thickness, said thickness of said first section being less than said thickness of said second sections; and
an active layer formed above said buried oxide layer, said transistor being formed in said active layer above said buried oxide layer.
14. The device of claim 13, wherein said bulk substrate is comprised of silicon.
15. The device of claim 13, wherein said transistor is part of at least one of a microprocessor, a memory device and a logic device.
16. The device of claim 13, wherein said active layer is comprised of silicon.
17. The device of claim 13, wherein said active layer has a thickness ranging from approximately 5-30 nm.
18. The device of claim 13, wherein said buried oxide layer is comprised of silicon dioxide.
19. The device of claim 13, wherein said transistor comprises a channel region, at least a portion of said channel region being positioned above at least a portion of said first section of said buried oxide layer.
20. The device of claim 13, wherein said transistor comprises a gate electrode and wherein said first section of said buried oxide layer is at least partially positioned under said gate electrode.
21. The device of claim 13, wherein said transistor comprises a gate electrode and wherein said first section of said buried oxide layer is substantially aligned with said gate electrode.
22. The device of claim 13, wherein said first section has a thickness ranging from approximately 30-50 nm and said second sections have a thickness ranging from approximately 120-180 nm.
23. A transistor comprised of a channel region, said transistor comprising:
a bulk silicon substrate;
a buried oxide layer formed above said bulk silicon substrate, said buried oxide layer comprising a first section positioned between two second sections, said first section having a thickness and each of said second sections having a thickness, said thickness of said first section being less than said thickness of said second sections; and
an active layer formed above said buried oxide layer, said transistor being formed in said active layer above said buried oxide layer, at least a portion of said channel region being positioned above said first section of said buried oxide layer.
24. The device of claim 23, wherein said transistor is part of at least one of a microprocessor, a memory device and a logic device.
25. The device of claim 23, wherein said active layer is comprised of silicon.
26. The device of claim 23, wherein said active layer has a thickness ranging from approximately 5-30 nm.
27. The device of claim 23, wherein said buried oxide layer is comprised of silicon dioxide.
28. The device of claim 23, wherein said transistor further comprises a gate electrode and wherein said first section of said buried oxide layer is at least partially positioned under said gate electrode.
29. The device of claim 23, wherein said transistor further comprises a gate electrode and wherein said first section of said buried gate oxide layer is substantially aligned said gate electrode.
30. The device of claim 23, wherein said first section has a thickness ranging from approximately 30-50 nm and said second sections have a thickness ranging from approximately 120-180 nm.
31-48. (canceled)
US10/821,230 2002-03-28 2004-04-08 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same Abandoned US20040219761A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/821,230 US20040219761A1 (en) 2002-03-28 2004-04-08 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/109,096 US6737332B1 (en) 2002-03-28 2002-03-28 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same
US10/821,230 US20040219761A1 (en) 2002-03-28 2004-04-08 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/109,096 Division US6737332B1 (en) 2002-03-28 2002-03-28 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same

Publications (1)

Publication Number Publication Date
US20040219761A1 true US20040219761A1 (en) 2004-11-04

Family

ID=28673615

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/109,096 Expired - Lifetime US6737332B1 (en) 2002-03-28 2002-03-28 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same
US10/821,230 Abandoned US20040219761A1 (en) 2002-03-28 2004-04-08 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/109,096 Expired - Lifetime US6737332B1 (en) 2002-03-28 2002-03-28 Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same

Country Status (8)

Country Link
US (2) US6737332B1 (en)
EP (1) EP1490900A1 (en)
JP (1) JP2005522034A (en)
KR (1) KR20040102052A (en)
CN (1) CN1310306C (en)
AU (1) AU2002357862A1 (en)
TW (1) TWI286821B (en)
WO (1) WO2003083934A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060234428A1 (en) * 2005-04-14 2006-10-19 International Business Machines Corporation Methods of implementing and enhanced silicon-on-insulator (soi) box structures
US20140106494A1 (en) * 2012-10-15 2014-04-17 International Business Machines Corporation Dual-gate bio/chem sensor
US8704286B2 (en) 2008-12-18 2014-04-22 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
CN105097711A (en) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device and formation method thereof
TWI726012B (en) * 2015-12-22 2021-05-01 美商瓦里安半導體設備公司 Dram device and method of forming the same and method of forming gate oxide layer

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002289552A (en) * 2001-03-28 2002-10-04 Nippon Steel Corp Simox wafer and production method therefor
US6946358B2 (en) * 2003-05-30 2005-09-20 International Business Machines Corporation Method of fabricating shallow trench isolation by ultra-thin SIMOX processing
EP1695379B1 (en) 2003-12-16 2012-12-05 International Business Machines Corporation Process of manufacture of contoured insulator layer of silicon-on-onsulator wafers
EP1583143B1 (en) * 2004-03-29 2011-10-05 Imec Method of fabricating self-aligned source and drain contacts in a Double gate FET with controlled manufacturing of a thin Si or non-Si channel
US8450806B2 (en) * 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7382023B2 (en) * 2004-04-28 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fully depleted SOI multiple threshold voltage application
JP2006310661A (en) * 2005-04-28 2006-11-09 Toshiba Corp Semiconductor substrate and manufacturing method thereof
JP4797495B2 (en) * 2005-08-02 2011-10-19 セイコーエプソン株式会社 Manufacturing method of semiconductor device
US20070099372A1 (en) * 2005-10-31 2007-05-03 Sailesh Chittipeddi Device having active regions of different depths
KR100724199B1 (en) * 2005-12-28 2007-05-31 동부일렉트로닉스 주식회사 Method for forming shallow trench isolation of soi
US8278731B2 (en) * 2007-11-20 2012-10-02 Denso Corporation Semiconductor device having SOI substrate and method for manufacturing the same
KR101024763B1 (en) 2008-07-29 2011-03-24 주식회사 하이닉스반도체 Method for repair of semiconductor device
US8074897B2 (en) 2008-10-09 2011-12-13 Rain Bird Corporation Sprinkler with variable arc and flow rate
US8695900B2 (en) * 2009-05-29 2014-04-15 Rain Bird Corporation Sprinkler with variable arc and flow rate and method
US8925837B2 (en) * 2009-05-29 2015-01-06 Rain Bird Corporation Sprinkler with variable arc and flow rate and method
JP2011082443A (en) * 2009-10-09 2011-04-21 Sumco Corp Epitaxial wafer and method for manufacturing the same
US9504209B2 (en) 2010-04-09 2016-11-29 Rain Bird Corporation Irrigation sprinkler nozzle
US8421156B2 (en) * 2010-06-25 2013-04-16 International Business Machines Corporation FET with self-aligned back gate
CN102148183B (en) * 2011-03-10 2015-04-29 上海华虹宏力半导体制造有限公司 Method for forming SOI (Silicon On Insulator) with stepped buried oxide layer
US8507989B2 (en) 2011-05-16 2013-08-13 International Business Machine Corporation Extremely thin semiconductor-on-insulator (ETSOI) FET with a back gate and reduced parasitic capacitance
CN102244080A (en) * 2011-06-28 2011-11-16 上海宏力半导体制造有限公司 Silicon-on-insulator (SOI) substrate structure and device
CN102244029A (en) * 2011-06-28 2011-11-16 上海宏力半导体制造有限公司 Manufacturing process of silicon-on-insulator substrate and manufacturing process of silicon-on-insulator device
CN102354678B (en) * 2011-09-28 2015-03-18 上海华虹宏力半导体制造有限公司 Silicon-on-insulator (SOI) structures with step-type buried oxide layers
CN102339784B (en) * 2011-09-28 2015-02-04 上海华虹宏力半导体制造有限公司 Manufacturing method for silicon-on-insulator (SOI) structure provided with stepped oxidization buried layer
CN103311301B (en) * 2013-05-09 2016-06-29 北京大学 A kind of suppression radiates SOI device causing back gate leakage current and preparation method thereof
US10128269B2 (en) 2013-11-08 2018-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10322423B2 (en) 2016-11-22 2019-06-18 Rain Bird Corporation Rotary nozzle
US11154877B2 (en) 2017-03-29 2021-10-26 Rain Bird Corporation Rotary strip nozzles
CN107634101A (en) * 2017-09-21 2018-01-26 中国工程物理研究院电子工程研究所 Semiconductor field effect transistor and its manufacture method with three-stage oxygen buried layer
US11059056B2 (en) 2019-02-28 2021-07-13 Rain Bird Corporation Rotary strip nozzles and deflectors
US11406999B2 (en) 2019-05-10 2022-08-09 Rain Bird Corporation Irrigation nozzle with one or more grit vents
US11247219B2 (en) 2019-11-22 2022-02-15 Rain Bird Corporation Reduced precipitation rate nozzle

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302542A (en) * 1992-05-06 1994-04-12 Kabushiki Kaisha Toshiba Method of making a semiconductor memory device
US5485030A (en) * 1992-10-21 1996-01-16 Mitsubishi Denki Kabushiki Kaisha Dielectric element isolated semiconductor device and a method of manufacturing the same
US5619054A (en) * 1994-06-14 1997-04-08 Sony Corporation CMOS transistor and isolated back gate electrodes on an SOI substrate
US5760442A (en) * 1994-09-29 1998-06-02 Kabushiki Kaisha Toshiba Semiconductor device of a silicon on insulator metal-insulator type with a concave feature
US6096582A (en) * 1992-01-28 2000-08-01 Canon Kabushiki Kaisha Method of making a semiconductor device
US6326247B1 (en) * 2000-06-09 2001-12-04 Advanced Micro Devices, Inc. Method of creating selectively thin silicon/oxide for making fully and partially depleted SOI on same waffer
US6392277B1 (en) * 1997-11-21 2002-05-21 Hitachi, Ltd. Semiconductor device
US6441436B1 (en) * 2000-11-29 2002-08-27 United Microelectronics Corp. SOI device and method of fabrication
US6515333B1 (en) * 2001-04-27 2003-02-04 Advanced Micro Devices, Inc. Removal of heat from SOI device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2797870B2 (en) * 1991-12-28 1998-09-17 日産自動車株式会社 Vehicle door structure
JPH0778994A (en) * 1993-09-07 1995-03-20 Hitachi Ltd Mos semiconductor device and fabrication thereof
JP2842505B2 (en) * 1994-02-03 1999-01-06 日本電気株式会社 Thin film transistor and method of manufacturing the same
JP3254889B2 (en) * 1994-03-25 2002-02-12 ソニー株式会社 MOS type semiconductor memory device and method of manufacturing the same
JP3427114B2 (en) * 1994-06-03 2003-07-14 コマツ電子金属株式会社 Semiconductor device manufacturing method
KR970052022A (en) * 1995-12-30 1997-07-29 김주용 SOH eye substrate manufacturing method
US6043166A (en) 1996-12-03 2000-03-28 International Business Machines Corporation Silicon-on-insulator substrates using low dose implantation
US6069054A (en) * 1997-12-23 2000-05-30 Integrated Device Technology, Inc. Method for forming isolation regions subsequent to gate formation and structure thereof
WO2000048245A1 (en) 1999-02-12 2000-08-17 Ibis Technology Corporation Patterned silicon-on-insulator devices
US5950094A (en) 1999-02-18 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating fully dielectric isolated silicon (FDIS)
US6180487B1 (en) * 1999-10-25 2001-01-30 Advanced Micro Devices, Inc. Selective thinning of barrier oxide through masked SIMOX implant
US6531375B1 (en) * 2001-09-18 2003-03-11 International Business Machines Corporation Method of forming a body contact using BOX modification

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096582A (en) * 1992-01-28 2000-08-01 Canon Kabushiki Kaisha Method of making a semiconductor device
US5302542A (en) * 1992-05-06 1994-04-12 Kabushiki Kaisha Toshiba Method of making a semiconductor memory device
US5485030A (en) * 1992-10-21 1996-01-16 Mitsubishi Denki Kabushiki Kaisha Dielectric element isolated semiconductor device and a method of manufacturing the same
US5619054A (en) * 1994-06-14 1997-04-08 Sony Corporation CMOS transistor and isolated back gate electrodes on an SOI substrate
US5760442A (en) * 1994-09-29 1998-06-02 Kabushiki Kaisha Toshiba Semiconductor device of a silicon on insulator metal-insulator type with a concave feature
US6392277B1 (en) * 1997-11-21 2002-05-21 Hitachi, Ltd. Semiconductor device
US6326247B1 (en) * 2000-06-09 2001-12-04 Advanced Micro Devices, Inc. Method of creating selectively thin silicon/oxide for making fully and partially depleted SOI on same waffer
US6441436B1 (en) * 2000-11-29 2002-08-27 United Microelectronics Corp. SOI device and method of fabrication
US6515333B1 (en) * 2001-04-27 2003-02-04 Advanced Micro Devices, Inc. Removal of heat from SOI device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060234428A1 (en) * 2005-04-14 2006-10-19 International Business Machines Corporation Methods of implementing and enhanced silicon-on-insulator (soi) box structures
US7129138B1 (en) * 2005-04-14 2006-10-31 International Business Machines Corporation Methods of implementing and enhanced silicon-on-insulator (SOI) box structures
US8704286B2 (en) 2008-12-18 2014-04-22 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US9129848B2 (en) 2008-12-18 2015-09-08 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US20140106494A1 (en) * 2012-10-15 2014-04-17 International Business Machines Corporation Dual-gate bio/chem sensor
US8940569B2 (en) * 2012-10-15 2015-01-27 International Business Machines Corporation Dual-gate bio/chem sensor
CN105097711A (en) * 2014-05-04 2015-11-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device and formation method thereof
TWI726012B (en) * 2015-12-22 2021-05-01 美商瓦里安半導體設備公司 Dram device and method of forming the same and method of forming gate oxide layer

Also Published As

Publication number Publication date
KR20040102052A (en) 2004-12-03
CN1310306C (en) 2007-04-11
JP2005522034A (en) 2005-07-21
US6737332B1 (en) 2004-05-18
WO2003083934A1 (en) 2003-10-09
TW200307346A (en) 2003-12-01
AU2002357862A1 (en) 2003-10-13
EP1490900A1 (en) 2004-12-29
CN1623226A (en) 2005-06-01
TWI286821B (en) 2007-09-11

Similar Documents

Publication Publication Date Title
US6737332B1 (en) Semiconductor device formed over a multiple thickness buried oxide layer, and methods of making same
US7180136B2 (en) Biased, triple-well fully depleted SOI structure
US6780686B2 (en) Doping methods for fully-depleted SOI structures, and device comprising the resulting doped regions
US7544999B2 (en) SOI semiconductor device having enhanced, self-aligned dielectric regions in the bulk silicon substrate
JP3513212B2 (en) Semiconductor device manufacturing method
US8198673B2 (en) Asymmetric epitaxy and application thereof
US8877606B2 (en) Low cost fabrication of double box back gate silicon-on-insulator wafers with subsequent self aligned shallow trench isolation
US8889022B2 (en) Methods of forming asymmetric spacers on various structures on integrated circuit products
US20100176453A1 (en) Low cost fabrication of double box back gate silicon-on-insulator wafers with built-in shallow trench isolation in back gate layer
US20030203546A1 (en) SOI transistor element having an improved backside contact and method of forming the same
US6492209B1 (en) Selectively thin silicon film for creating fully and partially depleted SOI on same wafer
US6326247B1 (en) Method of creating selectively thin silicon/oxide for making fully and partially depleted SOI on same waffer
US20040053456A1 (en) Mosfet with short channel structure and formation method thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630

Owner name: GLOBALFOUNDRIES INC.,CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023120/0426

Effective date: 20090630