US20040211665A1 - Barrier formation using novel sputter-deposition method - Google Patents

Barrier formation using novel sputter-deposition method Download PDF

Info

Publication number
US20040211665A1
US20040211665A1 US10/845,970 US84597004A US2004211665A1 US 20040211665 A1 US20040211665 A1 US 20040211665A1 US 84597004 A US84597004 A US 84597004A US 2004211665 A1 US2004211665 A1 US 2004211665A1
Authority
US
United States
Prior art keywords
chamber
substrate
reactor
collimator
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/845,970
Inventor
Ki Yoon
Yonghwa Cha
Sang Yu
Hafiz Ahmad
Ho Wee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/916,234 external-priority patent/US20030029715A1/en
Priority to US10/845,970 priority Critical patent/US20040211665A1/en
Application filed by Individual filed Critical Individual
Publication of US20040211665A1 publication Critical patent/US20040211665A1/en
Priority to US11/456,073 priority patent/US7416979B2/en
Priority to US11/733,929 priority patent/US8110489B2/en
Priority to US12/111,923 priority patent/US20090004850A1/en
Priority to US12/111,930 priority patent/US20080268635A1/en
Priority to US12/171,132 priority patent/US7611990B2/en
Priority to US12/201,976 priority patent/US9051641B2/en
Priority to US12/969,445 priority patent/US8187970B2/en
Priority to US13/456,904 priority patent/US8563424B2/en
Priority to US14/717,375 priority patent/US9209074B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment

Definitions

  • the present invention relates to the fabrication of semiconductor devices and to the apparatus and methods for deposition and annealing of materials on a semiconductor substrate.
  • ULSI circuits include metal oxide semiconductor (MOS) devices, such as complementary metal oxide semiconductor (CMOS) field effect transistors (FETs).
  • MOS metal oxide semiconductor
  • CMOS complementary metal oxide semiconductor
  • FETs field effect transistors
  • the transistors can include semiconductor gates disposed between source and drain regions.
  • MOS devices using polysilicon gate electrodes, it has become the practice to provide a metal silicide layer over the polysilicon gate electrode, and over the source and drain regions of the silicon substrate, to facilitate lower resistance and improve device performance by electrically connecting the source and drain regions to metal interconnects.
  • CMOS processing technology One important processing technique currently used in CMOS processing technology is the Self-Aligned Silicidation (salicide) of refractory metals such as titanium and cobalt.
  • siicide refractory metals
  • refractory metals such as titanium and cobalt.
  • Co cobalt
  • the source and drain and polysilicon gate resistances are reduced by forming a high conductivity overlayer and the contact resistance is reduced by increasing the effective contact area of the source and drain with subsequently formed metal interconnects.
  • Salicide processing technology seeks to exploit the principle that a refractory metal such as cobalt deposited on a patterned silicon substrate will selectively react with exposed silicon under specific processing conditions, and will not react with adjacent materials, such as silicon oxide material.
  • a layer of cobalt is sputtered onto silicon, typically patterned on a substrate surface, and then subjected to a thermal annealing process to form cobalt silicide (CoSi).
  • Unreacted cobalt such as cobalt deposited outside the patterned silicon or on a protective layer of silicon oxide, can thereafter be selectively etched away.
  • the selective reaction of cobalt silicide will result in maskless, self-aligned formation of a low-resistivity refractory metal silicide in source, drain, and polysilicon gate regions formed on the substrate surface and in interconnecting conductors of the semiconductor device.
  • further processing of the substrate may occur, such as additional thermal annealing, which may be used to further reduce the sheet resistance of the silicide material and complete formation of cobalt silicide (CoSi 2 ).
  • Oxide formation on the surface of the substrate can result in increasing the resistance of silicide layers as well reducing the reliability of the overall circuit.
  • oxidation of the deposited cobalt material may result in cobalt agglomeration and irregular growth of the silicide layer.
  • the agglomeration and irregular growth of the cobalt layer may result in device malformation, such as source and drain electrodes having different thicknesses and surface areas.
  • excess cobalt silicide growth on substrate surface may form conductive paths between devices, which may result in short circuits and device failure.
  • One solution to limiting cobalt and silicon contamination has been to sputter a capping film of titanium and/or titanium nitride on the cobalt and silicon film prior to transferring the substrate between processing systems.
  • the capping film is then removed after annealing the substrate and prior to further processing of the substrate.
  • titanium and titanium nitride deposition and removal processes increases the number of processing steps required for silicide formation, thereby reducing process efficiency, increasing processing complexity, and reducing substrate through-put.
  • ULSI circuits also include the formation of interconnects or contacts between conductive layers, such as the cobalt silicide layer described above and a copper feature.
  • Interconnects or contacts generally comprise a feature definition formed in a dielectric material, such as silicon oxide, a barrier layer deposited on the feature definition, and a metal layer fill or “plug” of the feature definition.
  • a dielectric material such as silicon oxide
  • a barrier layer deposited on the feature definition
  • a metal layer fill or “plug” of the feature definition Titanium and titanium nitride films have been used as barrier layer material for the metal layer, such as tungsten, and the films are generally deposited by a physical vapor deposition technique.
  • deposition of titanium over silicon surfaces presents the problem of titanium silicide formation.
  • Titanium silicide has been observed to agglomerate, which detrimentally affects subsequently deposited materials. Also, titanium silicide exhibits a radical increase in sheet resistance as feature sizes decrease below 0.17 ⁇ m, which detrimentally affects the conductance of the feature being formed. Further, titanium silicide has an insufficient thermal stability during processing of the substrate at temperatures of about 400° C. or higher, which can result in interlayer diffusion and detrimentally affect device performance.
  • titanium and titanium nitride PVD deposition often occur at extremely low processing pressures, i.e., less than 5 ⁇ 10 ⁇ 3 Torr, compared with CVD deposition of materials such as tungsten, which may be deposited as high as about 300 Torr.
  • the increase in the number of systems results in increased production costs, increased production times, and exposes the processed substrate to contamination when transferred between systems.
  • Embodiments of the invention described herein generally provide methods and apparatus for forming a metal barrier or a metal silicide layer using a deposition and/or annealing process.
  • a system for processing a substrate including a load lock chamber, an intermediate substrate transfer region comprising a first substrate transfer chamber and a second substrate transfer chamber, wherein the first substrate transfer chamber is operated at a first pressure and the second transfer chamber is operated at a second pressure less than the first pressure and the first transfer chamber is coupled to the load lock chamber and the second substrate transfer chamber is coupled to the first substrate transfer chamber, at least one physical vapor deposition (PVD) processing chamber coupled to the first substrate transfer chamber, at least one chemical vapor deposition (CVD) processing chamber coupled to the second substrate transfer chamber, and at least one annealing chamber coupled to the second substrate transfer chamber.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • a method for processing a substrate including positioning a substrate having a silicon material disposed thereon with patterned feature definitions formed therein in a substrate processing system, depositing a first metal layer on the substrate surface in a first processing chamber disposed on the processing system by a physical vapor deposition technique, a chemical vapor deposition technique or an atomic layer deposition technique, forming a metal silicide layer by reacting the silicon material and the first metal layer, and depositing a second metal layer in situ on the substrate in a second processing chamber disposed on the processing system by a chemical vapor deposition technique.
  • a method for processing a substrate including positioning a substrate having feature definitions formed in a silicon-containing material in a substrate processing system, depositing a metal layer on the silicon-containing material in the feature definitions, wherein the metal layer comprises cobalt, nickel, or combinations thereof, and depositing a tungsten layer on the metal layer by a chemical vapor deposition technique at a temperature sufficient to form a metal suicide layer at an interface of the silicon-containing material and the metal layer.
  • a method for processing a substrate including positioning a substrate having feature definitions formed in a silicon-containing material in a substrate processing system, depositing a metal layer on the silicon-containing material in the feature definitions in a physical vapor deposition chamber, annealing the substrate in the physical vapor deposition chamber to form a metal silicide layer at an interface of the silicon-containing material and the metal layer, annealing the substrate to substantially convert the metal layer to metal silicide, and depositing a tungsten layer on the metal layer in a chemical vapor deposition chamber.
  • a method for processing a substrate including positioning a substrate having a silicon material disposed thereon with patterned feature definitions formed therein in a first processing chamber, exposing the substrate to a plasma cleaning process in a first processing chamber, depositing a cobalt layer on the substrate surface and in the feature definitions by a physical vapor deposition technique in a second processing chamber, annealing the substrate at a first temperature in the second processing chamber to partially form a cobalt silicide layer, annealing the substrate at a second temperature greater than the first temperature in a third processing chamber to substantially form the cobalt silicide layer, and depositing a tungsten layer on the cobalt silicide layer by a chemical vapor deposition technique in a fourth processing chamber, wherein the first, second, third, and fourth processing chamber are disposed on one vacuum processing system.
  • a method for processing a substrate including positioning a substrate having feature definitions formed in a silicon-containing material in a substrate processing system, depositing a metal layer on the silicon-containing material in the feature definitions, wherein the metal layer comprises cobalt, nickel, or combinations thereof, annealing the substrate at a first temperature to form a metal silicide layer, depositing a tungsten layer on the metal layer by a chemical vapor deposition technique, and annealing the substrate at a second temperature greater than the first temperature.
  • FIG. 1 is schematic top view of one embodiment of an integrated multi-chamber apparatus
  • FIG. 2 is schematic top view of another embodiment of an integrated multi-chamber apparatus
  • FIG. 3 is a cross-sectional view of one embodiment of a sputtering chamber included within the invention.
  • FIG. 4 is an expanded view of FIG. 3 including upper area of the shields near the target;
  • FIG. 5 is a plan view of one embodiment of a ring collimator
  • FIG. 6 is a partial plan view of one embodiment of a honeycomb collimator
  • FIG. 7A is a cross-sectional view of one embodiment of a pedestal for annealing a substrate
  • FIG. 7B is a cross-sectional view of another embodiment of a pedestal for annealing a substrate
  • FIGS. 8A-8C are schematic sectional views of one deposition process described herein.
  • FIG. 9 is a simplified sectional view of a silicide material used as a contact with a transistor.
  • Embodiments of the invention described herein provide methods and apparatus for forming a metal silicide layer in a deposition chamber or substrate processing system.
  • One embodiment described below in reference to a physical vapor deposition (PVD) process is provided to illustrate the invention, and should not be construed or interpreted as limiting the scope of the invention. Aspects of the invention may be used to advantage in other processes, such as chemical vapor deposition, in which an anneal is desired for forming metal silicide layers.
  • PVD physical vapor deposition
  • FIG. 1 is shows an integrated multi-chamber substrate processing system suitable for performing at least one embodiment of the physical vapor deposition, the chemical vapor deposition, and annealing processes described herein.
  • the deposition and annealing processes may be performed in a multi-chamber processing system or cluster tool having a PVD chamber and a CVD chamber disposed thereon.
  • One processing platform that may be used to advantage is an EnduraTM processing platform commercially available from Applied Materials, Inc., located in Santa Clara, Calif.
  • FIG. 1 is a schematic top view of one embodiment a processing platform 35 including two transfer chambers 48 , 50 , transfer robots 49 , 51 , disposed in transfer chambers 48 , 50 respectfully, and a plurality of processing chambers 36 , 38 , 40 , 41 , and 42 , disposed on the two transfer chambers 48 , 50 .
  • the first transfer chamber 48 and the second transfer chamber 50 are separated by pass-through chambers 52 , which may comprise cooldown or pre-heating chambers. Pass-through chambers 52 also may be pumped down or ventilated during substrate handling when the first transfer chamber 48 and the second transfer chamber 50 operate at different pressures.
  • the first transfer chamber 48 may operate between about 100 milliTorr and about 5 Torr, such as about 400 milliTorr, and the second transfer chamber 50 may operate between about 1 ⁇ 10 ⁇ 5 Torr and about 1 ⁇ 10 ⁇ 8 Torr, such as about 1 ⁇ 10 ⁇ 7 .
  • the processing platform 35 is automated by programming a microprocessor controller 54 .
  • the first transfer chamber 48 is coupled with two degas chambers 44 , two load lock chambers 46 , a reactive pre-clean chamber 42 , at least one physical vapor deposition chamber, preferably a long throw physical vapor deposition (PVD) chamber 36 , and the pass-through chambers 52 .
  • the pre-clean chamber may be a PreClean II chamber, commercially available from Applied Materials, of Santa Clara, Calif.
  • Substrates (not shown) are loaded into the wafer processing system 35 through load-lock chambers 46 . Thereafter, the substrates are sequentially degassed and cleaned in degas chambers 44 and the pre-clean chamber 42 , respectively.
  • the transfer robot 49 moves the substrate between the degas chambers 44 and the pre-clean chamber 42 . The substrate may then be transferred to the long throw PVD chamber 36 for deposition of a material thereon.
  • the second transfer chamber 50 is coupled to a cluster of process chambers 38 , 40 , 41 , and 43 .
  • Chambers 38 and 40 may be chemical vapor deposition (CVD) chambers for depositing materials, such as tungsten, as desired by the operator.
  • CVD chemical vapor deposition
  • An example of a suitable CVD chamber includes WxZTM chambers, commercially available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the CVD chambers are preferably adapted to deposit materials by atomic layer deposition (ALD) techniques as well as by conventional chemical vapor deposition techniques.
  • Chambers 41 and 43 may be Rapid Thermal Annealing (RTA) chambers, or Rapid Thermal Process (RTP) chambers, that can anneal substrates at vacuum or near vacuum pressures.
  • RTA Rapid Thermal Annealing
  • RTP Rapid Thermal Process
  • RTA chamber 41 is a RADIANCETM chamber, commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • the chambers 41 and 43 may be WxZTM deposition chambers capable of performing high temperature CVD deposition, annealing processes, or in situ deposition and annealing processes.
  • the PVD processed substrates are moved from transfer chamber 48 into transfer chamber 50 via pass-through chambers 52 . Thereafter, transfer robot 51 moves the substrates between one or more of the process chambers 38 , 40 , 41 , and 43 for material deposition and annealing as required for processing.
  • RTA chambers may also be disposed on the first transfer chamber 48 of the processing platform 35 to provide post deposition annealing processes prior to substrate removal from the platform 35 or transfer to the second transfer chamber 50 .
  • a plurality of vacuum pumps is disposed in fluid communication with each transfer chamber and each of the processing chambers to independently regulate pressures in the respective chambers.
  • the pumps may establish a vacuum gradient of increasing pressure across the apparatus from the load lock chamber to the processing chambers.
  • a plasma etch chamber such as a Decoupled Plasma Source chamber (DPSTM chamber) manufactured by Applied Materials, Inc., of Santa Clara, Calif.
  • DPSTM chamber Decoupled Plasma Source chamber
  • the etch chamber may be used to remove unreacted cobalt material from the substrate surface.
  • the invention also contemplates the use of other etch processes and apparatus, such as a wet etch chamber, used in conjunction with the process and apparatus described herein.
  • FIG. 2 is a schematic top view of another embodiment of an integrated multi-chamber substrate processing system suitable for performing at least one embodiment the physical vapor deposition, chemical vapor deposition, and annealing processes described herein.
  • the first transfer chamber 48 is coupled to a cluster of process chambers 38 , 40 , 41 , and 43 , two load lock chambers 46 , and pass-through chambers 52 .
  • Chambers 41 and 43 may be a RTA chambers that can anneal substrates at vacuum or near vacuum pressures, such as the RADIANCETM chamber, and chambers 38 and 40 are CVD chambers, such as WxZTM chambers.
  • the first transfer chamber 48 may operate between about 1 ⁇ 10 ⁇ 5 Torr and about 1 ⁇ 10 ⁇ 8 Torr, such as about 1 ⁇ 10 ⁇ 7
  • the second transfer chamber 50 may operate between about 100 milliTorr and about 5 Torr, such as about 400 milliTorr.
  • chambers 41 and 43 may be WxZ chambers capable of performing high temperature CVD deposition, annealing processes, or in situ deposition and annealing processes.
  • the pass-through chambers 52 may additionally perform as degas chambers in addition to heating, cooling, and transporting functions.
  • the second transfer chamber 50 is coupled to reactive pre-clean chambers 42 , one or more long throw physical vapor deposition (PVD) chambers 36 , and pass-through chambers 52 .
  • the second transfer chamber 50 configuration allows for substrate pre-cleaning, such as by a plasma clean method, and PVD deposition at a vacuum pressure of 1 ⁇ 10 ⁇ 8 prior to transfer to a higher pressure transfer chamber 48 .
  • the first transfer configuration allows higher pressure processing, such as annealing, compared to PVD processing, to be performed in the transfer chamber adjacent the loadlocks 46 and prior to substrate removal.
  • the higher pressure first transfer chamber in this embodiment allows for reduced pump down times and reduced equipment costs compared to configuration of system 35 using a near vacuum pressure, such as between about 1 ⁇ 10 ⁇ 5 Torr and about 1 ⁇ 10 ⁇ 8 Torr, at the first transfer chamber 48 .
  • FIG. 3 illustrates one embodiment of a long throw physical vapor deposition chamber.
  • suitable long throw PVD chambers are ALPS plusTM and SIPTM PVD processing chambers, both commercially available from Applied Materials, Inc., Santa Clara, Calif.
  • the long throw PVD chamber 36 contains a sputtering source, such as a target 142 , and a substrate support pedestal 152 for receiving a semiconductor substrate 154 thereon and located within a grounded enclosure wall 150 , which may be a chamber wall as shown or a grounded shield.
  • a sputtering source such as a target 142
  • a substrate support pedestal 152 for receiving a semiconductor substrate 154 thereon and located within a grounded enclosure wall 150 , which may be a chamber wall as shown or a grounded shield.
  • the chamber 36 includes a target 142 supported on and sealed, as by O-rings (not shown), to a grounded conductive aluminum adapter 144 through a dielectric isolator 146 .
  • the target 142 comprises the material to be deposited on the substrate surface during sputtering, and may include cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof, which are used in forming metal silicide layers.
  • elemental cobalt, nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys may be deposited by using alloy targets or multiple targets in the chamber.
  • the target may also include a bonded composite of a metallic surface layer and a backing plate of a more workable metal.
  • a pedestal 152 supports a substrate 154 to be sputter coated in planar opposition to the principal face of the target 142 .
  • the substrate support pedestal 152 has a planar substrate-receiving surface disposed generally parallel to the sputtering surface of the target 142 .
  • the pedestal 152 is vertically movable through a bellows 158 connected to a lower chamber wall 160 to allow the substrate 154 to be transferred onto the pedestal 152 through an load lock valve (not shown) in the lower portion of the chamber and thereafter raised to a deposition position.
  • Processing gas is supplied from a gas source 162 through a mass flow controller 164 into the lower part of the chamber.
  • a controllable DC power source 148 coupled to the chamber 36 may be used to apply a negative voltage or bias to the target 142 .
  • An RF power supply 156 may be connected to the pedestal electrode 152 in order to induce a negative DC self-bias on the substrate 154 , but in other applications the pedestal 152 is grounded or left electrically floating.
  • a rotatable magnetron 170 is positioned in back of the target 142 and includes a plurality of horseshoe magnets 172 supported by a base plate 174 connected to a rotation shaft 176 coincident with the central axis of the chamber 140 and the substrate 154 .
  • the horseshoe magnets 172 are arranged in closed pattern typically having a kidney shape.
  • the magnets 142 produce a magnetic field within the chamber, generally parallel and close to the front face of the target 142 to trap electrons and thereby increase the local plasma density, which in turn increases the sputtering rate.
  • the magnets 172 produce an electromagnetic field around the top of the chamber, which magnets are rotated to rotate the electromagnetic field which influences the plasma density of the process to more uniformly sputter the target 142 .
  • the chamber 36 of the invention includes a grounded bottom shield 180 having, as is more clearly illustrated in the exploded cross-sectional view of FIG. 4, an upper flange 182 supported on and electrically connected to a ledge 184 of the adapter 144 .
  • a dark space shield 186 is supported on the flange 182 of the bottom shield 180 , and fasteners (not shown), such as screws recessed in the upper surface of the dark space shield 186 fix it and the flange 182 to the adapter ledge 184 having tapped holes receiving the screws.
  • This metallic threaded connection allows the two shields 180 , 186 to be grounded to the adapter 144 .
  • the adapter 144 in turn is sealed and grounded to an aluminum chamber sidewall 150 .
  • Both shields 180 , 186 are typically formed from hard, non-magnetic stainless steel.
  • a rotatable magnetron 170 is positioned in back of the target 142 and includes a plurality of horseshoe magnets 172 supported by a base plate 174 connected to a rotation shaft 176 coincident with the central axis of the chamber 140 and the substrate 154 .
  • the horseshoe magnets 172 are arranged in closed pattern typically having a kidney shape.
  • the magnets 172 produce a magnetic field within the chamber, generally parallel and close to the front face of the target 142 to trap electrons and thereby increase the local plasma density, which in turn increases the sputtering rate.
  • the magnets 172 produce an electromagnetic field around the top of the chamber, which magnets are rotated to rotate the electromagnetic field which influences the plasma density of the process to more uniformly sputter the target 142 .
  • the bottom shield 180 extends downwardly in a upper generally tubular portion 194 of a first diameter and a lower generally tubular portion 196 of a smaller second diameter to extend generally along the walls of the adapter 144 and the chamber body 150 to below the top surface of the pedestal 152 . It also has a bowl-shaped bottom including a radially extending bottom portion 198 and an upwardly extending inner portion 100 just outside of the pedestal 152 .
  • a cover ring 102 rests on the top of the upwardly extending inner portion 100 of the bottom shield 180 when the pedestal 152 is in its lower, loading position but rests on the outer periphery of the pedestal 152 when it is in its upper, deposition position to protect the pedestal 152 from sputter deposition.
  • An additional deposition ring (not shown) may be used to shield the periphery of the substrate 154 from deposition.
  • the chamber 36 may also be adapted to provide a more directional sputtering of material onto a substrate.
  • directional sputtering may be achieved by positioning a collimator 110 between the target 142 and the substrate support pedestal 152 to provide a more uniform and symmetrical flux of deposition material on the substrate 154 .
  • a metallic ring collimator 110 rests on the ledge portion 106 of the lower shield, thereby grounding the collimator 110 .
  • the ring collimator 110 includes a plurality of concentric tubular sections, for example, three concentric tubular sections 112 , 114 , 116 linked by cross struts 118 , 120 as shown in FIG. 5.
  • the outer tubular section 116 rests on the ledge portion 106 of the lower shield 180 .
  • the use of the lower shield 180 to support the collimator 110 simplifies the design and maintenance of the chamber.
  • At least the two inner tubular sections 112 , 114 are of sufficient length to define high aspect-ratio apertures that partially collimate the sputtered particles.
  • the upper surface of the collimator 110 acts as a ground plane in opposition to the biased target 142 , particularly keeping plasma electrons away from the substrate 154 .
  • FIG. 6 Another type of collimator usable with the invention is a honeycomb collimator 124 , partially illustrated in the plan view of FIG. 6 having a mesh structure with hexagonal walls 126 separating hexagonal apertures 128 in a close-packed arrangement.
  • An advantage of the honeycomb collimator 124 is, if desired, the thickness of the collimator 124 can be varied from the center to the periphery of the collimator, usually in a convex shape, so that the apertures 128 have aspect ratios that are likewise varying across the collimator 124 .
  • the collimator may have one or more convex sides. This allows the sputter flux density to be tailored across the substrate, permitting increased uniformity of deposition. Collimators that may be used in the PVD chamber are described in U.S. Pat. No. 5,650,052, issued Jul. 22, 1997, which is hereby incorporated by reference herein to the extent not inconsistent with aspects of the invention and claims described herein.
  • FIG. 7A One embodiment of a substrate support pedestal 152 is shown in FIG. 7A.
  • the substrate support pedestal 152 is suitable for use in a high temperature high vacuum annealing process.
  • the substrate support pedestal 152 includes a heating portion 210 disposed on a base 240 coupled to a shaft 245 .
  • the heating portion 210 generally includes heating elements 250 disposed in a thermally conducting material 220 and a substrate support surface 275 .
  • the thermally conducting material 220 may be any material that has sufficient thermal conductance at operating temperatures for efficient heat transfer between the heating elements 250 and a substrate support surface 275 .
  • An example of the conducting material is steel.
  • the substrate support surface 275 may include a dielectric material and typically includes a substantially planar receiving surface for a substrate 280 disposed thereon.
  • the heating elements 250 may be resistive heating elements, such as electrically conducting wires having leads embedded within the conducting material 220 , and are provided to complete an electrical circuit by which electricity is passed through the conducting material 220 .
  • An example of a heating element 250 includes a discrete heating coil disposed in the thermally conducting material 220 . Electrical wires connect an electrical source (not shown), such as a voltage source, to the ends of the electrically resistive heating coil to provide energy sufficient to heat the coil.
  • the coil may take any shape that covers the area of the substrate support pedestal 152 . More than one coil may be used to provide additional heating capability, if needed.
  • the fluid channels 290 may be coupled to a surface of the heating portion 210 and may provide for either heating or cooling of the substrate support pedestal 152 .
  • the fluid channels 290 may include a concentric ring or series of rings, or other desired configuration, having fluid inlets and outlets for circulating a liquid from a remotely located fluid source 294 .
  • the fluid channels 290 are connected to the fluid source 294 by fluid passage 292 formed in the shaft 245 of substrate support pedestal 152 .
  • Embodiments of the substrate support pedestal 152 including both heating elements 250 coupled to a power source and fluid channels 290 cooled by a thermal medium passing through fluid conductors connected fluid source, i.e., a liquid heat exchanger generally achieve temperature control of the surface of the substrate support pedestal 152 .
  • Temperature sensors 260 may be attached to or embedded in the substrate support pedestal 152 , such as adjacent the heating portion 210 , to monitor temperature in a conventional manner. For example, measured temperature may be used in a feedback loop to control electric current applied to the resistive heaters from a power supply, such that substrate temperature can be maintained or controlled at a desired temperature or within a desired temperature range.
  • a control unit (not shown) may be used to receive a signal from temperature sensor and control the heat power supply or a fluid source in response.
  • the power supply and the fluid supply of the heating and cooling components are generally located external of the chamber 36 .
  • the utility passages, including the fluid passage 294 are disposed axially along the base 240 and shaft 245 of the substrate support pedestal 152 .
  • a protective, flexible sheath 295 is disposed around the shaft 245 and extends from the substrate support pedestal 152 to the chamber wall (not shown) to prevent contamination between the substrate support pedestal 152 and the inside of the chamber.
  • the substrate support pedestal 152 may further contain gas channels (not shown) fluidly connecting with the substrate receiving surface 275 of the heating portion 210 to a source of backside gas (not shown).
  • the gas channels define a backside gas passage of a heat transfer gas or masking gas between the heating portion 210 and the substrate 280 .
  • the power supply and the fluid supply of the heating and cooling components are generally located external of the chamber 36 .
  • the utility passages, including the fluid passage 292 are disposed axially along the base 240 and shaft 245 of the substrate support pedestal 152 .
  • a protective, flexible sheath 295 is disposed around the shaft 245 and extends from the substrate support pedestal 152 to the chamber wall (not shown) to prevent contamination between the substrate support pedestal 152 and the inside of the chamber.
  • the electrodes 230 are disposed in the thermally conducting material 220 in a spaced relationship with the heating elements 250 disposed therein.
  • the heating elements 250 are generally disposed in a vertically spaced and parallel manner from the electrodes 230 in the thermally conducting material 220 .
  • the electrodes are disposed between the heating elements and the substrate receiving surface 275 though other configurations may be used.
  • the embodiments of the substrate support pedestals 152 described above may be used to support a substrate in a high vacuum anneal chamber.
  • the high vacuum anneal chamber may include substrate support pedestals 152 disposed in a PVD chamber, such as the long throw chamber 36 described herein, with a blank target disposed therein or without a target and without bias coupled to either the target or substrate support pedestal.
  • Embodiments of the substrate support pedestal 152 are described above and are provided for illustrative purposes and should not be construed or interpreted as limiting the scope of the invention.
  • suitable electrostatic chucks that may be used for the support pedestal include MCATM Electrostatic E-chuck or Pyrolytic Boron Nitride Electrostatic E-Chuck, both available from Applied Materials, Inc., of Santa Clara, Calif.
  • substrate support pedestal 152 may be used to anneal the substrate
  • commercially available anneal chambers such as rapid thermal anneal (RTA) chambers may also be used to anneal the substrate to form the silicide films.
  • RTA rapid thermal anneal
  • the invention contemplates utilizing a variety of thermal anneal chamber designs, including hot plate designs and heated lamp designs, to enhance the electroplating results.
  • One particular thermal anneal chamber useful for the present invention is the WxZTM chamber available from Applied materials, Inc., located in Santa Clara, Calif.
  • One particular hot plate thermal anneal chamber useful for the present invention is the RTP XEplus Centura® thermal processing chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • One particular lamp anneal chamber is the RadianceTM thermal processing chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the processing chambers 36 , 38 , 40 , 41 , and 43 are each controlled by a microprocessor controller 54 .
  • the microprocessor controller 54 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling process chambers as well as sub-processors.
  • the computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard drive, or any other form of digital storage, local or remote.
  • Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner.
  • Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
  • the process sequence routines are executed after the substrate is positioned on the pedestal.
  • the software routines when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed.
  • the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • the substrate 154 is positioned on the substrate support pedestal 152 and plasma is generated in the chamber 36 .
  • a long throw distance of at least about 90 mm separates the target 142 and the substrate.
  • the substrate support pedestal 152 and the target 142 may be separated by a distance between about 100 mm and about 300 mm for a 200 mm substrate.
  • the substrate support pedestal 152 and the target 142 may be separated by a distance between about 150 mm and about 460 mm for a 300 mm substrate. Any separation between the substrate and target that is greater than 50% of the substrate diameter is considered a long throw processing chamber.
  • the sputtering process is performed by applying a negative voltage typically includes between about between about 0 V and about 2400 V to the target 142 to excite the gas into a plasma state.
  • the D.C. power supply 148 or another power supply may be used to apply a negative bias, for example, between about 0 V and about 700 V, to the substrate support pedestal 152 . Ions from the plasma bombard the target 142 to sputter atoms and larger particles onto the substrate 154 disposed below.
  • the power supplied is expressed in voltage, power may also be expressed as kilowatts or a power density (W/cm 2 ).
  • the amount of power supplied to the chamber may be varied depending upon the amount of sputtering and the size of the substrate size being processed.
  • Processing gas used for the sputtering process is introduced into the processing chamber 36 via the mass flow controller 164 .
  • the processing gas includes non-reactive or inert species such as argon (Ar), xenon (Xe), helium (He), or combinations thereof.
  • a vacuum pumping system 166 connected through a pumping port 168 in the lower chamber is used to maintain the chamber at a base pressure of less than about 1 ⁇ 10 ⁇ 6 Torr, such as about 1 ⁇ 10 ⁇ 8 Torr, but the processing pressure within the chamber is typically maintained at between 0.2 milliTorr and 2 milliTorr, preferably less than 1 milliTorr, for cobalt sputtering.
  • a substrate is disposed on the substrate support pedestal, and the substrate is heated, with or without the presence of a backside gas, by the heating elements 250 to the desired processing temperature, processed for sufficient time to anneal the substrate for the desired anneal results, and then removed from the chamber.
  • the heating elements 250 of the substrate support pedestal 152 can heat the substrate from room temperature, i.e., about 20° C. to about 900° C. and the fluid channels may cool the substrate to a temperature of about 0° C.
  • the combination of heating elements 250 and the fluid channels 290 are generally used to control the temperature of a substrate 280 between about 10° C. and about 900° C., subject to properties of materials used in substrate support pedestal 152 and the process parameters used for processing a substrate in the chamber 36 .
  • Embodiments of the processes described herein relate to depositing metal and metal silicide barrier layers for feature definitions.
  • a first metal layer is deposited on a silicon-containing material and annealed to form a metal silicide layer.
  • a second metal layer is then deposited on the metal silicide layer to fill the feature.
  • the annealing process for to form the metal silicide layer may be performed in multiple annealing steps.
  • the deposition of the first metal layer, the second metal layer, and any required annealing step are preferably performed without breaking vacuum in one vacuum processing system.
  • the two step annealing process is used to convert the metal layer to a first phase of metal silicide, such as converting cobalt and silicon to a first cobalt silicide (CoSi) phase, or partially convert the metal to a metal silicide.
  • the second annealing step the metal is substantially converted to the desired silicide phase, such as such as converting the first cobalt silicide (CoSi) phase to a cobalt suicide (CoSi 2 ) product with desired barrier layer properties.
  • Metal silicide is broadly defined herein as a alloy of metal and silicon, which may exist in multiple phases.
  • the first annealing step may be performed in the same chamber as the deposition chamber, an annealing chamber, such as a vacuum annealing chamber, or during deposition of subsequent materials, such as during a chemical vapor deposition of the second metal.
  • the second annealing step may be performed before or after the deposition of the second metal.
  • the second annealing process generally has a higher annealing temperature than the first annealing temperature.
  • the metal silicide layer may be formed in situ, such as in a deposition chamber or in a processing system without breaking vacuum, prior to or concurrently with depositing a metal layer by a chemical vapor deposition technique.
  • In situ is broadly defined herein as performing two or more processes in the same chamber or in the same processing system without breaking vacuum or transfer to a separate apparatus or system.
  • in situ annealing may be performed in the same processing chamber as the metal deposition and in situ deposition may performed in a processing chamber adjacent to the deposition chamber, both of which are coupled to a transfer chamber, and the vacuum on the transfer chamber is not broken during processing.
  • in situ processing may be performed on the same processing system at separate processing pressures, such as processing a substrate in processing chambers and annealing chambers disposed on the first and second transfer chambers 48 , 50 , respectfully, in system 35 without breaking the vacuum on the system 35 or transfer of the substrate to another processing system.
  • the invention contemplates the use of other materials, including titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, and combinations thereof, and other alloys including nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys, to form the metal silicide material as described herein.
  • the surface of the substrate may be cleaned to remove contaminants, such as oxides formed on exposed.
  • the cleaning process may be performed by a wet etch processes, such as exposure to a hydrofluoric solution, or by a plasma cleaning process, such as exposure to a plasma of an inert gas, a reducing gas, such as hydrogen or ammonia, or combinations thereof.
  • the cleaning process may also be performed prior between processing steps to minimize contamination of the substrate surface during processing.
  • the plasma clean process may be performed in the PreClean II processing chamber and the RPC+ processing chamber described herein, of which both are commercially available form Applied Materials, Inc., of Santa Clara Calif.
  • the reactive pre-clean process forms radicals from a plasma of one or more gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, and combinations thereof.
  • a pre-clean gas may include a mixture of tetrafluorocarbon (CF 4 ) and oxygen (O 2 ), or a mixture of helium (He) and nitrogen trifluoride (NF 3 ). More preferably, the pre-clean gas is a mixture of helium and nitrogen trifluoride.
  • the plasma is typically generated by applying a power between about 500 and about 2,000 watts RF at a frequency between about 200 KHz and about 114 MHz.
  • the flow of helium ranges between about 100 and about 500 sccm and the flow of nitrogen trifluoride typically ranges between about 100 sccm and about 500 sccm for 200 mm substrates.
  • the plasma treatment lasts between about 10 and about 150 seconds.
  • the plasma is generated in one or more treatment cycles and purged between cycles. For example, four treatment cycles lasting 35 seconds each is effective.
  • the substrate may be pre-cleaned using an argon plasma first and then a hydrogen plasma.
  • a first pre-clean gas comprising greater than about 50% argon by number of atoms is introduced at a pressure of about 0.8 milliTorr.
  • a plasma of the argon gas is struck to subject the substrate 110 to an argon sputter cleaning environment.
  • the argon plasma is preferably generated by applying between about 50 watts and about 500 watts of RF power.
  • the argon plasma is maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the deposits that are not readily removed by a reactive hydrogen plasma.
  • the chamber pressure is increased to about 140 milliTorr, and a second pre-clean gas consisting essentially of hydrogen and helium is introduced into the processing region.
  • the processing gas comprises about 5% hydrogen and about 95% helium.
  • the hydrogen plasma is generated by applying between about 50 watts and about 500 watts power. The hydrogen plasma is maintained for about 10 seconds to about 300 seconds.
  • a first metal layer may be deposited on a substrate disposed in chamber 36 as a barrier layer for a second metal layer “plug” or may be deposited and annealed on the substrate pedestal 152 to form the metal silicide layer without breaking vacuum.
  • the substrate includes dielectric materials, such as silicon or silicon oxide materials, disposed thereon and is generally patterned to define features into which metal films may be deposited or metal silicide films will be formed.
  • the first metal layer may be deposited by a physical vapor deposition technique, a chemical vapor deposition technique, or an atomic layer deposition technique.
  • the substrate may be pre-cleaned using an argon plasma first and then a hydrogen plasma.
  • a first pre-clean gas comprising greater than about 50% argon by number of atoms is introduced at a pressure of about 0.8 milliTorr.
  • a plasma of the argon gas is struck to subject the substrate 154 to an argon sputter cleaning environment.
  • the argon plasma is preferably generated by applying between about 50 watts and about 500 watts of RF power.
  • the argon plasma is maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the deposits that are not readily removed by a reactive hydrogen plasma.
  • Plasma is generated by applying a negative voltage to the target 142 between about 0 volts (V) and about ⁇ 2400 V.
  • negative voltage is applied to the target 142 at between about 0 V and about ⁇ 1000 V to sputter material on a 200 mm substrate.
  • a negative voltage between about 0 V and about ⁇ 700 V may be applied to the substrate support pedestal 152 to improve directionality of the sputtered material to the substrate surface.
  • the substrate is maintained at a temperature between about 10° C. and about 600° C. during the deposition process.
  • An example of a deposition process includes introducing an inert gas, such as argon, into the chamber at a flow rate between about 5 sccm and about 30 sccm, maintaining a chamber pressure between about 0.2 milliTorr and about 1.0 milliTorr, applying a negative bias of between about 0 volts and about 1000 volts to the target 142 to excite the gas into a plasma state, maintaining the substrate at a temperature between about 10° C. and about 600° C., preferably about 50° C. and about 300° C., and most preferably, between about 50° C. and about 100° C.
  • an inert gas such as argon
  • Cobalt may be deposited on the silicon material at a rate between about 300 ⁇ /min and about 2000 ⁇ /min using this process.
  • a collimator may be used with the process described herein with minimal detrimental affect on deposition rate.
  • the barrier material such as cobalt or nickel described above, may be deposited by another method using the apparatus shown in FIGS. 1 and 2.
  • the cobalt material may be deposited by a chemical vapor deposition (CVD) technique, an atomic layer deposition (ALD) technique, an ionized magnetic plasma PVD (IMP-PVD) technique, a self-ionized plasma PVD (SIP-PVD) technique or combinations thereof.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • IMP-PVD ionized magnetic plasma PVD
  • SIP-PVD self-ionized plasma PVD
  • the cobalt material may be deposited by CVD in a CVD chamber, such as chamber 38 of the processing platform 35 as shown in FIG. 1, or by ALD in a ALD chamber or CVD chamber disposed at position 38 , as shown in FIG. 1.
  • a layer of a barrier material such as titanium or titanium nitride
  • the layer of barrier material improves resistance to interlayer diffusion of the second metal layer into the underlying substrate or silicon material. Additionally, the layer of barrier material may improve interlayer adhesion between the first and second metal layers.
  • Suitable barrier layer materials include titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, titanium-tungsten alloy, and combinations thereof.
  • the layer of barrier materials may be deposited by a chemical vapor deposition (CVD) technique, an atomic layer deposition (ALD) technique, an ionized magnetic plasma PVD (IMP-PVD) technique, a self-ionized plasma PVD (SIP-PVD) technique or combinations thereof.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • IMP-PVD ionized magnetic plasma PVD
  • SIP-PVD self-ionized plasma PVD
  • the substrate is then transferred to a chemical vapor deposition chamber for the deposition of a second metal layer, such as tungsten, on the first metal layer, such as cobalt or nickel.
  • a second metal layer such as tungsten
  • Tungsten may be deposited by a chemical vapor deposition (CVD) technique. Tungsten may be deposited at a sufficient temperature, such as between about 300° C. and about 500° C., to initiate the formation of a metal silicide, such as cobalt suicide.
  • the metal silicide may be formed from part or all of the first metal layer.
  • An annealing step in the processing chamber such as the WxZTM, capable of annealing processes may be performed in the chamber prior to material deposition.
  • Such an annealing step is performed at a temperature between about 300° C. and about 900° C., such as between about 300° C. and about 400° C.
  • a thin layer or silicon, or “silicon soak” may be deposited on the barrier layer prior to deposition of any tungsten material.
  • the silicon deposition may be performed in situ with the same chemical vapor deposition chamber as the tungsten material deposition.
  • a tungsten nucleation step may be performed prior to a main tungsten deposition.
  • the tungsten nucleation step may be performed in situ by an atomic layer deposition (ALD) technique or CVD process in the same chemical vapor deposition chamber as the main tungsten deposition or subsequent tungsten deposition.
  • ALD atomic layer deposition
  • An example of a tungsten chemical vapor deposition process includes depositing a silicon layer, also known as a silicon soak layer, a tungsten nucleation layer deposition, and a main, or bulk, tungsten deposition.
  • the silicon layer is deposited by introducing a silane gas (or silane gas derivative) into the chamber at a flow rate between about 50 sccm and about 100 sccm, a reactive gas, such as hydrogen (H 2 ), into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and an inert gas, such as argon or nitrogen, into the chamber at a flow rate between about 500 sccm and about 5000 sccm, maintaining the chamber pressure between about 100 milliTorr and about 300 Torr, and maintaining the substrate temperature between about 300° C. and about 500° C.
  • the process may be performed between about 5 seconds and about 30 seconds.
  • the silicon layer is usually deposited at a thickness of about 1000 ⁇
  • the tungsten nucleation layer is deposited by a process including introducing a tungsten precursor gas, such as tungsten hexafluoride (WF 6 ) or derivative thereof, into the chamber at a flow rate between about 5 sccm and about 60 sccm, a silane gas, SiH 4 , or derivative thereof, into the chamber at a flow rate between about 5 sccm and about 60 sccm, a reactive gas, such as hydrogen (H 2 ), into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and an inert gas, such as argon or nitrogen, into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and maintaining a chamber pressure between about 100 milliTorr and about 300 Torr, and maintaining the substrate temperature between about 300° C. and about 500° C.
  • the process may be performed between about 5 seconds and about 30 seconds.
  • the nucleation layer is
  • the tungsten layer is then deposited on the tungsten nucleation layer by a process including introducing a tungsten precursor gas, such as tungsten hexafluoride (WF 6 ) or derivative thereof, into the chamber at a flow rate between about 25 sccm and about 250 sccm, a reactive gas, such as hydrogen (H 2 ), into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and an inert gas, such as argon or nitrogen, into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and maintaining a chamber pressure between about 100 milliTorr and about 300 Torr, and maintaining the substrate temperature between about 300° C. and about 900° C.
  • the process may be performed between about 5 seconds and about 300 seconds or until a desired thickness is reached.
  • the deposition rate for tungsten is between about 1000 ⁇ /min and about 3000 ⁇ /min.
  • the substrate temperature during the main tungsten deposition process is maintained at sufficient temperature, such as, to initiate the formation of a metal silicide layer from silicon material on the substrate and the first metal layer disposed thereon.
  • a substrate temperature between about 300° C. and about 900° C., such as between about 300° C. and about 400° C., may be maintained to form the silicide layer with diffusion barrier properties simultaneously with tungsten deposition.
  • An example of the tungsten deposition process includes a silicon soak layer formed by introducing a silane gas at a flow rate of about 75 sccm, introducing hydrogen (H 2 ) at a flow rate of about 1000 sccm, introducing argon or nitrogen at a flow rate of about 1500, maintaining the chamber pressure at about 90 Torr, and maintaining the substrate temperature at about 425° C.
  • the process may be performed between about 10 seconds and about 20 seconds.
  • the nucleation layer is deposited by introducing tungsten hexafluoride (WF 6 ) at a flow rate of about 20 sccm, silane gas at a flow of about 10 sccm, hydrogen gas at a flow rate of about 3000 sccm, and argon at a flow rate of about 3000 sccm, and maintaining a chamber pressure at about 30 Torr, and maintaining the substrate temperature at about 425° C. This process may be performed for about 15 seconds.
  • WF 6 tungsten hexafluoride
  • the tungsten layer is deposited by introducing tungsten hexafluoride (WF 6 ) at a flow rate of about 250 sccm, hydrogen gas at a flow rate of about 1000 sccm, and argon at a flow rate of about 3000 sccm, and maintaining a chamber pressure at about 300 Torr, and maintaining the substrate temperature at about 425° C. This process may be performed between about 40 and about 45 seconds.
  • WF 6 tungsten hexafluoride
  • the first metal layer may be annealed in situ by one or more annealing steps at annealing temperature between about 300° C. and about 900° C. to form the metal silicide layer prior to the deposition of the second metal layer.
  • the one or more annealing steps may be for between about 10 seconds and about 600 seconds.
  • a selective etch of the first metal layer and metal silicide layer to remove unreacted first metal material may be performed between two or more annealing steps.
  • Deposition of materials, such as a layer of barrier material or the second metal layer may be performed between two or more annealing steps.
  • the substrate may be annealed under an inert gas environment in the deposition chamber by first introducing an inert gas into the chamber at a flow rate between about 0 sccm (i.e., no backside gas) and about 15 sccm, maintaining a chamber pressure of about 2 milliTorr or less, heating the substrate to a temperature between about 300° C. and about 900° C. for between about 5 seconds and about 600 seconds to form the metal silicide layer.
  • an inert gas i.e., no backside gas
  • a chamber pressure of about 2 milliTorr or less
  • the metal layer may be physical vapor deposited on a silicon substrate in chamber 36 , annealed at a first temperature for a first period of time, transferred to a second chamber, for example chamber 41 , in the system 35 , and annealed at a second temperature for a second period of time to form the metal silicide layer without breaking vacuum.
  • the physical vapor deposition of the metal is performed as described above at a temperature of about 200° C. or less, preferably between about 0° C. and about 100° C.
  • the first step of the two step in situ annealing process described above may be performed under an inert gas environment in the deposition chamber by first introducing an inert gas into the chamber at a flow rate between about 0 sccm and about 15 sccm or less, maintaining a chamber pressure of about 2 milliTorr or less, heating the substrate to a temperature between about 400° C. and about 600° C. for between about 5 seconds and about 300 seconds.
  • the substrate is annealed in the deposition chamber at about 500° C. for between about 60 seconds and about 120 seconds. Performing the first annealing the substrate in the same chamber as the deposition process is preferred over other annealing processes described herein.
  • the substrate is then removed from the deposition chamber and transferred to a vacuum anneal chamber disposed on the same transfer chamber, such as transfer chamber 48 described above in FIG. 1.
  • the high vacuum anneal chamber may include a PVD chamber having a blank target and substrate support pedestal 152 described above or a commercial high vacuum anneal pedestal, such as the High Temperature High Uniformity, HTHUTM substrate support commercially available from Applied Materials Inc., of Santa Clara Calif.
  • the second annealing step may then be performed by maintaining a chamber pressure of about 2 milliTorr or less and heating the substrate to a temperature between about 600° C. and about 900° C. for a period of time between about 5 seconds and about 300 seconds to form the metal silicide layer.
  • the substrate is annealed in the anneal chamber at 800° C. for between about 60 seconds and 120 seconds.
  • the metal layer is deposited according to the process described herein at about 200° C. or less, preferably between about 0° C. and about 100° C., in the deposition chamber.
  • the substrate is then annealed in the deposition chamber according to the anneal process described above.
  • the substrate may then be transferred to a RTA chamber disposed on transfer chamber 50 in FIG. 1 for a second anneal process.
  • Annealing in an RTA anneal chamber may be performed by introducing a process gas including nitrogen (N 2 ), argon (Ar), helium (He), and combinations thereof, with less than about 4% hydrogen (H 2 ), at a process gas flow rate greater than 20 liters/min to control the oxygen content to less than 100 ppm, maintaining a chamber pressure of about ambient, and heating the substrate to a temperature between about 600° C. and about 900° C. for between about 5 seconds and about 300 seconds to form the metal silicide layer.
  • the substrate is annealed in the RTA anneal chamber at 800° C. for about 30 seconds.
  • the metal layer may be deposited on a silicon substrate in chamber 36 , transferred to a first anneal chamber, such as a vacuum anneal chamber disposed on the same transfer chamber 48 on the system 35 , annealed for a first temperature for a first period of time, transferred to a second anneal chamber, for example chamber 41 , in the system 35 , and annealed at a second temperature for a second period of time to form the metal silicide layer without breaking vacuum.
  • a first anneal chamber such as a vacuum anneal chamber disposed on the same transfer chamber 48 on the system 35
  • annealed for a first temperature for a first period of time transferred to a second anneal chamber, for example chamber 41 , in the system 35 , and annealed at a second temperature for a second period of time to form the metal silicide layer without breaking vacuum.
  • the metal deposition is performed in the deposition chamber according to the process described above at a substrate temperature of about 200° C. or less, preferably between about 0° C. and about 100° C.
  • the first step of this embodiment of the annealing process may be performed in situ in a first high vacuum anneal chamber disposed on a processing system by introducing an inert gas into the anneal chamber at a flow rate of 0 sccm and about 15 sccm, maintaining a chamber pressure about 2 milliTorr or less, heating the substrate to a temperature between about 400° C. and about 600° C. for between about 5 seconds and about 300 seconds.
  • the substrate is annealed in the deposition chamber at about 500° C. for between about 60 seconds and about 120 seconds.
  • the first annealing step is believed to form an oxygen resistant film such as CoSi.
  • the substrate may be annealed in situ by transfer to a second high vacuum annealing chamber in the processing system.
  • the second annealing step may then be performed by maintaining a chamber pressure of about 2 milliTorr or less and heating the substrate to a temperature between about 600° C. and about 900° C. for a period of time between about 5 seconds and about 300 seconds to form the metal silicide layer.
  • the substrate is annealed in the anneal chamber at 800° C. for between about 60 seconds and 120 seconds.
  • the substrate may be transferred to a second annealing chamber located outside the transfer chamber or processing system, such as an atmospheric pressure RTA chamber.
  • Annealing in an RTA anneal chamber may be performed by introducing a process gas including nitrogen (N 2 ), argon (Ar), helium (He), and combinations thereof, with less than about 4% hydrogen (H 2 ), at a process gas flow rate greater than 20 liters/min to control the oxygen content to less than 100 ppm, maintaining a chamber pressure of about ambient, and heating the substrate to a temperature between about 400° C. and about 900° C. for between about 5 seconds and about 300 seconds to form the metal silicide layer.
  • the substrate is annealed in the RTA anneal chamber at 800° C. for about 30 seconds.
  • the metal may be deposited at a high deposition temperature.
  • An example of a deposition process includes introducing an inert gas, such as argon, into the chamber at a flow rate between about 5 sccm and about 30 sccm, maintaining a chamber pressure between about 0.2 milliTorr and about 1.0 milliTorr, applying a negative bias of between about 0 volts and about 1000 volts to the target 142 to excite the gas into a plasma state, maintaining the substrate at about an annealing temperature, i.e., between about 400° C. and about 600° C. by applying a backside gas, and spacing the target between about 100 mm and about 300 mm from the substrate surface for a 200 mm substrate.
  • the temperature may be maintained at about 200° C. by heating the substrate in the absence of a backside gas.
  • Cobalt may be deposited on the silicon material at a rate between about 100 ⁇ /min and about 2000 ⁇ /min using this process.
  • the annealing process can then be performed in the deposition chamber by ending the plasma and heating of the substrate to a temperature between about 400° C. and 600° C. at the same heating levels used for the deposition process.
  • the annealing process is performed at a temperature between about 400° C. and about 600° C. for between about 5 seconds and about 300 seconds.
  • the substrate is annealed in the deposition chamber at about 500° C. for between about 60 seconds and about 120 seconds.
  • the second annealing step may then be formed in an annealing chamber without breaking vacuum or in an annealing chamber located on a separate transfer chamber or processing system.
  • the second annealing step includes heating the substrate to a temperature between about 600° C. and about 900° C. for a period of time between about 5 seconds and about 300 seconds to form the metal silicide layer.
  • the substrate is annealed at 800° C. for between about 60 seconds and 120 seconds.
  • the two-step annealing process described herein may be separated by one or more processing steps, such as deposition processes.
  • a first metal layer such as a cobalt or nickel layer
  • a second metal layer such as tungsten is then deposited on the annealed substrate, and the substrate is exposed to a second anneal in the second chamber or transferred to a third chamber for the completion of the annealing process.
  • a first metal layer such as a cobalt or nickel layer may be deposited in a first chamber, in situ annealed in the processing platform, transferred to a second deposition chamber for deposition of a barrier material thereon, such as titanium nitride, transferred to a third deposition chamber for deposition of a second metal, and then further annealed the third chamber or transferred to a fourth chamber for the completion of the annealing process.
  • the in situ anneal of the first metal layer may be performed after the deposition of the barrier material and prior to the deposition of the second metal layer, such as tungsten, deposition.
  • FIGS. 8 A-C An example of a deposition process of a metal silicide layer as a barrier layer for a tungsten plug in a feature definition is as follows and shown in FIGS. 8 A-C.
  • a substrate having a silicon-containing material 310 formed thereon with feature definitions 320 formed therein is provided to the processing system.
  • the silicon-containing material 310 may be a dielectric material including silicon, silicon oxide, a doped silicon or silicon oxide layer, or other silicon-containing dielectric material used in substrate processing, which may be deposited by physical vapor deposition, chemical vapor deposition, or other method known or unknown in the art.
  • layer 310 may include semi-conductive silicon-containing materials including polysilicon, doped polysilicon, or combinations thereof, deposited by methods known or unknown in the art.
  • Feature definitions 320 are formed in the silicon-containing material 310 by conventional method known in the art.
  • the feature definitions may be formed by depositing and patterning a photoresist material to define the feature openings, a silicon etch process is then used to define the feature definitions, and any remaining photoresist material is removed, such as by an oxygen stripping method.
  • the feature definitions may than be treated with a plasma clean process to remove any contaminants, such as oxide formed on the silicon-containing material, prior to deposition of subsequent materials as described herein.
  • a layer of cobalt is deposited as a barrier layer 330 by the PVD deposition process described herein over the bottom and sidewalls of the feature definitions 320 as shown in FIG. 8A.
  • the cobalt barrier layer 330 may be annealed to form cobalt silicide at the interface 325 of the cobalt layer and the silicon containing material 310 . Depending on the annealing process used, substantially all or only a portion of the cobalt layer 330 may be converted to cobalt silicide. When the cobalt material is not substantially converted to the cobalt silicide material, a surface 335 of unreacted cobalt is formed which is exposed to subsequently deposited materials as shown in FIG. 8B. This cobalt surface 335 may be maintained to further act as additional barrier layer material for subsequent metal deposition, such as tungsten, or may be removed from the substrate 300 surface by an etch process.
  • a layer of tungsten 350 is deposited to fill the feature 320 as shown in FIG. 8C.
  • the tungsten deposition may be at a high enough temperature to completely convert the any unreacted cobalt material to cobalt silicide, in effect annealing the cobalt material, while depositing to fill the feature 320 .
  • a second annealing step is performed to substantially convert the cobalt layer 330 to a cobalt silicide layer 340 .
  • Such a cobalt silicide barrier and tungsten fill of the feature 320 may be processed in the system 35 as follows.
  • the substrate is introduced into the first transfer chamber 48 of the system 35 via the loadlock 46 .
  • the first transfer chamber is operating at about 400 milliTorr.
  • Transfer robot 49 retrieves the substrate from the loadlock 46 and transfer to pass-through chambers 52 .
  • Transfer robot 51 in the second transfer chamber 50 retrieves the substrate from the pass-through chamber 52 and positions the substrate in PVD chamber 38 for cobalt deposition.
  • the second transfer chamber is operated at about 1 ⁇ 10 ⁇ 8 Torr.
  • the transfer robot 51 positions the substrate in one of the pre-clean chambers prior to cobalt deposition in the PVD chamber 38 .
  • the substrate is transferred back to the first transfer chamber 48 and disposed in a WxZTM CVD chamber 38 for CVD tungsten deposition.
  • the substrate may then be annealed as necessary.
  • the substrate is disposed in chamber 41 , which is a WxZTM chamber capable of in situ annealing, where the cobalt material is first annealed to form a silicide material or to improve barrier properties prior to CVD deposition.
  • a layer of tungsten may then be deposited in the WxZTM chamber following the anneal step.
  • the substrate may be transferred after the first anneal in the WxZTM chamber to a plasma etch chamber, such as a DPSTM chamber, for etching to remove cobalt and then annealed a second time in the WxZTM chamber or another anneal chamber prior to tungsten deposition.
  • the substrate is transferred to the loadlock chamber 46 via the transfer robot 49 .
  • the substrate may then be transferred to a separate apparatus, such as a chemical-mechanical polishing apparatus, for further processing.
  • Another metal silicide application includes the formation of a MOS device shown in FIG. 9.
  • the metal silicide includes silicides of cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof, for use in a MOS device.
  • N+ source and drain regions 402 and 404 are formed in a P type silicon substrate 400 adjacent field oxide portions 406 .
  • a gate oxide layer 408 and a polysilicon gate electrode 410 are formed over silicon substrate 400 in between source and drain regions 402 and 404 with oxide spacers 412 formed on the sidewalls of polysilicon gate electrode 410 .
  • a cobalt layer is deposited over the MOS structure, and in particular over the exposed silicon surfaces of source and drain regions 402 and 404 and the exposed top surface of polysilicon gate electrode 410 by the process described herein.
  • the cobalt material is deposited to a thickness of at about 1000 ⁇ or less to provide a sufficient amount of cobalt for the subsequent reaction with the underlying silicon at 402 and 404 .
  • Cobalt may be deposited to a thickness between about 50 ⁇ and about 500 ⁇ on the silicon material.
  • the cobalt layer is then annealed in situ as described herein to form cobalt silicide.
  • a barrier or liner layer of a material such as titanium nitride, may be deposited on the cobalt material to further enhance the barrier properties of the cobalt layer.
  • the deposition of the titanium nitride layer may replace the step of removing unreacted cobalt as described above.
  • the unreacted cobalt and titanium may be removed by the etch process after annealing of the substrate surface according to the anneal processes described herein.
  • the substrate may then be annealed again according to one of the two-step annealing processes described herein.
  • Dielectric materials 422 may be deposited over the formed structure and etched to provide contact definitions 420 in the device.
  • the contact definitions may then be filled with a contact material, such as tungsten, aluminum, or copper, from chemical vapor deposition techniques, such as described herein.
  • any unreacted cobalt from the annealing processes may be removed from the substrate surface, typically by a wet etch process or plasma etch process, and the cobalt silicide remains as cobalt silicide (CoSi 2 ) portions 414 , 416 , and 418 of uniform thickness respectively formed over polysilicon gate electrode 410 and over source and drain regions 402 and 404 in silicon substrate 400 .
  • Unreacted cobalt may be removed by an plasma process in a DPSTM chamber located on the same vacuum processing system, or may be transferred to another processing system for processing. Wet etch process are typically performed in a second processing system.

Abstract

Methods and apparatus are provided for forming a metal or metal silicide barrier layer. In one aspect, a method is provided for processing a substrate including positioning a substrate having a silicon material disposed thereon in a substrate processing system, depositing a first metal layer on the substrate surface in a first processing chamber, forming a metal silicide layer by reacting the silicon material and the first metal layer, and depositing a second metal layer in situ on the substrate in a second processing chamber. In another aspect, the method is performed in an apparatus including a load lock chamber, the intermediate substrate transfer region including a first substrate transfer chamber and a second substrate transfer chamber, a physical vapor deposition processing chamber coupled to the first substrate transfer chamber, and a chemical vapor deposition chamber coupled to the second substrate transfer chamber.

Description

    RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 10/044,412, filed on Jan. 9, 2002, which is a continuation-in-part of co-pending U.S. patent application Ser. No. 09/916,234, which was filed on Jul. 25, 2001, and which are both herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention relates to the fabrication of semiconductor devices and to the apparatus and methods for deposition and annealing of materials on a semiconductor substrate. [0003]
  • 2. Description of the Related Art [0004]
  • Recent improvements in circuitry of ultra-large scale integration (ULSI) on semiconductor substrates indicate that future generations of semiconductor devices will require sub-quarter micron multi-level metallization. The multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die as features decrease below 0.13 μm in size. [0005]
  • ULSI circuits include metal oxide semiconductor (MOS) devices, such as complementary metal oxide semiconductor (CMOS) field effect transistors (FETs). The transistors can include semiconductor gates disposed between source and drain regions. In the formation of integrated circuit structures, and particularly in the formation of MOS devices using polysilicon gate electrodes, it has become the practice to provide a metal silicide layer over the polysilicon gate electrode, and over the source and drain regions of the silicon substrate, to facilitate lower resistance and improve device performance by electrically connecting the source and drain regions to metal interconnects. [0006]
  • One important processing technique currently used in CMOS processing technology is the Self-Aligned Silicidation (salicide) of refractory metals such as titanium and cobalt. In a salicide process using cobalt (Co), for example, the source and drain and polysilicon gate resistances are reduced by forming a high conductivity overlayer and the contact resistance is reduced by increasing the effective contact area of the source and drain with subsequently formed metal interconnects. Salicide processing technology seeks to exploit the principle that a refractory metal such as cobalt deposited on a patterned silicon substrate will selectively react with exposed silicon under specific processing conditions, and will not react with adjacent materials, such as silicon oxide material. [0007]
  • For example, a layer of cobalt is sputtered onto silicon, typically patterned on a substrate surface, and then subjected to a thermal annealing process to form cobalt silicide (CoSi). Unreacted cobalt, such as cobalt deposited outside the patterned silicon or on a protective layer of silicon oxide, can thereafter be selectively etched away. The selective reaction of cobalt silicide will result in maskless, self-aligned formation of a low-resistivity refractory metal silicide in source, drain, and polysilicon gate regions formed on the substrate surface and in interconnecting conductors of the semiconductor device. After the etch process, further processing of the substrate may occur, such as additional thermal annealing, which may be used to further reduce the sheet resistance of the silicide material and complete formation of cobalt silicide (CoSi[0008] 2).
  • However, it has been difficult to integrate cobalt silicide processes into conventional manufacturing equipment. Current processing systems performing cobalt silicide processes require transfer of the substrate between separate chambers for the deposition and annealing process steps. Transfer between chambers may expose the substrate to contamination and potential oxidation of silicon or cobalt deposited on the substrate surface [0009]
  • Oxide formation on the surface of the substrate can result in increasing the resistance of silicide layers as well reducing the reliability of the overall circuit. For example, oxidation of the deposited cobalt material may result in cobalt agglomeration and irregular growth of the silicide layer. The agglomeration and irregular growth of the cobalt layer may result in device malformation, such as source and drain electrodes having different thicknesses and surface areas. Additionally, excess cobalt silicide growth on substrate surface may form conductive paths between devices, which may result in short circuits and device failure. [0010]
  • One solution to limiting cobalt and silicon contamination has been to sputter a capping film of titanium and/or titanium nitride on the cobalt and silicon film prior to transferring the substrate between processing systems. The capping film is then removed after annealing the substrate and prior to further processing of the substrate. However, the addition of titanium and titanium nitride deposition and removal processes increases the number of processing steps required for silicide formation, thereby reducing process efficiency, increasing processing complexity, and reducing substrate through-put. [0011]
  • ULSI circuits also include the formation of interconnects or contacts between conductive layers, such as the cobalt silicide layer described above and a copper feature. Interconnects or contacts generally comprise a feature definition formed in a dielectric material, such as silicon oxide, a barrier layer deposited on the feature definition, and a metal layer fill or “plug” of the feature definition. Titanium and titanium nitride films have been used as barrier layer material for the metal layer, such as tungsten, and the films are generally deposited by a physical vapor deposition technique. However, deposition of titanium over silicon surfaces presents the problem of titanium silicide formation. [0012]
  • Titanium silicide has been observed to agglomerate, which detrimentally affects subsequently deposited materials. Also, titanium silicide exhibits a radical increase in sheet resistance as feature sizes decrease below 0.17 μm, which detrimentally affects the conductance of the feature being formed. Further, titanium silicide has an insufficient thermal stability during processing of the substrate at temperatures of about 400° C. or higher, which can result in interlayer diffusion and detrimentally affect device performance. [0013]
  • Additionally, titanium and titanium nitride PVD deposition often occur at extremely low processing pressures, i.e., less than 5×10[0014] −3 Torr, compared with CVD deposition of materials such as tungsten, which may be deposited as high as about 300 Torr. This results in difficult integration of PVD and CVD processes in the same system. This has resulted in many manufactures using separate systems for the PVD titanium and titanium nitride deposition and the CVD tungsten deposition. The increase in the number of systems results in increased production costs, increased production times, and exposes the processed substrate to contamination when transferred between systems.
  • Therefore, there is a need for a method and apparatus for forming barrier layers and silicide materials on a substrate while reducing processing complexity and improving processing efficiency and throughput. [0015]
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention described herein generally provide methods and apparatus for forming a metal barrier or a metal silicide layer using a deposition and/or annealing process. In one aspect, a system is provided for processing a substrate including a load lock chamber, an intermediate substrate transfer region comprising a first substrate transfer chamber and a second substrate transfer chamber, wherein the first substrate transfer chamber is operated at a first pressure and the second transfer chamber is operated at a second pressure less than the first pressure and the first transfer chamber is coupled to the load lock chamber and the second substrate transfer chamber is coupled to the first substrate transfer chamber, at least one physical vapor deposition (PVD) processing chamber coupled to the first substrate transfer chamber, at least one chemical vapor deposition (CVD) processing chamber coupled to the second substrate transfer chamber, and at least one annealing chamber coupled to the second substrate transfer chamber. [0016]
  • In another aspect, a method is provided for processing a substrate including positioning a substrate having a silicon material disposed thereon with patterned feature definitions formed therein in a substrate processing system, depositing a first metal layer on the substrate surface in a first processing chamber disposed on the processing system by a physical vapor deposition technique, a chemical vapor deposition technique or an atomic layer deposition technique, forming a metal silicide layer by reacting the silicon material and the first metal layer, and depositing a second metal layer in situ on the substrate in a second processing chamber disposed on the processing system by a chemical vapor deposition technique. [0017]
  • In another aspect, a method is provided for processing a substrate including positioning a substrate having feature definitions formed in a silicon-containing material in a substrate processing system, depositing a metal layer on the silicon-containing material in the feature definitions, wherein the metal layer comprises cobalt, nickel, or combinations thereof, and depositing a tungsten layer on the metal layer by a chemical vapor deposition technique at a temperature sufficient to form a metal suicide layer at an interface of the silicon-containing material and the metal layer. [0018]
  • In another aspect, a method is provided for processing a substrate including positioning a substrate having feature definitions formed in a silicon-containing material in a substrate processing system, depositing a metal layer on the silicon-containing material in the feature definitions in a physical vapor deposition chamber, annealing the substrate in the physical vapor deposition chamber to form a metal silicide layer at an interface of the silicon-containing material and the metal layer, annealing the substrate to substantially convert the metal layer to metal silicide, and depositing a tungsten layer on the metal layer in a chemical vapor deposition chamber. [0019]
  • In another aspect, a method is provided for processing a substrate including positioning a substrate having a silicon material disposed thereon with patterned feature definitions formed therein in a first processing chamber, exposing the substrate to a plasma cleaning process in a first processing chamber, depositing a cobalt layer on the substrate surface and in the feature definitions by a physical vapor deposition technique in a second processing chamber, annealing the substrate at a first temperature in the second processing chamber to partially form a cobalt silicide layer, annealing the substrate at a second temperature greater than the first temperature in a third processing chamber to substantially form the cobalt silicide layer, and depositing a tungsten layer on the cobalt silicide layer by a chemical vapor deposition technique in a fourth processing chamber, wherein the first, second, third, and fourth processing chamber are disposed on one vacuum processing system. [0020]
  • In another aspect, a method is provided for processing a substrate including positioning a substrate having feature definitions formed in a silicon-containing material in a substrate processing system, depositing a metal layer on the silicon-containing material in the feature definitions, wherein the metal layer comprises cobalt, nickel, or combinations thereof, annealing the substrate at a first temperature to form a metal silicide layer, depositing a tungsten layer on the metal layer by a chemical vapor deposition technique, and annealing the substrate at a second temperature greater than the first temperature.[0021]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0022]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0023]
  • FIG. 1 is schematic top view of one embodiment of an integrated multi-chamber apparatus; [0024]
  • FIG. 2 is schematic top view of another embodiment of an integrated multi-chamber apparatus; [0025]
  • FIG. 3 is a cross-sectional view of one embodiment of a sputtering chamber included within the invention; [0026]
  • FIG. 4 is an expanded view of FIG. 3 including upper area of the shields near the target; [0027]
  • FIG. 5 is a plan view of one embodiment of a ring collimator; [0028]
  • FIG. 6 is a partial plan view of one embodiment of a honeycomb collimator; [0029]
  • FIG. 7A is a cross-sectional view of one embodiment of a pedestal for annealing a substrate; [0030]
  • FIG. 7B is a cross-sectional view of another embodiment of a pedestal for annealing a substrate; [0031]
  • FIGS. 8A-8C are schematic sectional views of one deposition process described herein; and [0032]
  • FIG. 9 is a simplified sectional view of a silicide material used as a contact with a transistor.[0033]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Embodiments of the invention described herein provide methods and apparatus for forming a metal silicide layer in a deposition chamber or substrate processing system. One embodiment described below in reference to a physical vapor deposition (PVD) process is provided to illustrate the invention, and should not be construed or interpreted as limiting the scope of the invention. Aspects of the invention may be used to advantage in other processes, such as chemical vapor deposition, in which an anneal is desired for forming metal silicide layers. [0034]
  • FIG. 1 is shows an integrated multi-chamber substrate processing system suitable for performing at least one embodiment of the physical vapor deposition, the chemical vapor deposition, and annealing processes described herein. The deposition and annealing processes may be performed in a multi-chamber processing system or cluster tool having a PVD chamber and a CVD chamber disposed thereon. One processing platform that may be used to advantage is an Endura™ processing platform commercially available from Applied Materials, Inc., located in Santa Clara, Calif. [0035]
  • FIG. 1 is a schematic top view of one embodiment a [0036] processing platform 35 including two transfer chambers 48, 50, transfer robots 49, 51, disposed in transfer chambers 48, 50 respectfully, and a plurality of processing chambers 36, 38, 40, 41, and 42, disposed on the two transfer chambers 48, 50. The first transfer chamber 48 and the second transfer chamber 50 are separated by pass-through chambers 52, which may comprise cooldown or pre-heating chambers. Pass-through chambers 52 also may be pumped down or ventilated during substrate handling when the first transfer chamber 48 and the second transfer chamber 50 operate at different pressures. For example, the first transfer chamber 48 may operate between about 100 milliTorr and about 5 Torr, such as about 400 milliTorr, and the second transfer chamber 50 may operate between about 1×10−5 Torr and about 1×10−8 Torr, such as about 1×10−7. The processing platform 35 is automated by programming a microprocessor controller 54.
  • The [0037] first transfer chamber 48 is coupled with two degas chambers 44, two load lock chambers 46, a reactive pre-clean chamber 42, at least one physical vapor deposition chamber, preferably a long throw physical vapor deposition (PVD) chamber 36, and the pass-through chambers 52. The pre-clean chamber may be a PreClean II chamber, commercially available from Applied Materials, of Santa Clara, Calif. Substrates (not shown) are loaded into the wafer processing system 35 through load-lock chambers 46. Thereafter, the substrates are sequentially degassed and cleaned in degas chambers 44 and the pre-clean chamber 42, respectively. The transfer robot 49 moves the substrate between the degas chambers 44 and the pre-clean chamber 42. The substrate may then be transferred to the long throw PVD chamber 36 for deposition of a material thereon.
  • The [0038] second transfer chamber 50 is coupled to a cluster of process chambers 38, 40, 41, and 43. Chambers 38 and 40 may be chemical vapor deposition (CVD) chambers for depositing materials, such as tungsten, as desired by the operator. An example of a suitable CVD chamber includes WxZ™ chambers, commercially available from Applied Materials, Inc., located in Santa Clara, Calif. The CVD chambers are preferably adapted to deposit materials by atomic layer deposition (ALD) techniques as well as by conventional chemical vapor deposition techniques. Chambers 41 and 43 may be Rapid Thermal Annealing (RTA) chambers, or Rapid Thermal Process (RTP) chambers, that can anneal substrates at vacuum or near vacuum pressures. An example of a RTA chamber 41 is a RADIANCE™ chamber, commercially available from Applied Materials, Inc., Santa Clara, Calif. Alternatively, the chambers 41 and 43 may be WxZ™ deposition chambers capable of performing high temperature CVD deposition, annealing processes, or in situ deposition and annealing processes. The PVD processed substrates are moved from transfer chamber 48 into transfer chamber 50 via pass-through chambers 52. Thereafter, transfer robot 51 moves the substrates between one or more of the process chambers 38, 40, 41, and 43 for material deposition and annealing as required for processing.
  • RTA chambers (not shown) may also be disposed on the [0039] first transfer chamber 48 of the processing platform 35 to provide post deposition annealing processes prior to substrate removal from the platform 35 or transfer to the second transfer chamber 50.
  • While not shown, a plurality of vacuum pumps is disposed in fluid communication with each transfer chamber and each of the processing chambers to independently regulate pressures in the respective chambers. The pumps may establish a vacuum gradient of increasing pressure across the apparatus from the load lock chamber to the processing chambers. [0040]
  • Alternatively, a plasma etch chamber, such as a Decoupled Plasma Source chamber (DPS™ chamber) manufactured by Applied Materials, Inc., of Santa Clara, Calif., may be coupled to the [0041] processing platform 35 or in a separate processing system for etching the substrate surface to remove unreacted metal after PVD metal deposition and/or annealing of the deposited metal. For example in forming cobalt silicide from cobalt and silicon material by an annealing process, the etch chamber may be used to remove unreacted cobalt material from the substrate surface. The invention also contemplates the use of other etch processes and apparatus, such as a wet etch chamber, used in conjunction with the process and apparatus described herein.
  • FIG. 2 is a schematic top view of another embodiment of an integrated multi-chamber substrate processing system suitable for performing at least one embodiment the physical vapor deposition, chemical vapor deposition, and annealing processes described herein. In this embodiment, the [0042] first transfer chamber 48 is coupled to a cluster of process chambers 38, 40, 41, and 43, two load lock chambers 46, and pass-through chambers 52. Chambers 41 and 43 may be a RTA chambers that can anneal substrates at vacuum or near vacuum pressures, such as the RADIANCE™ chamber, and chambers 38 and 40 are CVD chambers, such as WxZ™ chambers. The first transfer chamber 48 may operate between about 1×10−5 Torr and about 1×10−8 Torr, such as about 1×10−7, and the second transfer chamber 50 may operate between about 100 milliTorr and about 5 Torr, such as about 400 milliTorr.
  • Alternatively, [0043] chambers 41 and 43 may be WxZ chambers capable of performing high temperature CVD deposition, annealing processes, or in situ deposition and annealing processes. The pass-through chambers 52 may additionally perform as degas chambers in addition to heating, cooling, and transporting functions.
  • The [0044] second transfer chamber 50 is coupled to reactive pre-clean chambers 42, one or more long throw physical vapor deposition (PVD) chambers 36, and pass-through chambers 52. The second transfer chamber 50 configuration allows for substrate pre-cleaning, such as by a plasma clean method, and PVD deposition at a vacuum pressure of 1×10−8 prior to transfer to a higher pressure transfer chamber 48. The first transfer configuration allows higher pressure processing, such as annealing, compared to PVD processing, to be performed in the transfer chamber adjacent the loadlocks 46 and prior to substrate removal. The higher pressure first transfer chamber in this embodiment allows for reduced pump down times and reduced equipment costs compared to configuration of system 35 using a near vacuum pressure, such as between about 1×10−5 Torr and about 1×10−8 Torr, at the first transfer chamber 48.
  • FIG. 3 illustrates one embodiment of a long throw physical vapor deposition chamber. Example of suitable long throw PVD chambers are ALPS plus™ and SIP™ PVD processing chambers, both commercially available from Applied Materials, Inc., Santa Clara, Calif. [0045]
  • Generally, the long [0046] throw PVD chamber 36 contains a sputtering source, such as a target 142, and a substrate support pedestal 152 for receiving a semiconductor substrate 154 thereon and located within a grounded enclosure wall 150, which may be a chamber wall as shown or a grounded shield.
  • The [0047] chamber 36 includes a target 142 supported on and sealed, as by O-rings (not shown), to a grounded conductive aluminum adapter 144 through a dielectric isolator 146. The target 142 comprises the material to be deposited on the substrate surface during sputtering, and may include cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof, which are used in forming metal silicide layers. For example, elemental cobalt, nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys may be deposited by using alloy targets or multiple targets in the chamber. The target may also include a bonded composite of a metallic surface layer and a backing plate of a more workable metal.
  • A [0048] pedestal 152 supports a substrate 154 to be sputter coated in planar opposition to the principal face of the target 142. The substrate support pedestal 152 has a planar substrate-receiving surface disposed generally parallel to the sputtering surface of the target 142. The pedestal 152 is vertically movable through a bellows 158 connected to a lower chamber wall 160 to allow the substrate 154 to be transferred onto the pedestal 152 through an load lock valve (not shown) in the lower portion of the chamber and thereafter raised to a deposition position. Processing gas is supplied from a gas source 162 through a mass flow controller 164 into the lower part of the chamber.
  • A controllable [0049] DC power source 148 coupled to the chamber 36 may be used to apply a negative voltage or bias to the target 142. An RF power supply 156 may be connected to the pedestal electrode 152 in order to induce a negative DC self-bias on the substrate 154, but in other applications the pedestal 152 is grounded or left electrically floating.
  • A [0050] rotatable magnetron 170 is positioned in back of the target 142 and includes a plurality of horseshoe magnets 172 supported by a base plate 174 connected to a rotation shaft 176 coincident with the central axis of the chamber 140 and the substrate 154. The horseshoe magnets 172 are arranged in closed pattern typically having a kidney shape. The magnets 142 produce a magnetic field within the chamber, generally parallel and close to the front face of the target 142 to trap electrons and thereby increase the local plasma density, which in turn increases the sputtering rate. The magnets 172 produce an electromagnetic field around the top of the chamber, which magnets are rotated to rotate the electromagnetic field which influences the plasma density of the process to more uniformly sputter the target 142.
  • The [0051] chamber 36 of the invention includes a grounded bottom shield 180 having, as is more clearly illustrated in the exploded cross-sectional view of FIG. 4, an upper flange 182 supported on and electrically connected to a ledge 184 of the adapter 144. A dark space shield 186 is supported on the flange 182 of the bottom shield 180, and fasteners (not shown), such as screws recessed in the upper surface of the dark space shield 186 fix it and the flange 182 to the adapter ledge 184 having tapped holes receiving the screws. This metallic threaded connection allows the two shields 180, 186 to be grounded to the adapter 144. The adapter 144 in turn is sealed and grounded to an aluminum chamber sidewall 150. Both shields 180, 186 are typically formed from hard, non-magnetic stainless steel.
  • A [0052] rotatable magnetron 170 is positioned in back of the target 142 and includes a plurality of horseshoe magnets 172 supported by a base plate 174 connected to a rotation shaft 176 coincident with the central axis of the chamber 140 and the substrate 154. The horseshoe magnets 172 are arranged in closed pattern typically having a kidney shape. The magnets 172 produce a magnetic field within the chamber, generally parallel and close to the front face of the target 142 to trap electrons and thereby increase the local plasma density, which in turn increases the sputtering rate. The magnets 172 produce an electromagnetic field around the top of the chamber, which magnets are rotated to rotate the electromagnetic field which influences the plasma density of the process to more uniformly sputter the target 142.
  • Returning to the overall view of FIG. 3, the [0053] bottom shield 180 extends downwardly in a upper generally tubular portion 194 of a first diameter and a lower generally tubular portion 196 of a smaller second diameter to extend generally along the walls of the adapter 144 and the chamber body 150 to below the top surface of the pedestal 152. It also has a bowl-shaped bottom including a radially extending bottom portion 198 and an upwardly extending inner portion 100 just outside of the pedestal 152. A cover ring 102 rests on the top of the upwardly extending inner portion 100 of the bottom shield 180 when the pedestal 152 is in its lower, loading position but rests on the outer periphery of the pedestal 152 when it is in its upper, deposition position to protect the pedestal 152 from sputter deposition. An additional deposition ring (not shown) may be used to shield the periphery of the substrate 154 from deposition.
  • The [0054] chamber 36 may also be adapted to provide a more directional sputtering of material onto a substrate. In one aspect, directional sputtering may be achieved by positioning a collimator 110 between the target 142 and the substrate support pedestal 152 to provide a more uniform and symmetrical flux of deposition material on the substrate 154.
  • A [0055] metallic ring collimator 110, such as the Grounded Ring collimator, rests on the ledge portion 106 of the lower shield, thereby grounding the collimator 110. The ring collimator 110 includes a plurality of concentric tubular sections, for example, three concentric tubular sections 112, 114, 116 linked by cross struts 118, 120 as shown in FIG. 5. The outer tubular section 116 rests on the ledge portion 106 of the lower shield 180. The use of the lower shield 180 to support the collimator 110 simplifies the design and maintenance of the chamber. At least the two inner tubular sections 112, 114 are of sufficient length to define high aspect-ratio apertures that partially collimate the sputtered particles. Further, the upper surface of the collimator 110 acts as a ground plane in opposition to the biased target 142, particularly keeping plasma electrons away from the substrate 154.
  • Another type of collimator usable with the invention is a [0056] honeycomb collimator 124, partially illustrated in the plan view of FIG. 6 having a mesh structure with hexagonal walls 126 separating hexagonal apertures 128 in a close-packed arrangement. An advantage of the honeycomb collimator 124 is, if desired, the thickness of the collimator 124 can be varied from the center to the periphery of the collimator, usually in a convex shape, so that the apertures 128 have aspect ratios that are likewise varying across the collimator 124. The collimator may have one or more convex sides. This allows the sputter flux density to be tailored across the substrate, permitting increased uniformity of deposition. Collimators that may be used in the PVD chamber are described in U.S. Pat. No. 5,650,052, issued Jul. 22, 1997, which is hereby incorporated by reference herein to the extent not inconsistent with aspects of the invention and claims described herein.
  • One embodiment of a [0057] substrate support pedestal 152 is shown in FIG. 7A. The substrate support pedestal 152 is suitable for use in a high temperature high vacuum annealing process. Generally, the substrate support pedestal 152 includes a heating portion 210 disposed on a base 240 coupled to a shaft 245.
  • The [0058] heating portion 210 generally includes heating elements 250 disposed in a thermally conducting material 220 and a substrate support surface 275. The thermally conducting material 220 may be any material that has sufficient thermal conductance at operating temperatures for efficient heat transfer between the heating elements 250 and a substrate support surface 275. An example of the conducting material is steel. The substrate support surface 275 may include a dielectric material and typically includes a substantially planar receiving surface for a substrate 280 disposed thereon.
  • The [0059] heating elements 250 may be resistive heating elements, such as electrically conducting wires having leads embedded within the conducting material 220, and are provided to complete an electrical circuit by which electricity is passed through the conducting material 220. An example of a heating element 250 includes a discrete heating coil disposed in the thermally conducting material 220. Electrical wires connect an electrical source (not shown), such as a voltage source, to the ends of the electrically resistive heating coil to provide energy sufficient to heat the coil. The coil may take any shape that covers the area of the substrate support pedestal 152. More than one coil may be used to provide additional heating capability, if needed.
  • The [0060] fluid channels 290 may be coupled to a surface of the heating portion 210 and may provide for either heating or cooling of the substrate support pedestal 152. The fluid channels 290 may include a concentric ring or series of rings, or other desired configuration, having fluid inlets and outlets for circulating a liquid from a remotely located fluid source 294. The fluid channels 290 are connected to the fluid source 294 by fluid passage 292 formed in the shaft 245 of substrate support pedestal 152. Embodiments of the substrate support pedestal 152 including both heating elements 250 coupled to a power source and fluid channels 290 cooled by a thermal medium passing through fluid conductors connected fluid source, i.e., a liquid heat exchanger generally achieve temperature control of the surface of the substrate support pedestal 152.
  • [0061] Temperature sensors 260, such as a thermocouple, may be attached to or embedded in the substrate support pedestal 152, such as adjacent the heating portion 210, to monitor temperature in a conventional manner. For example, measured temperature may be used in a feedback loop to control electric current applied to the resistive heaters from a power supply, such that substrate temperature can be maintained or controlled at a desired temperature or within a desired temperature range. A control unit (not shown) may be used to receive a signal from temperature sensor and control the heat power supply or a fluid source in response.
  • The power supply and the fluid supply of the heating and cooling components are generally located external of the [0062] chamber 36. The utility passages, including the fluid passage 294, are disposed axially along the base 240 and shaft 245 of the substrate support pedestal 152. A protective, flexible sheath 295 is disposed around the shaft 245 and extends from the substrate support pedestal 152 to the chamber wall (not shown) to prevent contamination between the substrate support pedestal 152 and the inside of the chamber.
  • The [0063] substrate support pedestal 152 may further contain gas channels (not shown) fluidly connecting with the substrate receiving surface 275 of the heating portion 210 to a source of backside gas (not shown). The gas channels define a backside gas passage of a heat transfer gas or masking gas between the heating portion 210 and the substrate 280.
  • The power supply and the fluid supply of the heating and cooling components are generally located external of the [0064] chamber 36. The utility passages, including the fluid passage 292, are disposed axially along the base 240 and shaft 245 of the substrate support pedestal 152. A protective, flexible sheath 295 is disposed around the shaft 245 and extends from the substrate support pedestal 152 to the chamber wall (not shown) to prevent contamination between the substrate support pedestal 152 and the inside of the chamber.
  • Generally, the [0065] electrodes 230 are disposed in the thermally conducting material 220 in a spaced relationship with the heating elements 250 disposed therein. The heating elements 250 are generally disposed in a vertically spaced and parallel manner from the electrodes 230 in the thermally conducting material 220. Typically, the electrodes are disposed between the heating elements and the substrate receiving surface 275 though other configurations may be used.
  • The embodiments of the substrate support pedestals [0066] 152 described above may be used to support a substrate in a high vacuum anneal chamber. The high vacuum anneal chamber may include substrate support pedestals 152 disposed in a PVD chamber, such as the long throw chamber 36 described herein, with a blank target disposed therein or without a target and without bias coupled to either the target or substrate support pedestal.
  • Embodiments of the [0067] substrate support pedestal 152 are described above and are provided for illustrative purposes and should not be construed or interpreted as limiting the scope of the invention. For example, suitable electrostatic chucks that may be used for the support pedestal include MCA™ Electrostatic E-chuck or Pyrolytic Boron Nitride Electrostatic E-Chuck, both available from Applied Materials, Inc., of Santa Clara, Calif.
  • While the embodiments of [0068] substrate support pedestal 152 described herein may be used to anneal the substrate, commercially available anneal chambers, such as rapid thermal anneal (RTA) chambers may also be used to anneal the substrate to form the silicide films. The invention contemplates utilizing a variety of thermal anneal chamber designs, including hot plate designs and heated lamp designs, to enhance the electroplating results. One particular thermal anneal chamber useful for the present invention is the WxZ™ chamber available from Applied materials, Inc., located in Santa Clara, Calif. One particular hot plate thermal anneal chamber useful for the present invention is the RTP XEplus Centura® thermal processing chamber available from Applied Materials, Inc., located in Santa Clara, Calif. One particular lamp anneal chamber is the Radiance™ thermal processing chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Referring to FIGS. 1 and 2, the [0069] processing chambers 36, 38, 40, 41, and 43, are each controlled by a microprocessor controller 54. The microprocessor controller 54 may be one of any form of general purpose computer processor (CPU) that can be used in an industrial setting for controlling process chambers as well as sub-processors. The computer may use any suitable memory, such as random access memory, read only memory, floppy disk drive, hard drive, or any other form of digital storage, local or remote. Various support circuits may be coupled to the CPU for supporting the processor in a conventional manner. Software routines as required may be stored in the memory or executed by a second CPU that is remotely located.
  • The process sequence routines are executed after the substrate is positioned on the pedestal. The software routines, when executed, transform the general purpose computer into a specific process computer that controls the chamber operation so that a chamber process is performed. Alternatively, the software routines may be performed in hardware, as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware. [0070]
  • In operation, the [0071] substrate 154 is positioned on the substrate support pedestal 152 and plasma is generated in the chamber 36. A long throw distance of at least about 90 mm separates the target 142 and the substrate. The substrate support pedestal 152 and the target 142 may be separated by a distance between about 100 mm and about 300 mm for a 200 mm substrate. The substrate support pedestal 152 and the target 142 may be separated by a distance between about 150 mm and about 460 mm for a 300 mm substrate. Any separation between the substrate and target that is greater than 50% of the substrate diameter is considered a long throw processing chamber.
  • The sputtering process is performed by applying a negative voltage typically includes between about between about 0 V and about 2400 V to the [0072] target 142 to excite the gas into a plasma state. The D.C. power supply 148 or another power supply may be used to apply a negative bias, for example, between about 0 V and about 700 V, to the substrate support pedestal 152. Ions from the plasma bombard the target 142 to sputter atoms and larger particles onto the substrate 154 disposed below. While, the power supplied is expressed in voltage, power may also be expressed as kilowatts or a power density (W/cm2). The amount of power supplied to the chamber may be varied depending upon the amount of sputtering and the size of the substrate size being processed.
  • Processing gas used for the sputtering process is introduced into the [0073] processing chamber 36 via the mass flow controller 164. The processing gas includes non-reactive or inert species such as argon (Ar), xenon (Xe), helium (He), or combinations thereof. A vacuum pumping system 166 connected through a pumping port 168 in the lower chamber is used to maintain the chamber at a base pressure of less than about 1×10−6 Torr, such as about 1×10−8 Torr, but the processing pressure within the chamber is typically maintained at between 0.2 milliTorr and 2 milliTorr, preferably less than 1 milliTorr, for cobalt sputtering.
  • In operation, a substrate is disposed on the substrate support pedestal, and the substrate is heated, with or without the presence of a backside gas, by the [0074] heating elements 250 to the desired processing temperature, processed for sufficient time to anneal the substrate for the desired anneal results, and then removed from the chamber. The heating elements 250 of the substrate support pedestal 152 can heat the substrate from room temperature, i.e., about 20° C. to about 900° C. and the fluid channels may cool the substrate to a temperature of about 0° C. The combination of heating elements 250 and the fluid channels 290 are generally used to control the temperature of a substrate 280 between about 10° C. and about 900° C., subject to properties of materials used in substrate support pedestal 152 and the process parameters used for processing a substrate in the chamber 36.
  • Metal and Metal Silicide Barrier Deposition Processes [0075]
  • Embodiments of the processes described herein relate to depositing metal and metal silicide barrier layers for feature definitions. In one aspect, a first metal layer is deposited on a silicon-containing material and annealed to form a metal silicide layer. A second metal layer is then deposited on the metal silicide layer to fill the feature. The annealing process for to form the metal silicide layer may be performed in multiple annealing steps. The deposition of the first metal layer, the second metal layer, and any required annealing step are preferably performed without breaking vacuum in one vacuum processing system. [0076]
  • The two step annealing process is used to convert the metal layer to a first phase of metal silicide, such as converting cobalt and silicon to a first cobalt silicide (CoSi) phase, or partially convert the metal to a metal silicide. The second annealing step, the metal is substantially converted to the desired silicide phase, such as such as converting the first cobalt silicide (CoSi) phase to a cobalt suicide (CoSi[0077] 2) product with desired barrier layer properties. Metal silicide is broadly defined herein as a alloy of metal and silicon, which may exist in multiple phases.
  • The first annealing step may be performed in the same chamber as the deposition chamber, an annealing chamber, such as a vacuum annealing chamber, or during deposition of subsequent materials, such as during a chemical vapor deposition of the second metal. The second annealing step may be performed before or after the deposition of the second metal. The second annealing process generally has a higher annealing temperature than the first annealing temperature. [0078]
  • Preferably, the metal silicide layer may be formed in situ, such as in a deposition chamber or in a processing system without breaking vacuum, prior to or concurrently with depositing a metal layer by a chemical vapor deposition technique. In situ is broadly defined herein as performing two or more processes in the same chamber or in the same processing system without breaking vacuum or transfer to a separate apparatus or system. [0079]
  • For example, in situ annealing may be performed in the same processing chamber as the metal deposition and in situ deposition may performed in a processing chamber adjacent to the deposition chamber, both of which are coupled to a transfer chamber, and the vacuum on the transfer chamber is not broken during processing. [0080]
  • In a further example, in situ processing may be performed on the same processing system at separate processing pressures, such as processing a substrate in processing chambers and annealing chambers disposed on the first and [0081] second transfer chambers 48, 50, respectfully, in system 35 without breaking the vacuum on the system 35 or transfer of the substrate to another processing system.
  • While the following material describes the formation of a metal silicide layer from a cobalt or nickel layer film, the invention contemplates the use of other materials, including titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, and combinations thereof, and other alloys including nickel cobalt alloys, cobalt tungsten alloys, cobalt nickel tungsten alloys, doped cobalt and nickel alloys, or nickel iron alloys, to form the metal silicide material as described herein. [0082]
  • Reactive Pre-Clean [0083]
  • Prior to metal deposition on a substrate, the surface of the substrate may be cleaned to remove contaminants, such as oxides formed on exposed. The cleaning process may be performed by a wet etch processes, such as exposure to a hydrofluoric solution, or by a plasma cleaning process, such as exposure to a plasma of an inert gas, a reducing gas, such as hydrogen or ammonia, or combinations thereof. The cleaning process may also be performed prior between processing steps to minimize contamination of the substrate surface during processing. [0084]
  • The plasma clean process may be performed in the PreClean II processing chamber and the RPC+ processing chamber described herein, of which both are commercially available form Applied Materials, Inc., of Santa Clara Calif. In one aspect, the reactive pre-clean process forms radicals from a plasma of one or more gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, and combinations thereof. For example, a pre-clean gas may include a mixture of tetrafluorocarbon (CF[0085] 4) and oxygen (O2), or a mixture of helium (He) and nitrogen trifluoride (NF3). More preferably, the pre-clean gas is a mixture of helium and nitrogen trifluoride.
  • The plasma is typically generated by applying a power between about 500 and about 2,000 watts RF at a frequency between about 200 KHz and about 114 MHz. The flow of helium ranges between about 100 and about 500 sccm and the flow of nitrogen trifluoride typically ranges between about 100 sccm and about 500 sccm for 200 mm substrates. The plasma treatment lasts between about 10 and about 150 seconds. Preferably, the plasma is generated in one or more treatment cycles and purged between cycles. For example, four treatment cycles lasting 35 seconds each is effective. [0086]
  • In another aspect, the substrate may be pre-cleaned using an argon plasma first and then a hydrogen plasma. A first pre-clean gas comprising greater than about 50% argon by number of atoms is introduced at a pressure of about 0.8 milliTorr. A plasma of the argon gas is struck to subject the [0087] substrate 110 to an argon sputter cleaning environment. The argon plasma is preferably generated by applying between about 50 watts and about 500 watts of RF power. The argon plasma is maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the deposits that are not readily removed by a reactive hydrogen plasma.
  • Following the argon plasma, the chamber pressure is increased to about 140 milliTorr, and a second pre-clean gas consisting essentially of hydrogen and helium is introduced into the processing region. Preferably, the processing gas comprises about 5% hydrogen and about 95% helium. The hydrogen plasma is generated by applying between about 50 watts and about 500 watts power. The hydrogen plasma is maintained for about 10 seconds to about 300 seconds. [0088]
  • Metal Deposition [0089]
  • A first metal layer may be deposited on a substrate disposed in [0090] chamber 36 as a barrier layer for a second metal layer “plug” or may be deposited and annealed on the substrate pedestal 152 to form the metal silicide layer without breaking vacuum. The substrate includes dielectric materials, such as silicon or silicon oxide materials, disposed thereon and is generally patterned to define features into which metal films may be deposited or metal silicide films will be formed. The first metal layer may be deposited by a physical vapor deposition technique, a chemical vapor deposition technique, or an atomic layer deposition technique.
  • In another aspect, the substrate may be pre-cleaned using an argon plasma first and then a hydrogen plasma. A first pre-clean gas comprising greater than about 50% argon by number of atoms is introduced at a pressure of about 0.8 milliTorr. A plasma of the argon gas is struck to subject the [0091] substrate 154 to an argon sputter cleaning environment. The argon plasma is preferably generated by applying between about 50 watts and about 500 watts of RF power. The argon plasma is maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the deposits that are not readily removed by a reactive hydrogen plasma.
  • Plasma is generated by applying a negative voltage to the [0092] target 142 between about 0 volts (V) and about −2400 V. For example, negative voltage is applied to the target 142 at between about 0 V and about −1000 V to sputter material on a 200 mm substrate. A negative voltage between about 0 V and about −700 V may be applied to the substrate support pedestal 152 to improve directionality of the sputtered material to the substrate surface. The substrate is maintained at a temperature between about 10° C. and about 600° C. during the deposition process.
  • An example of a deposition process includes introducing an inert gas, such as argon, into the chamber at a flow rate between about 5 sccm and about 30 sccm, maintaining a chamber pressure between about 0.2 milliTorr and about 1.0 milliTorr, applying a negative bias of between about 0 volts and about 1000 volts to the [0093] target 142 to excite the gas into a plasma state, maintaining the substrate at a temperature between about 10° C. and about 600° C., preferably about 50° C. and about 300° C., and most preferably, between about 50° C. and about 100° C. during the sputtering process, and spacing the target between about 100 mm and about 300 mm from the substrate surface for a 200 mm substrate. Cobalt may be deposited on the silicon material at a rate between about 300 Å/min and about 2000 Å/min using this process. A collimator may be used with the process described herein with minimal detrimental affect on deposition rate.
  • While not shown, the barrier material, such as cobalt or nickel described above, may be deposited by another method using the apparatus shown in FIGS. 1 and 2. The cobalt material may be deposited by a chemical vapor deposition (CVD) technique, an atomic layer deposition (ALD) technique, an ionized magnetic plasma PVD (IMP-PVD) technique, a self-ionized plasma PVD (SIP-PVD) technique or combinations thereof. For example, the cobalt material may be deposited by CVD in a CVD chamber, such as [0094] chamber 38 of the processing platform 35 as shown in FIG. 1, or by ALD in a ALD chamber or CVD chamber disposed at position 38, as shown in FIG. 1.
  • Alternatively, prior to second metal deposition, such as tungsten, a layer of a barrier material, such as titanium or titanium nitride, may be deposited on the first metal layer. The layer of barrier material improves resistance to interlayer diffusion of the second metal layer into the underlying substrate or silicon material. Additionally, the layer of barrier material may improve interlayer adhesion between the first and second metal layers. Suitable barrier layer materials include titanium, titanium nitride, tantalum, tantalum nitride, tungsten nitride, titanium-tungsten alloy, and combinations thereof. The layer of barrier materials may be deposited by a chemical vapor deposition (CVD) technique, an atomic layer deposition (ALD) technique, an ionized magnetic plasma PVD (IMP-PVD) technique, a self-ionized plasma PVD (SIP-PVD) technique or combinations thereof. [0095]
  • Tungsten Deposition [0096]
  • In one aspect, the substrate is then transferred to a chemical vapor deposition chamber for the deposition of a second metal layer, such as tungsten, on the first metal layer, such as cobalt or nickel. Tungsten may be deposited by a chemical vapor deposition (CVD) technique. Tungsten may be deposited at a sufficient temperature, such as between about 300° C. and about 500° C., to initiate the formation of a metal silicide, such as cobalt suicide. The metal silicide may be formed from part or all of the first metal layer. [0097]
  • An annealing step in the processing chamber, such as the WxZ™, capable of annealing processes may be performed in the chamber prior to material deposition. Such an annealing step is performed at a temperature between about 300° C. and about 900° C., such as between about 300° C. and about 400° C. A thin layer or silicon, or “silicon soak” may be deposited on the barrier layer prior to deposition of any tungsten material. The silicon deposition may be performed in situ with the same chemical vapor deposition chamber as the tungsten material deposition. Additionally, a tungsten nucleation step may be performed prior to a main tungsten deposition. The tungsten nucleation step may be performed in situ by an atomic layer deposition (ALD) technique or CVD process in the same chemical vapor deposition chamber as the main tungsten deposition or subsequent tungsten deposition. [0098]
  • An example of a tungsten chemical vapor deposition process includes depositing a silicon layer, also known as a silicon soak layer, a tungsten nucleation layer deposition, and a main, or bulk, tungsten deposition. The silicon layer is deposited by introducing a silane gas (or silane gas derivative) into the chamber at a flow rate between about 50 sccm and about 100 sccm, a reactive gas, such as hydrogen (H[0099] 2), into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and an inert gas, such as argon or nitrogen, into the chamber at a flow rate between about 500 sccm and about 5000 sccm, maintaining the chamber pressure between about 100 milliTorr and about 300 Torr, and maintaining the substrate temperature between about 300° C. and about 500° C. The process may be performed between about 5 seconds and about 30 seconds. The silicon layer is usually deposited at a thickness of about 1000 Å or less.
  • The tungsten nucleation layer is deposited by a process including introducing a tungsten precursor gas, such as tungsten hexafluoride (WF[0100] 6) or derivative thereof, into the chamber at a flow rate between about 5 sccm and about 60 sccm, a silane gas, SiH4, or derivative thereof, into the chamber at a flow rate between about 5 sccm and about 60 sccm, a reactive gas, such as hydrogen (H2), into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and an inert gas, such as argon or nitrogen, into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and maintaining a chamber pressure between about 100 milliTorr and about 300 Torr, and maintaining the substrate temperature between about 300° C. and about 500° C. The process may be performed between about 5 seconds and about 30 seconds. The nucleation layer is usually deposited at a thickness of about 1000 Å or less.
  • The tungsten layer is then deposited on the tungsten nucleation layer by a process including introducing a tungsten precursor gas, such as tungsten hexafluoride (WF[0101] 6) or derivative thereof, into the chamber at a flow rate between about 25 sccm and about 250 sccm, a reactive gas, such as hydrogen (H2), into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and an inert gas, such as argon or nitrogen, into the chamber at a flow rate between about 500 sccm and about 5000 sccm, and maintaining a chamber pressure between about 100 milliTorr and about 300 Torr, and maintaining the substrate temperature between about 300° C. and about 900° C. The process may be performed between about 5 seconds and about 300 seconds or until a desired thickness is reached. The deposition rate for tungsten is between about 1000 Å/min and about 3000 Å/min.
  • The substrate temperature during the main tungsten deposition process is maintained at sufficient temperature, such as, to initiate the formation of a metal silicide layer from silicon material on the substrate and the first metal layer disposed thereon. For example, a substrate temperature between about 300° C. and about 900° C., such as between about 300° C. and about 400° C., may be maintained to form the silicide layer with diffusion barrier properties simultaneously with tungsten deposition. [0102]
  • An example of the tungsten deposition process includes a silicon soak layer formed by introducing a silane gas at a flow rate of about 75 sccm, introducing hydrogen (H[0103] 2) at a flow rate of about 1000 sccm, introducing argon or nitrogen at a flow rate of about 1500, maintaining the chamber pressure at about 90 Torr, and maintaining the substrate temperature at about 425° C. The process may be performed between about 10 seconds and about 20 seconds. The nucleation layer is deposited by introducing tungsten hexafluoride (WF6) at a flow rate of about 20 sccm, silane gas at a flow of about 10 sccm, hydrogen gas at a flow rate of about 3000 sccm, and argon at a flow rate of about 3000 sccm, and maintaining a chamber pressure at about 30 Torr, and maintaining the substrate temperature at about 425° C. This process may be performed for about 15 seconds. The tungsten layer is deposited by introducing tungsten hexafluoride (WF6) at a flow rate of about 250 sccm, hydrogen gas at a flow rate of about 1000 sccm, and argon at a flow rate of about 3000 sccm, and maintaining a chamber pressure at about 300 Torr, and maintaining the substrate temperature at about 425° C. This process may be performed between about 40 and about 45 seconds.
  • General In-Situ Annealing Process [0104]
  • Alternatively, the first metal layer may be annealed in situ by one or more annealing steps at annealing temperature between about 300° C. and about 900° C. to form the metal silicide layer prior to the deposition of the second metal layer. The one or more annealing steps may be for between about 10 seconds and about 600 seconds. A selective etch of the first metal layer and metal silicide layer to remove unreacted first metal material may be performed between two or more annealing steps. Deposition of materials, such as a layer of barrier material or the second metal layer, may be performed between two or more annealing steps. [0105]
  • In one example of the annealing process, the substrate may be annealed under an inert gas environment in the deposition chamber by first introducing an inert gas into the chamber at a flow rate between about 0 sccm (i.e., no backside gas) and about 15 sccm, maintaining a chamber pressure of about 2 milliTorr or less, heating the substrate to a temperature between about 300° C. and about 900° C. for between about 5 seconds and about 600 seconds to form the metal silicide layer. [0106]
  • Low Temperature Deposition and Two-Step In-Situ Annealing Process in Two Chambers [0107]
  • In another embodiment, the metal layer may be physical vapor deposited on a silicon substrate in [0108] chamber 36, annealed at a first temperature for a first period of time, transferred to a second chamber, for example chamber 41, in the system 35, and annealed at a second temperature for a second period of time to form the metal silicide layer without breaking vacuum.
  • The physical vapor deposition of the metal is performed as described above at a temperature of about 200° C. or less, preferably between about 0° C. and about 100° C. The first step of the two step in situ annealing process described above may be performed under an inert gas environment in the deposition chamber by first introducing an inert gas into the chamber at a flow rate between about 0 sccm and about 15 sccm or less, maintaining a chamber pressure of about 2 milliTorr or less, heating the substrate to a temperature between about 400° C. and about 600° C. for between about 5 seconds and about 300 seconds. Preferably, the substrate is annealed in the deposition chamber at about 500° C. for between about 60 seconds and about 120 seconds. Performing the first annealing the substrate in the same chamber as the deposition process is preferred over other annealing processes described herein. [0109]
  • The substrate is then removed from the deposition chamber and transferred to a vacuum anneal chamber disposed on the same transfer chamber, such as [0110] transfer chamber 48 described above in FIG. 1. The high vacuum anneal chamber may include a PVD chamber having a blank target and substrate support pedestal 152 described above or a commercial high vacuum anneal pedestal, such as the High Temperature High Uniformity, HTHU™ substrate support commercially available from Applied Materials Inc., of Santa Clara Calif.
  • The second annealing step may then be performed by maintaining a chamber pressure of about 2 milliTorr or less and heating the substrate to a temperature between about 600° C. and about 900° C. for a period of time between about 5 seconds and about 300 seconds to form the metal silicide layer. Preferably, the substrate is annealed in the anneal chamber at 800° C. for between about 60 seconds and 120 seconds. [0111]
  • Low Temperature Deposition and Two-Step Anneal Process in Two Chambers [0112]
  • In an alternative embodiment of the two chamber deposition and anneal process, the metal layer is deposited according to the process described herein at about 200° C. or less, preferably between about 0° C. and about 100° C., in the deposition chamber. The substrate is then annealed in the deposition chamber according to the anneal process described above. The substrate may then be transferred to a RTA chamber disposed on [0113] transfer chamber 50 in FIG. 1 for a second anneal process.
  • Annealing in an RTA anneal chamber may be performed by introducing a process gas including nitrogen (N[0114] 2), argon (Ar), helium (He), and combinations thereof, with less than about 4% hydrogen (H2), at a process gas flow rate greater than 20 liters/min to control the oxygen content to less than 100 ppm, maintaining a chamber pressure of about ambient, and heating the substrate to a temperature between about 600° C. and about 900° C. for between about 5 seconds and about 300 seconds to form the metal silicide layer. Preferably, the substrate is annealed in the RTA anneal chamber at 800° C. for about 30 seconds.
  • Low Temperature Deposition and Two-Step Annealing Process in Three Chambers. [0115]
  • In another embodiment, the metal layer may be deposited on a silicon substrate in [0116] chamber 36, transferred to a first anneal chamber, such as a vacuum anneal chamber disposed on the same transfer chamber 48 on the system 35, annealed for a first temperature for a first period of time, transferred to a second anneal chamber, for example chamber 41, in the system 35, and annealed at a second temperature for a second period of time to form the metal silicide layer without breaking vacuum.
  • The metal deposition is performed in the deposition chamber according to the process described above at a substrate temperature of about 200° C. or less, preferably between about 0° C. and about 100° C. The first step of this embodiment of the annealing process may be performed in situ in a first high vacuum anneal chamber disposed on a processing system by introducing an inert gas into the anneal chamber at a flow rate of 0 sccm and about 15 sccm, maintaining a chamber pressure about 2 milliTorr or less, heating the substrate to a temperature between about 400° C. and about 600° C. for between about 5 seconds and about 300 seconds. Preferably, the substrate is annealed in the deposition chamber at about 500° C. for between about 60 seconds and about 120 seconds. The first annealing step is believed to form an oxygen resistant film such as CoSi. [0117]
  • The substrate may be annealed in situ by transfer to a second high vacuum annealing chamber in the processing system. The second annealing step may then be performed by maintaining a chamber pressure of about 2 milliTorr or less and heating the substrate to a temperature between about 600° C. and about 900° C. for a period of time between about 5 seconds and about 300 seconds to form the metal silicide layer. Preferably, the substrate is annealed in the anneal chamber at 800° C. for between about 60 seconds and 120 seconds. [0118]
  • Alternatively, the substrate may be transferred to a second annealing chamber located outside the transfer chamber or processing system, such as an atmospheric pressure RTA chamber. Annealing in an RTA anneal chamber may be performed by introducing a process gas including nitrogen (N[0119] 2), argon (Ar), helium (He), and combinations thereof, with less than about 4% hydrogen (H2), at a process gas flow rate greater than 20 liters/min to control the oxygen content to less than 100 ppm, maintaining a chamber pressure of about ambient, and heating the substrate to a temperature between about 400° C. and about 900° C. for between about 5 seconds and about 300 seconds to form the metal silicide layer. Preferably, the substrate is annealed in the RTA anneal chamber at 800° C. for about 30 seconds.
  • High Temperature Deposition and Annealing process. [0120]
  • The metal may be deposited at a high deposition temperature. An example of a deposition process includes introducing an inert gas, such as argon, into the chamber at a flow rate between about 5 sccm and about 30 sccm, maintaining a chamber pressure between about 0.2 milliTorr and about 1.0 milliTorr, applying a negative bias of between about 0 volts and about 1000 volts to the [0121] target 142 to excite the gas into a plasma state, maintaining the substrate at about an annealing temperature, i.e., between about 400° C. and about 600° C. by applying a backside gas, and spacing the target between about 100 mm and about 300 mm from the substrate surface for a 200 mm substrate. The temperature may be maintained at about 200° C. by heating the substrate in the absence of a backside gas. Cobalt may be deposited on the silicon material at a rate between about 100 Å/min and about 2000 Å/min using this process.
  • The annealing process can then be performed in the deposition chamber by ending the plasma and heating of the substrate to a temperature between about 400° C. and 600° C. at the same heating levels used for the deposition process. The annealing process is performed at a temperature between about 400° C. and about 600° C. for between about 5 seconds and about 300 seconds. Preferably, the substrate is annealed in the deposition chamber at about 500° C. for between about 60 seconds and about 120 seconds. [0122]
  • The second annealing step may then be formed in an annealing chamber without breaking vacuum or in an annealing chamber located on a separate transfer chamber or processing system. The second annealing step includes heating the substrate to a temperature between about 600° C. and about 900° C. for a period of time between about 5 seconds and about 300 seconds to form the metal silicide layer. Preferably, the substrate is annealed at 800° C. for between about 60 seconds and 120 seconds. [0123]
  • Interlayer Deposition and Annealing process. [0124]
  • In one aspect of the invention, the two-step annealing process described herein may be separated by one or more processing steps, such as deposition processes. For example, a first metal layer, such as a cobalt or nickel layer, may be deposited in a first chamber, in situ annealed in the first transfer chamber or transferred to a second chamber for subsequent deposition and annealed therein. A second metal layer, such as tungsten is then deposited on the annealed substrate, and the substrate is exposed to a second anneal in the second chamber or transferred to a third chamber for the completion of the annealing process. [0125]
  • In another example, a first metal layer, such as a cobalt or nickel layer may be deposited in a first chamber, in situ annealed in the processing platform, transferred to a second deposition chamber for deposition of a barrier material thereon, such as titanium nitride, transferred to a third deposition chamber for deposition of a second metal, and then further annealed the third chamber or transferred to a fourth chamber for the completion of the annealing process. Alternatively, the in situ anneal of the first metal layer may be performed after the deposition of the barrier material and prior to the deposition of the second metal layer, such as tungsten, deposition. [0126]
  • Examples of Metal and Metal Silicide Deposition [0127]
  • An example of a deposition process of a metal silicide layer as a barrier layer for a tungsten plug in a feature definition is as follows and shown in FIGS. [0128] 8A-C. A substrate having a silicon-containing material 310 formed thereon with feature definitions 320 formed therein is provided to the processing system. The silicon-containing material 310 may be a dielectric material including silicon, silicon oxide, a doped silicon or silicon oxide layer, or other silicon-containing dielectric material used in substrate processing, which may be deposited by physical vapor deposition, chemical vapor deposition, or other method known or unknown in the art. The invention also contemplates that layer 310 may include semi-conductive silicon-containing materials including polysilicon, doped polysilicon, or combinations thereof, deposited by methods known or unknown in the art.
  • [0129] Feature definitions 320 are formed in the silicon-containing material 310 by conventional method known in the art. For example, the feature definitions may be formed by depositing and patterning a photoresist material to define the feature openings, a silicon etch process is then used to define the feature definitions, and any remaining photoresist material is removed, such as by an oxygen stripping method. The feature definitions may than be treated with a plasma clean process to remove any contaminants, such as oxide formed on the silicon-containing material, prior to deposition of subsequent materials as described herein. A layer of cobalt is deposited as a barrier layer 330 by the PVD deposition process described herein over the bottom and sidewalls of the feature definitions 320 as shown in FIG. 8A.
  • The [0130] cobalt barrier layer 330 may be annealed to form cobalt silicide at the interface 325 of the cobalt layer and the silicon containing material 310. Depending on the annealing process used, substantially all or only a portion of the cobalt layer 330 may be converted to cobalt silicide. When the cobalt material is not substantially converted to the cobalt silicide material, a surface 335 of unreacted cobalt is formed which is exposed to subsequently deposited materials as shown in FIG. 8B. This cobalt surface 335 may be maintained to further act as additional barrier layer material for subsequent metal deposition, such as tungsten, or may be removed from the substrate 300 surface by an etch process.
  • A layer of [0131] tungsten 350 is deposited to fill the feature 320 as shown in FIG. 8C. The tungsten deposition may be at a high enough temperature to completely convert the any unreacted cobalt material to cobalt silicide, in effect annealing the cobalt material, while depositing to fill the feature 320. Alternatively, a second annealing step is performed to substantially convert the cobalt layer 330 to a cobalt silicide layer 340.
  • Such a cobalt silicide barrier and tungsten fill of the [0132] feature 320 may be processed in the system 35 as follows. Referring to FIG. 2, the substrate is introduced into the first transfer chamber 48 of the system 35 via the loadlock 46. The first transfer chamber is operating at about 400 milliTorr. Transfer robot 49 retrieves the substrate from the loadlock 46 and transfer to pass-through chambers 52. Transfer robot 51 in the second transfer chamber 50 retrieves the substrate from the pass-through chamber 52 and positions the substrate in PVD chamber 38 for cobalt deposition. The second transfer chamber is operated at about 1×10−8 Torr. Alternatively, the transfer robot 51 positions the substrate in one of the pre-clean chambers prior to cobalt deposition in the PVD chamber 38. Following PVD deposition, the substrate is transferred back to the first transfer chamber 48 and disposed in a WxZ™ CVD chamber 38 for CVD tungsten deposition. The substrate may then be annealed as necessary.
  • Alternatively, following PVD deposition, the substrate is disposed in [0133] chamber 41, which is a WxZ™ chamber capable of in situ annealing, where the cobalt material is first annealed to form a silicide material or to improve barrier properties prior to CVD deposition. A layer of tungsten may then be deposited in the WxZ™ chamber following the anneal step. However, the substrate may be transferred after the first anneal in the WxZ™ chamber to a plasma etch chamber, such as a DPS™ chamber, for etching to remove cobalt and then annealed a second time in the WxZ™ chamber or another anneal chamber prior to tungsten deposition. Following deposition, and annealing if necessary, the substrate is transferred to the loadlock chamber 46 via the transfer robot 49. The substrate may then be transferred to a separate apparatus, such as a chemical-mechanical polishing apparatus, for further processing.
  • Another metal silicide application includes the formation of a MOS device shown in FIG. 9. The metal silicide includes silicides of cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof, for use in a MOS device. [0134]
  • In the illustrated MOS structure, N+ source and drain [0135] regions 402 and 404 are formed in a P type silicon substrate 400 adjacent field oxide portions 406. A gate oxide layer 408 and a polysilicon gate electrode 410 are formed over silicon substrate 400 in between source and drain regions 402 and 404 with oxide spacers 412 formed on the sidewalls of polysilicon gate electrode 410.
  • A cobalt layer is deposited over the MOS structure, and in particular over the exposed silicon surfaces of source and drain [0136] regions 402 and 404 and the exposed top surface of polysilicon gate electrode 410 by the process described herein. The cobalt material is deposited to a thickness of at about 1000 Å or less to provide a sufficient amount of cobalt for the subsequent reaction with the underlying silicon at 402 and 404. Cobalt may be deposited to a thickness between about 50 Å and about 500 Å on the silicon material. In one aspect, the cobalt layer is then annealed in situ as described herein to form cobalt silicide.
  • While not shown, a barrier or liner layer of a material, such as titanium nitride, may be deposited on the cobalt material to further enhance the barrier properties of the cobalt layer. The deposition of the titanium nitride layer may replace the step of removing unreacted cobalt as described above. However, the unreacted cobalt and titanium may be removed by the etch process after annealing of the substrate surface according to the anneal processes described herein. [0137]
  • The substrate may then be annealed again according to one of the two-step annealing processes described herein. [0138] Dielectric materials 422 may be deposited over the formed structure and etched to provide contact definitions 420 in the device. The contact definitions may then be filled with a contact material, such as tungsten, aluminum, or copper, from chemical vapor deposition techniques, such as described herein.
  • In one aspect, any unreacted cobalt from the annealing processes may be removed from the substrate surface, typically by a wet etch process or plasma etch process, and the cobalt silicide remains as cobalt silicide (CoSi[0139] 2) portions 414, 416, and 418 of uniform thickness respectively formed over polysilicon gate electrode 410 and over source and drain regions 402 and 404 in silicon substrate 400. Unreacted cobalt may be removed by an plasma process in a DPS™ chamber located on the same vacuum processing system, or may be transferred to another processing system for processing. Wet etch process are typically performed in a second processing system.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0140]

Claims (20)

What is claimed is:
1. A reactor for sputtering a magnetic material, comprising:
a target comprising the magnetic material disposed in a chamber;
a pedestal disposed in the chamber for supporting a substrate having a diameter at a position separated from the target by a throw distance of at least 50% of the diameter;
a magnetron positioned adjacent the target opposite the pedestal; and
a grounded collimator positioned between the pedestal and the target, wherein the collimator comprises an outer ring and at least two inner rings.
2. The reactor of claim 1, wherein the collimator is supported on and electrically connected to a shield.
3. The reactor of claim 2, wherein a side of the collimator facing the target is separated from the substrate by no more than 40% of the throw distance.
4. The reactor of claim 1, wherein the at least two inner rings are concentrically disposed within the outer ring.
5. The reactor of claim 4, wherein the at least two inner rings are positioned to direct the magnetic material towards the substrate containing high-aspect ratio apertures.
6. The reactor of claim 5, wherein the at least two inner rings independently have an inner ring length greater than a length of the outer ring.
7. The reactor of claim 5, wherein at least one inner strut is positioned between the at least two inner rings.
8. The reactor of claim 7, wherein at least one outer strut is positioned between the outer ring and the at least two inner rings.
9. A plasma sputter reactor, comprising:
a pedestal disposed in a chamber for supporting a substrate to be sputter coated;
a target disposed in the chamber between a magnetron and the pedestal;
a grounded shield disposed in the chamber; and
a collimator positioned between the pedestal and the target and supported and electrically fixed to the grounded shield, wherein the collimator comprises an outer ring and at least two inner rings.
10. The reactor of claim 9, wherein the grounded shield comprises a tubular upper portion generally of a first diameter and a tubular lower portion generally of a second diameter less than the first diameter and connected by a radially extending ledge on which the collimator is supported.
11. The reactor of claim 9, wherein a side of the collimator facing the target is separated from the substrate by no more than 40% of a throw distance.
12. The reactor of claim 9, wherein the at least two inner rings are concentrically disposed within the outer ring.
13. The reactor of claim 12, wherein the at least two inner rings are positioned to direct the sputtered material towards the substrate containing high-aspect ratio apertures.
14. The reactor of claim 13, wherein the at least two inner rings independently have an inner ring length greater than a length of the outer ring.
15. The reactor of claim 13, wherein at least one inner strut is positioned between the at least two inner rings.
16. The reactor of claim 15, wherein at least one outer strut is positioned between the outer ring and the at least two inner rings.
17. A ring collimator used to collimate sputtered material in a plasma reaction chamber, comprising:
an outer ring having a surface for electrically grounding to the plasma reaction chamber;
at least two inner rings concentrically disposed within the outer ring;
at least three inner struts positioned between the at least two inner rings; and
at least three outer struts positioned between the outer ring and the at least two inner rings.
18. The ring collimator of claim 17, wherein each inner strut and each outer strut is located on a diameter of the ring collimator and the inner strut and the outer strut are not on the same radius of the ring collimator.
19. The ring collimator of claim 17, further comprising a cylindrical shield having a surface for receiving the surface of the outer ring.
20. The ring collimator of claim 18, wherein the first and second inner rings independently have an inner ring length greater than a length of the outer ring.
US10/845,970 2001-07-25 2004-05-14 Barrier formation using novel sputter-deposition method Abandoned US20040211665A1 (en)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US10/845,970 US20040211665A1 (en) 2001-07-25 2004-05-14 Barrier formation using novel sputter-deposition method
US11/456,073 US7416979B2 (en) 2001-07-25 2006-07-06 Deposition methods for barrier and tungsten materials
US11/733,929 US8110489B2 (en) 2001-07-25 2007-04-11 Process for forming cobalt-containing materials
US12/111,923 US20090004850A1 (en) 2001-07-25 2008-04-29 Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US12/111,930 US20080268635A1 (en) 2001-07-25 2008-04-29 Process for forming cobalt and cobalt silicide materials in copper contact applications
US12/171,132 US7611990B2 (en) 2001-07-25 2008-07-10 Deposition methods for barrier and tungsten materials
US12/201,976 US9051641B2 (en) 2001-07-25 2008-08-29 Cobalt deposition on barrier surfaces
US12/969,445 US8187970B2 (en) 2001-07-25 2010-12-15 Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US13/456,904 US8563424B2 (en) 2001-07-25 2012-04-26 Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US14/717,375 US9209074B2 (en) 2001-07-25 2015-05-20 Cobalt deposition on barrier surfaces

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/916,234 US20030029715A1 (en) 2001-07-25 2001-07-25 An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US10/044,412 US6740585B2 (en) 2001-07-25 2002-01-09 Barrier formation using novel sputter deposition method with PVD, CVD, or ALD
US10/845,970 US20040211665A1 (en) 2001-07-25 2004-05-14 Barrier formation using novel sputter-deposition method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/044,412 Continuation US6740585B2 (en) 2001-07-25 2002-01-09 Barrier formation using novel sputter deposition method with PVD, CVD, or ALD

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/456,073 Continuation US7416979B2 (en) 2001-07-25 2006-07-06 Deposition methods for barrier and tungsten materials

Publications (1)

Publication Number Publication Date
US20040211665A1 true US20040211665A1 (en) 2004-10-28

Family

ID=26721518

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/845,970 Abandoned US20040211665A1 (en) 2001-07-25 2004-05-14 Barrier formation using novel sputter-deposition method
US11/456,073 Expired - Fee Related US7416979B2 (en) 2001-07-25 2006-07-06 Deposition methods for barrier and tungsten materials

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/456,073 Expired - Fee Related US7416979B2 (en) 2001-07-25 2006-07-06 Deposition methods for barrier and tungsten materials

Country Status (3)

Country Link
US (2) US20040211665A1 (en)
JP (1) JP2005504885A (en)
WO (1) WO2003030224A2 (en)

Cited By (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030193270A1 (en) * 2002-04-11 2003-10-16 Samsung Electro-Mechanics Co., Ltd. Piezoelectric transformer device and housing for piezoelectric transformer and method of manufacturing them
US20050064725A1 (en) * 2003-09-24 2005-03-24 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050227469A1 (en) * 2004-04-09 2005-10-13 Magnachip Semiconductor, Ltd. Method of manufacturing semiconductor device
US20060252256A1 (en) * 2005-05-09 2006-11-09 Cheng-Ming Weng Method for removing post-etch residue from wafer surface
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070080059A1 (en) * 2005-10-06 2007-04-12 Nobuyuki Takahashi Sputtering device
US20070176293A1 (en) * 2004-09-08 2007-08-02 Denso Corporation Semiconductor device having tin-based solder layer and method for manufacturing the same
US20070207628A1 (en) * 2006-03-02 2007-09-06 Chua Thai C Method for forming silicon oxynitride materials
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US20080067685A1 (en) * 2006-09-19 2008-03-20 Hynix Semiconductor Inc. Semiconductor Device Manufacturing Method
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20090087982A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20090142474A1 (en) * 2004-12-10 2009-06-04 Srinivas Gandikota Ruthenium as an underlayer for tungsten film deposition
US20090218043A1 (en) * 2008-02-28 2009-09-03 Ajit Balakrishna Gas flow equalizer plate suitable for use in a substrate process chamber
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7658970B2 (en) 2002-06-04 2010-02-09 Mei Chang Noble metal layer formation for copper film deposition
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7695563B2 (en) 2001-07-13 2010-04-13 Applied Materials, Inc. Pulsed deposition process for tungsten nucleation
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20110000783A1 (en) * 2008-03-04 2011-01-06 National University Corporation Tohoku University Rotary magnet sputtering apparatus
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20110111171A1 (en) * 2008-07-04 2011-05-12 Showa Denko K.K. Seed crystal for silicon carbide single crystal growth, method for producing the seed crystal, silicon carbide single crystal, and method for producing the single crystal
US20110124192A1 (en) * 2006-04-11 2011-05-26 Seshadri Ganguli Process for forming cobalt-containing materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
WO2015066624A1 (en) * 2013-11-04 2015-05-07 Applied Materials, Inc Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2016085805A1 (en) * 2014-11-26 2016-06-02 Applied Materials, Inc. Collimator for use in substrate processing chambers
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9960024B2 (en) 2015-10-27 2018-05-01 Applied Materials, Inc. Biasable flux optimizer / collimator for PVD sputter chamber
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
USD858468S1 (en) * 2018-03-16 2019-09-03 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
USD859333S1 (en) * 2018-03-16 2019-09-10 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US11088023B2 (en) * 2017-05-31 2021-08-10 United Microelectronics Corp. Method of forming a semiconductor structure
USD937329S1 (en) 2020-03-23 2021-11-30 Applied Materials, Inc. Sputter target for a physical vapor deposition chamber
US20220130676A1 (en) * 2020-01-24 2022-04-28 Applied Materials, Inc. Methods and devices for subtractive self-alignment
USD997111S1 (en) 2021-12-15 2023-08-29 Applied Materials, Inc. Collimator for use in a physical vapor deposition (PVD) chamber
USD998575S1 (en) 2020-04-07 2023-09-12 Applied Materials, Inc. Collimator for use in a physical vapor deposition (PVD) chamber
USD1009816S1 (en) 2021-08-29 2024-01-02 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
US11959167B2 (en) 2022-06-07 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7041201B2 (en) * 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
DE102007015503B4 (en) * 2007-03-30 2013-03-21 Globalfoundries Inc. Method and system for controlling chemical mechanical polishing by taking into account zone specific substrate data
KR20080101745A (en) * 2007-05-15 2008-11-21 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of tungsten materials
KR100890047B1 (en) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 Method for fabricating interconnection in semicondutor device
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
WO2009134925A2 (en) * 2008-04-29 2009-11-05 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in copper contact applications
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
WO2010027112A1 (en) * 2008-09-04 2010-03-11 Integrated Process Systems Ltd Method of manufacturing multi-level metal thin film and apparatus for manufacturing the same
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
WO2012047220A1 (en) 2010-10-07 2012-04-12 Empire Technology Development Llc Data transmission through optical vias
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
WO2015013266A1 (en) * 2013-07-24 2015-01-29 Applied Materials, Inc Cobalt substrate processing systems, apparatus, and methods
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation Metal fill process for three-dimensional vertical nand wordline
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6839789B2 (en) 2017-11-21 2021-03-10 ワトロー エレクトリック マニュファクチュアリング カンパニー Ceramic pedestal with atomic protective layer
CN111936664A (en) 2018-03-19 2020-11-13 应用材料公司 Method for depositing a coating on an aerospace component
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
JP2021523292A (en) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation How to deposit tungsten and other metals in a 3D NAND structure
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
US11846013B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Methods and apparatus for extended chamber for through silicon via deposition
US20220068709A1 (en) * 2020-08-25 2022-03-03 Applied Materials, Inc. Low Resistivity Tungsten Film And Method Of Manufacture

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5597462A (en) * 1993-06-24 1997-01-28 Hyundai Electronics Industries Co., Ltd. Condensing device for sputtering device
US5632873A (en) * 1995-05-22 1997-05-27 Stevens; Joseph J. Two piece anti-stick clamp ring
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5728276A (en) * 1994-08-23 1998-03-17 Tel Varian Limited Treatment apparatus
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5736021A (en) * 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6171922B1 (en) * 1993-09-01 2001-01-09 National Semiconductor Corporation SiCr thin film resistors having improved temperature coefficients of resistance and sheet resistance
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6190495B1 (en) * 1998-07-29 2001-02-20 Tokyo Electron Limited Magnetron plasma processing apparatus
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6218716B1 (en) * 1998-03-13 2001-04-17 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6221766B1 (en) * 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US6225176B1 (en) * 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030015421A1 (en) * 2001-07-20 2003-01-23 Applied Materials, Inc. Collimated sputtering of cobalt
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030019745A1 (en) * 2001-07-25 2003-01-30 Data Storage Institute. Oblique deposition apparatus
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050064207A1 (en) * 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
US4500409A (en) 1983-07-19 1985-02-19 Varian Associates, Inc. Magnetron sputter coating source for both magnetic and non magnetic target materials
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
US4824544A (en) 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5122923A (en) 1989-08-30 1992-06-16 Nec Corporation Thin-film capacitors and process for manufacturing the same
DE69129081T2 (en) 1990-01-29 1998-07-02 Varian Associates Device and method for precipitation by a collimator
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5335138A (en) 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
TW271490B (en) 1993-05-05 1996-03-01 Varian Associates
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
KR100321536B1 (en) 1993-12-28 2002-06-20 히가시 데쓰로 Dipole ring magnet for magnetron sputtering or magnetron etching
US5666247A (en) 1994-02-04 1997-09-09 Seagate Technology, Inc. No-field, low power FeMn deposition giving high exchange films
KR970009828B1 (en) 1994-02-23 1997-06-18 Sansung Electronics Co Ltd Fabrication method of collimator
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
JP2655094B2 (en) 1994-08-30 1997-09-17 日本電気株式会社 Electron gun deposition equipment
US5616218A (en) 1994-09-12 1997-04-01 Matereials Research Corporation Modification and selection of the magnetic properties of magnetic recording media through selective control of the crystal texture of the recording layer
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
JP2671835B2 (en) 1994-10-20 1997-11-05 日本電気株式会社 Sputtering apparatus and method for manufacturing semiconductor device using the apparatus
US5527438A (en) 1994-12-16 1996-06-18 Applied Materials, Inc. Cylindrical sputtering shield
US5663088A (en) 1995-05-19 1997-09-02 Micron Technology, Inc. Method of forming a Ta2 O5 dielectric layer with amorphous diffusion barrier layer and method of forming a capacitor having a Ta2 O5 dielectric layer and amorphous diffusion barrier layer
US5780361A (en) 1995-06-23 1998-07-14 Nec Corporation Salicide process for selectively forming a monocobalt disilicide film on a silicon region
WO1997001854A1 (en) 1995-06-28 1997-01-16 Bell Communication Research, Inc. Barrier layer for ferroelectric capacitor integrated on silicon
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US5589039A (en) 1995-07-28 1996-12-31 Sony Corporation In-plane parallel bias magnetic field generator for sputter coating magnetic materials onto substrates
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5650052A (en) 1995-10-04 1997-07-22 Edelstein; Sergio Variable cell size collimator
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JPH09316643A (en) 1996-02-15 1997-12-09 Mitsubishi Materials Corp Sticking preventing parts for physical vapor deposition system
US6014943A (en) 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
JP4142753B2 (en) * 1996-12-26 2008-09-03 株式会社東芝 Sputtering target, sputtering apparatus, semiconductor device and manufacturing method thereof
US5936831A (en) 1997-03-06 1999-08-10 Lucent Technologies Inc. Thin film tantalum oxide capacitors and resulting product
US5902129A (en) 1997-04-07 1999-05-11 Lsi Logic Corporation Process for forming improved cobalt silicide layer on integrated circuit structure using two capping layers
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6007403A (en) 1997-11-17 1999-12-28 Urspringer; Steven E. Flexible constrictor for inflatable bodies
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
GB2340845B (en) 1998-08-19 2001-01-31 Kobe Steel Ltd Magnetron sputtering apparatus
US6132575A (en) 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6165807A (en) 1999-01-25 2000-12-26 Taiwan Smiconductor Manufacturing Company Method for forming junction leakage monitor for mosfets with silicide contacts
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4834831A (en) * 1986-09-08 1989-05-30 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
US4993357A (en) * 1987-12-23 1991-02-19 Cs Halbleiter -Und Solartechnologie Gmbh Apparatus for atomic layer epitaxial growth
US5281274A (en) * 1990-06-22 1994-01-25 The United States Of America As Represented By The Secretary Of The Navy Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5597462A (en) * 1993-06-24 1997-01-28 Hyundai Electronics Industries Co., Ltd. Condensing device for sputtering device
US6171922B1 (en) * 1993-09-01 2001-01-09 National Semiconductor Corporation SiCr thin film resistors having improved temperature coefficients of resistance and sheet resistance
US5730802A (en) * 1994-05-20 1998-03-24 Sharp Kabushiki Kaisha Vapor growth apparatus and vapor growth method capable of growing good productivity
US5728276A (en) * 1994-08-23 1998-03-17 Tel Varian Limited Treatment apparatus
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US6572705B1 (en) * 1994-11-28 2003-06-03 Asm America, Inc. Method and apparatus for growing thin films
US5632873A (en) * 1995-05-22 1997-05-27 Stevens; Joseph J. Two piece anti-stick clamp ring
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US20020009896A1 (en) * 1996-05-31 2002-01-24 Sandhu Gurtej S. Chemical vapor deposition using organometallic precursors
US5736021A (en) * 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6221766B1 (en) * 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6218716B1 (en) * 1998-03-13 2001-04-17 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6183563B1 (en) * 1998-05-18 2001-02-06 Ips Ltd. Apparatus for depositing thin films on semiconductor wafers
US6231672B1 (en) * 1998-05-18 2001-05-15 Ips Ltd. Apparatus for depositing thin films on semiconductor wafer by continuous gas injection
US6190495B1 (en) * 1998-07-29 2001-02-20 Tokyo Electron Limited Magnetron plasma processing apparatus
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6225176B1 (en) * 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6042652A (en) * 1999-05-01 2000-03-28 P.K. Ltd Atomic layer deposition apparatus for depositing atomic layer on multiple substrates
US20020009544A1 (en) * 1999-08-20 2002-01-24 Mcfeely F. Read Delivery systems for gases for gases via the sublimation of solid precursors
US20030101927A1 (en) * 1999-09-08 2003-06-05 Ivo Raaijmakers Apparatus and method for growth of a thin film
US20030089308A1 (en) * 1999-09-08 2003-05-15 Ivo Raaijmakers Apparatus and method for growth of a thin film
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6548112B1 (en) * 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US20030022338A1 (en) * 1999-11-22 2003-01-30 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
US20020017242A1 (en) * 2000-05-25 2002-02-14 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Inner tube for CVD apparatus
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20020000196A1 (en) * 2000-06-24 2002-01-03 Park Young-Hoon Reactor for depositing thin film on wafer
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020066411A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method and apparatus for improved temperature control in atomic layer deposition
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20030004723A1 (en) * 2001-06-26 2003-01-02 Keiichi Chihara Method of controlling high-speed reading in a text-to-speech conversion system
US20030075925A1 (en) * 2001-07-03 2003-04-24 Sven Lindfors Source chemical container assembly
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20050059240A1 (en) * 2001-07-19 2005-03-17 Kyung-In Choi Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030015421A1 (en) * 2001-07-20 2003-01-23 Applied Materials, Inc. Collimated sputtering of cobalt
US20030019745A1 (en) * 2001-07-25 2003-01-30 Data Storage Institute. Oblique deposition apparatus
US6730197B2 (en) * 2001-07-25 2004-05-04 Data Storage Institute Oblique deposition apparatus
US20030075273A1 (en) * 2001-08-15 2003-04-24 Olli Kilpela Atomic layer deposition reactor
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072913A1 (en) * 2001-10-12 2003-04-17 Kuang-Chun Chou Substrate strip with sides having flanges and recesses
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040013577A1 (en) * 2002-07-17 2004-01-22 Seshadri Ganguli Method and apparatus for providing gas to a processing chamber
US20040011404A1 (en) * 2002-07-19 2004-01-22 Ku Vincent W Valve design and configuration for fast delivery system
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040016404A1 (en) * 2002-07-23 2004-01-29 John Gregg Vaporizer delivery ampoule
US20040025370A1 (en) * 2002-07-29 2004-02-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040071897A1 (en) * 2002-10-11 2004-04-15 Applied Materials, Inc. Activated species generator for rapid cycle deposition processes
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050064207A1 (en) * 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes

Cited By (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US7695563B2 (en) 2001-07-13 2010-04-13 Applied Materials, Inc. Pulsed deposition process for tungsten nucleation
US7749815B2 (en) 2001-07-16 2010-07-06 Applied Materials, Inc. Methods for depositing tungsten after surface treatment
US8815724B2 (en) 2001-07-25 2014-08-26 Applied Materials, Inc. Process for forming cobalt-containing materials
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030193270A1 (en) * 2002-04-11 2003-10-16 Samsung Electro-Mechanics Co., Ltd. Piezoelectric transformer device and housing for piezoelectric transformer and method of manufacturing them
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7658970B2 (en) 2002-06-04 2010-02-09 Mei Chang Noble metal layer formation for copper film deposition
US7312163B2 (en) * 2003-09-24 2007-12-25 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US7323412B2 (en) 2003-09-24 2008-01-29 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050064725A1 (en) * 2003-09-24 2005-03-24 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050158998A1 (en) * 2003-09-24 2005-07-21 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050159018A1 (en) * 2003-09-24 2005-07-21 Derderian Garo J. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050227469A1 (en) * 2004-04-09 2005-10-13 Magnachip Semiconductor, Ltd. Method of manufacturing semiconductor device
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7579212B2 (en) * 2004-09-08 2009-08-25 Denso Corporation Semiconductor device having tin-based solder layer and method for manufacturing the same
US20070176293A1 (en) * 2004-09-08 2007-08-02 Denso Corporation Semiconductor device having tin-based solder layer and method for manufacturing the same
US20090142474A1 (en) * 2004-12-10 2009-06-04 Srinivas Gandikota Ruthenium as an underlayer for tungsten film deposition
US7691442B2 (en) 2004-12-10 2010-04-06 Applied Materials, Inc. Ruthenium or cobalt as an underlayer for tungsten film deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US20060252256A1 (en) * 2005-05-09 2006-11-09 Cheng-Ming Weng Method for removing post-etch residue from wafer surface
US7192878B2 (en) * 2005-05-09 2007-03-20 United Microelectronics Corp. Method for removing post-etch residue from wafer surface
US20070125750A1 (en) * 2005-05-09 2007-06-07 Cheng-Ming Weng Method for removing post-etch residue from wafer surface
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070080059A1 (en) * 2005-10-06 2007-04-12 Nobuyuki Takahashi Sputtering device
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070207628A1 (en) * 2006-03-02 2007-09-06 Chua Thai C Method for forming silicon oxynitride materials
US20110124192A1 (en) * 2006-04-11 2011-05-26 Seshadri Ganguli Process for forming cobalt-containing materials
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080067685A1 (en) * 2006-09-19 2008-03-20 Hynix Semiconductor Inc. Semiconductor Device Manufacturing Method
US7838441B2 (en) 2006-10-09 2010-11-23 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087982A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7737028B2 (en) 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US20090218043A1 (en) * 2008-02-28 2009-09-03 Ajit Balakrishna Gas flow equalizer plate suitable for use in a substrate process chamber
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US20110000783A1 (en) * 2008-03-04 2011-01-06 National University Corporation Tohoku University Rotary magnet sputtering apparatus
US8535494B2 (en) * 2008-03-04 2013-09-17 National University Corporation Tohoku University Rotary magnet sputtering apparatus
US11384429B2 (en) 2008-04-29 2022-07-12 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US20110111171A1 (en) * 2008-07-04 2011-05-12 Showa Denko K.K. Seed crystal for silicon carbide single crystal growth, method for producing the seed crystal, silicon carbide single crystal, and method for producing the single crystal
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US10788744B2 (en) 2013-03-12 2020-09-29 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US11087998B2 (en) 2013-11-04 2021-08-10 Applied Materials, Inc. Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
WO2015066624A1 (en) * 2013-11-04 2015-05-07 Applied Materials, Inc Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US10971381B2 (en) 2013-11-04 2021-04-06 Applied Materials, Inc. Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
CN105706227A (en) * 2013-11-04 2016-06-22 应用材料公司 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
TWI658531B (en) * 2013-11-04 2019-05-01 應用材料股份有限公司 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
CN109338293A (en) * 2014-11-26 2019-02-15 应用材料公司 The collimator used in substrate processing chamber
CN107002220A (en) * 2014-11-26 2017-08-01 应用材料公司 The collimater used in substrate processing chamber
US9543126B2 (en) 2014-11-26 2017-01-10 Applied Materials, Inc. Collimator for use in substrate processing chambers
WO2016085805A1 (en) * 2014-11-26 2016-06-02 Applied Materials, Inc. Collimator for use in substrate processing chambers
US11309169B2 (en) 2015-10-27 2022-04-19 Applied Materials, Inc. Biasable flux optimizer / collimator for PVD sputter chamber
US9960024B2 (en) 2015-10-27 2018-05-01 Applied Materials, Inc. Biasable flux optimizer / collimator for PVD sputter chamber
US10347474B2 (en) 2015-10-27 2019-07-09 Applied Materials, Inc. Biasable flux optimizer / collimator for PVD sputter chamber
US10727033B2 (en) 2015-10-27 2020-07-28 Applied Materials, Inc. Biasable flux optimizer / collimator for PVD sputter chamber
US11088023B2 (en) * 2017-05-31 2021-08-10 United Microelectronics Corp. Method of forming a semiconductor structure
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
USD859333S1 (en) * 2018-03-16 2019-09-10 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
USD858468S1 (en) * 2018-03-16 2019-09-03 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
US20220130676A1 (en) * 2020-01-24 2022-04-28 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11908696B2 (en) * 2020-01-24 2024-02-20 Applied Materials, Inc. Methods and devices for subtractive self-alignment
USD937329S1 (en) 2020-03-23 2021-11-30 Applied Materials, Inc. Sputter target for a physical vapor deposition chamber
USD998575S1 (en) 2020-04-07 2023-09-12 Applied Materials, Inc. Collimator for use in a physical vapor deposition (PVD) chamber
USD1009816S1 (en) 2021-08-29 2024-01-02 Applied Materials, Inc. Collimator for a physical vapor deposition chamber
USD997111S1 (en) 2021-12-15 2023-08-29 Applied Materials, Inc. Collimator for use in a physical vapor deposition (PVD) chamber
US11959167B2 (en) 2022-06-07 2024-04-16 Applied Materials, Inc. Selective cobalt deposition on copper surfaces

Also Published As

Publication number Publication date
US7416979B2 (en) 2008-08-26
WO2003030224A3 (en) 2004-02-19
WO2003030224A2 (en) 2003-04-10
JP2005504885A (en) 2005-02-17
US20060276020A1 (en) 2006-12-07

Similar Documents

Publication Publication Date Title
US6740585B2 (en) Barrier formation using novel sputter deposition method with PVD, CVD, or ALD
US7416979B2 (en) Deposition methods for barrier and tungsten materials
US8110489B2 (en) Process for forming cobalt-containing materials
US7026238B2 (en) Reliability barrier integration for Cu application
US8563424B2 (en) Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20110124192A1 (en) Process for forming cobalt-containing materials
US20080268635A1 (en) Process for forming cobalt and cobalt silicide materials in copper contact applications
US20020132473A1 (en) Integrated barrier layer structure for copper contact level metallization
US6528180B1 (en) Liner materials
US11908696B2 (en) Methods and devices for subtractive self-alignment
TWI723465B (en) Method of forming nickel silicide materials
US20020162500A1 (en) Deposition of tungsten silicide films
WO2009134916A2 (en) Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use
WO2021081379A1 (en) Method of forming interconnect for semiconductor device
US11562925B2 (en) Method of depositing multilayer stack including copper over features of a device structure

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION