US20040198069A1 - Method for hafnium nitride deposition - Google Patents

Method for hafnium nitride deposition Download PDF

Info

Publication number
US20040198069A1
US20040198069A1 US10/407,930 US40793003A US2004198069A1 US 20040198069 A1 US20040198069 A1 US 20040198069A1 US 40793003 A US40793003 A US 40793003A US 2004198069 A1 US2004198069 A1 US 2004198069A1
Authority
US
United States
Prior art keywords
hafnium
precursor
group
sih
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/407,930
Inventor
Craig Metzner
Shreyas Kher
Yeong Kim
M. Rocklein
Steven George
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
University of Colorado
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/407,930 priority Critical patent/US20040198069A1/en
Assigned to APPLIED MATERIALS, INC., REGENTS OF THE UNIVERSITY OF COLORADO reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, YEONG KWAN, KHER, SHREYAS, METZNER, CRAIG, GEORGE, STEVEN M., ROCKLEIN, M. NOEL
Priority to EP04759751A priority patent/EP1613790A1/en
Priority to CNA2004800084270A priority patent/CN1768159A/en
Priority to PCT/US2004/008961 priority patent/WO2004094691A1/en
Priority to KR1020057018882A priority patent/KR20050114271A/en
Priority to JP2006507521A priority patent/JP2006522225A/en
Publication of US20040198069A1 publication Critical patent/US20040198069A1/en
Priority to US11/420,928 priority patent/US7547952B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation

Definitions

  • Embodiments of the present invention generally relate to methods to deposit materials on substrates, and more specifically, to methods for depositing metal oxides, metal nitrides, metal oxynitrides, metal silicates and metal silicon oxynitrides using atomic layer deposition processes.
  • ALD atomic layer deposition
  • reactants are sequentially introduced into a processing chamber where each reactant chemisorbs onto the surface of the substrate and a surface reaction occurs.
  • a purge step is typically carried out between the delivery of each reactant gas.
  • the purge step may be a continuous purge with the carrier gas or a pulse purge between the delivery of the reactant gases.
  • U.S. Pat. No. 6,287,965 describes a method of ALD to form a metal nitride layer having the structure of A-B-N, where A is a metal, B is an element to prevent crystallization and N is nitrogen.
  • the preferred embodiment teaches a method to make TiAlN. No incorporation of oxygen into these films is disclosed; in fact, the invention teaches away from oxygen incorporation by sequentially stacking oxygen diffusion barrier layers between the metal nitride layers for oxygen protection.
  • a composite integrated film is produced by repetitive cycles of the method.
  • the deposited material from the molecular precursor are metals and the radicals, in the alternate steps, are used to remove ligands left from the metal precursor reactions.
  • the radicals oxidize or nitridize the metal surface in subsequent layers in order to respectively yield metal oxide or nitride.
  • metallic hafnium and hafnium oxide are made from a halogen-containing precursor.
  • the reference does not address complex hafnium compounds (tertiary, quaternary or pentanary) produced from metal organic compounds.
  • the reference requires the use of radicals to incorporate oxygen and/or nitrogen into the film.
  • hafnium compounds such as nitrides, silicates, oxynitrides, silicon nitrides, silicon oxynitrides, aluminum oxynitrides and aluminum silicon oxynitrides from organometallic compounds.
  • the present invention is a method for forming a layer comprising hafnium on a substrate surface, sequentially comprising: a) exposing the substrate surface to a hafnium precursor to form a hafnium containing layer on the substrate surface; b) purging the chamber with a purge gas; c) reacting a second precursor with the hafnium containing layer; d) purging the chamber with the purge gas; e) reacting a third precursor with the hafnium containing layer; f) purging the chamber with the purge gas; g) reacting a fourth precursor with the hafnium containing layer; and h) purging the chamber with the purge gas.
  • the present invention is a method for growing a layer comprising hafnium, comprising exposing a substrate sequentially to at least four precursors during an ALD cycle to deposit a compound film comprising hafnium and at least three elements selected from the group consisting of silicon, aluminum, oxygen and nitrogen.
  • the present invention is a composition of a semiconductor material, comprising HfSi x O y N z , wherein x is at least about 0.2 and less than about 4, y is at least about 0.5 and less than about 4 and z is at least about 0.05 and less than about 2.
  • FIG. 1 is a scheme to show an example of half reactions that are used to grow a hafnium nitride film.
  • FIG. 2 is a scheme to show an example of half reactions that are used to grow a hafnium oxide film.
  • FIGS. 3A-3D are schemes to show an example of half reactions that are used to grow a hafnium silicate film.
  • FIGS. 4A-4D are schemes to show an example of half reactions that are used to grow a hafnium silicon oxynitride film.
  • the present invention provides methods for preparing hafnium compounds used in a variety applications including high k dielectric materials.
  • the methods use atomic layer deposition (ALD) to have elemental control of the composition of hafnium compounds.
  • ALD atomic layer deposition
  • the elemental control is generally separated by half reactions.
  • AB is the product compound and CD is the secondary compound or secondary product.
  • step 1 wherein the half reaction of step 1 is initiated by the functional group NH 2 and * is an atom or molecule that is part of the substrate, film or surface group.
  • the hafnium precursor reacts with the NH 2 group and forms a Hf—N bond.
  • Ligands are protonated from the hafnium precursor to form a secondary product.
  • ammonia reacts with the hafnium complex bound to the surface.
  • the remaining ligands are protonated and removed while another Hf—N bond and another functional group (NH) are formed as the product compound.
  • diethyl amine (HNEt 2 ) can be made as a secondary compound.
  • Secondary compounds are amines and hydrazines and include radicals, ions and variations to ligands, such as Et 2 N, (Et 2 N) 2 , EtNH and (EtNH) 2 . Generally, these secondary compounds are readily removable, such as by vacuum and/or purge.
  • the reaction schemes are not necessarily stoichiometric, but have a wide range of atomic ratios. Throughout the disclosure, reaction examples lack specific stoichiometry, bonding order and bonding connectivity of the product compounds and secondary compounds.
  • step 3 wherein the half reaction of step 3 is initiated by the functional OH group and forms a Hf—O bond.
  • Step 4 proceeds to form another Hf—O bond as well as the terminus and functional OH group.
  • a first half reaction initiates with the reaction of a first functional group, establishes at least one product compound bond and establishes a second functional group.
  • the second half reaction initiates with a reaction of the second functional group, establishes at least one product compound bond and establishes a third functional group.
  • the third functional group in many examples, is the same or similar to the first functional group. However, the second half reaction is still complete even when the third functional group is different. Examples with tertiary, quaternary and higher product compounds require half reactions with more than two precursors. Therefore, half reactions are not limited to only binary product compounds and may contain any number of half reactions. Most half reactions are sequentially separated by gas and/or vacuum purges.
  • Embodiments of the processes described herein deposit hafnium-containing materials on many substrates and surfaces.
  • Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers.
  • Surfaces include bare silicon wafers, films, layers and materials with dielectric, conductive and barrier properties and include aluminum oxide and polysilicon. Pretreatment of surfaces includes polishing, etching, reduction, oxidation, hydroxylation, annealing and baking.
  • a pretreatment can be accomplished by administering a reagent, such as NH 3 , B 2 H 6 , SiH 4 , SiH 6 , H 2 O, HF, HCl, O 2 , O 3 , H 2 O 2 , H 2 , atomic-H, atomic-N, atomic-O, alcohols or amines.
  • a reagent such as NH 3 , B 2 H 6 , SiH 4 , SiH 6 , H 2 O, HF, HCl, O 2 , O 3 , H 2 O 2 , H 2 , atomic-H, atomic-N, atomic-O, alcohols or amines.
  • hafnium precursor adsorption is self-limiting under certain process conditions, and generally must be at low temperatures ( ⁇ 500° C.) to exhibit this behavior.
  • hafnium precursor adsorption is self-limiting under certain process conditions, and generally must be at low temperatures ( ⁇ 500° C.) to exhibit this behavior.
  • hafnium is added to produce either *O—Hf(NEt 2 ) x or *N—Hf(NEt 2 ) x .
  • An atom such as a nitrogen or oxygen, can anchor the hafnium atom to the substrate or surface.
  • *Hf(NEt 2 ) x is self-limiting because the hafnium precursor will not react further; therefore, this is the first half reaction.
  • an oxygen source e.g., water
  • a nitrogen source e.g., ammonia
  • the first half reaction with a hafnium precursor initiates a series of many half reactions to make binary, tertiary, quaternary and more complex compounds.
  • the first half reaction does not have to include a hafnium precursor, but can include any precursor to which a particular element is incorporated into the film.
  • hafnium precursors as the first half reaction in order to more clearly explain aspects of the invention.
  • One embodiment of the invention is directed to a process which proceeds with the half reaction of NH 3 to *Hf(NEt 2 ) x to produce *Hf—NH.
  • Hafnium nitride is synthesized by sequentially proceeding with a half reaction of the hafnium precursor and a half reaction of a nitrogen source.
  • FIG. 1 depicts a half reaction which is initiated by dosing (Et 2 N) 4 Hf from about 0.01 second to about 10 seconds, preferably about 0.25 second and dosing an inert gas purge from about 0.01 second to about 20 seconds, preferably about 0.25 second.
  • a second half reaction is then initiated by dosing NH 3 from about 0.01 second to about 10 seconds, preferably about 0.25 second and dosing an inert gas purge from about 0.01 second to about 20 seconds, preferably about 0.25 second.
  • the two half reactions are cycled several times to grow a hafnium nitride film at the rate of about 50 ng/cm 2 per cycle.
  • stoichiometry of the product compound is controlled. Slight variations of the stoichiometry can have an impact on the electrical properties, e.g., Hf 3 N 4 is an insulating material while HfN is a conducting material.
  • HfN is made from a nitrate-free hafnium precursor.
  • Hafnium nitride films can have oxygen contamination, since nitrates contain an oxygen/nitrogen ratio of three.
  • a method for forming a semiconductor material by atomic layer deposition includes pulsing a hafnium precursor and a nitrogen precursor sequentially and cyclically.
  • the hafnium nitride is deposited to the substrate surface wherein the hafnium nitride has a formula HfN x and x is at least about 1.1 and less than about 1.3.
  • the hafnium precursor is TDEAH and the nitrogen precursor is NH 3 .
  • the hafnium precursor is HfCl4 and the nitrogen precursor is a radical nitrogen, such as atomic nitrogen.
  • FIG. 2 depicts a half reaction which is initiated by dosing (Et 2 N) 4 Hf from about 0.01 second to about 10 seconds and an inert gas purge dosed for about 0.01 second to about 20 seconds.
  • a second half reaction is then initiated by dosing H 2 O from about 0.01 second to about 10 seconds and an inert gas purge from about 0.01 second to about 20 seconds.
  • the two half reaction are cycled several times to grow a hafnium oxide film at the rate of about 1.2 ⁇ per cycle.
  • hafnium nitride or hafnium oxide films can be modified to achieve other materials, namely tertiary compounds.
  • Hafnium nitride is porous and reacts with water to form hafnium oxynitride, Hf—O—N. Therefore, to the hafnium nitride cycle, a half reaction of an oxygen source (e.g., water) is added to synthesize hafnium oxynitride.
  • the ratio of Hf:O:N is controlled and varied to the desired characteristics of the product compound.
  • an oxygen precursor half reaction is included into the half reaction cycle.
  • Such a cycle comprises a hafnium precursor half reaction, a nitrogen precursor half reaction, another hafnium precursor half reaction and an oxygen precursor half reaction.
  • the oxygen precursor half reaction can be added into the cycle at any ratio relative to the hafnium and nitrogen precursor half reactions.
  • an oxygen precursor half reaction is added at every ten complete cycles of hafnium and nitrogen precursor half reactions.
  • the ratio can be varied in order to control the oxygen stoichiometry by film depth. Hence, a graded film is formed.
  • the process conditions are as follows, pressure is about 1 Torr, temperature is about 225° C., argon carrier flow is about 200 sccm, H 2 O and NH 3 are dosed into the argon carrier flow in the range from about 1 second to about 4 seconds and TDEAH is dosed at about 20 seconds.
  • Graded films can be used to transition between various materials.
  • One embodiment uses the method to transition between hafnium nitride and hafnium oxide.
  • the elemental ratios N:Hf:O start out at 10:10:0, progress to 10:10:1, progress to 5:10:5, progress to 1:10:10 and finally 0:10:10, such that the film at the exposed surface following deposition is hafnium oxide.
  • Graded films have advantageous characteristics, such as allowing control of electrical properties throughout the depth of the film, as well as higher levels of film adhesion.
  • Additional embodiments include methods to synthesize hafnium oxynitride. Due to the porosity of hafnium nitride, multiple layers are susceptible to oxygen enrichment. Instead of incorporating oxygen into each surface layer via half reactions, an excess oxygen precursor (e.g., water) is used to penetrate multiple layers of hafnium nitride and form a hafnium oxynitride graded film such as:
  • an excess oxygen precursor e.g., water
  • hafnium nitride can be formed by ALD, CVD, PVD or other techniques and thereafter oxygenated with an oxygen precursor.
  • a silicon precursor half reaction is included into the half reaction cycle for hafnium nitride formation.
  • the cycle includes a hafnium precursor half reaction, a nitrogen precursor half reaction, a silicon precursor half reaction and another nitrogen precursor half reaction.
  • the silicon precursor half reaction is added into the cycle at any ratio relative to the hafnium and nitrogen precursor half reactions.
  • a silicon precursor half reaction is added about at every two complete cycles of hafnium and nitrogen precursor half reactions.
  • the ratio can be varied in order to control the ratio of the silicon incorporated by depth of the film.
  • hafnium oxynitride the method enables control of the Hf:Si:N stoichiometry.
  • a nitrogen precursor half reaction is included into the half reaction cycle of hafnium oxide.
  • the cycle comprises a hafnium precursor half reaction, an oxygen precursor half reaction, another hafnium precursor half reaction and a nitrogen precursor half reaction.
  • the nitrogen precursor half reaction is added into the cycle at any ratio relative to the hafnium and oxygen precursor half reactions.
  • a nitrogen precursor half reaction is added at every two complete cycles of hafnium and oxygen precursor half reactions.
  • the ratio can be varied in order to control the ratio of the nitrogen incorporated by depth of the growing film.
  • a silicon source half reaction is included into the half reaction cycle of hafnium oxide.
  • the cycle comprises a silicon precursor half reaction, an oxygen precursor half reaction, a hafnium precursor half reaction and another oxygen precursor half reaction. Purges occur between each half reaction.
  • the silicon precursor half reaction can be added into the cycle at any ratio relative to the hafnium and oxygen precursor half reactions. As an example, a silicon precursor half reaction is added at every two complete cycles of hafnium and oxygen precursor half reactions. Furthermore, the ratio can be varied in order to control the ratio of the silicon incorporated by depth of the film.
  • Embodiments of the invention include multiple methods to synthesize quaternary compounds, especially hafnium silicon oxynitride (HfSiON), as depicted in FIGS. 4A-4D.
  • Methods to synthesize two tertiary compounds (HfSiO and HfSiN) are modified to respectively nitridized or oxidized within the cycles to form the quaternary complex HfSiON.
  • Half reactions of nitrogen, oxygen or silicon precursors are added at particular cycles, providing complete control to the N:O:Si ratios relative to hafnium.
  • a nitrogen source half reaction is included into the half reaction cycle of hafnium silicate.
  • a cycle comprises a silicon precursor half reaction, an oxygen precursor half reaction, a hafnium precursor half reaction and a nitrogen precursor half reaction.
  • the nitrogen precursor half reaction can be added into the cycle at any ratio relative to the hafnium, silicon and oxygen precursor half reactions.
  • a nitrogen precursor half reaction can be added at about every two complete cycles of hafnium, silicon and oxygen precursor half reactions.
  • the cycle ratio can be varied in order to control the nitrogen ratio incorporated within film depth.
  • the surface is terminated with a *SiOH group.
  • the half reaction cycles are conducted with a hafnium precursor, a nitrogen precursor, a silicon precursor and an oxygen precursor, each separated with a purge.
  • the respective precursors can be TDEAH, ammonia, Tris-DMAS and water.
  • the respective precursors are HfCl 4 , radical nitrogen, Si 2 Cl 6 and O 3 .
  • the composition is controlled to form a semiconductor material, comprising HfSi x O y N z , wherein x is at least about 0.2 and less than about 4, y is at least about 0.5 and less than about 4 and z is at least about 0.05 and less than about 2.
  • Embodiments of the invention include multiple methods to synthesize pentanary compounds, especially hafnium aluminum silicon oxynitride (HfAlSiON).
  • Half reactions of hafnium, aluminum, nitrogen, oxygen and silicon precursors are added at particular cycles, providing complete control to the Al:N:O:Si ratios relative to hafnium.
  • one cycle of half reaction pulses will include, in the respective order, water, TDEAH, ammonia, Tris-DMAS, water and TMA.
  • one cycle of half reaction pulses will include, in the respective order, water, HfCl 4 , ammonia, Tris-DMAS, water and TMA.
  • any stoichiometry of the following compounds is made by methods of the process: HfO, HfN, HfON, HfSiO, HfSiN, HfSiON, HfAlO, HfAlN, HfAlON, HfSiAlO, HfSiAlN, HfSiAlON. Therefore, ALD provides stoichiometric control during the deposition of product compounds.
  • the stoichiometry may be altered by various procedures following the deposition process, such as when Hf 3 N 4 is thermally annealed to form HfN. Stoichiometry is also controlled by altering the precursor ratios during deposition.
  • the product compounds are used as high-k transistor gate dielectric materials, transistor gate interface engineering, high-k capacitor dielectric materials (DRAMs), seed layers, diffusion barrier layers, adhesion layers, insulator layers, conducting layers and functionalized surface groups for patterned surfaces (e.g., selective deposition).
  • DRAMs high-k capacitor dielectric materials
  • the materials formed by the claimed invention are used as insulating, conducting or structural films. The materials can also serve as functionalized surface groups to reduce stiction. Additional functionality of surface groups is used in gas or liquid chromatography, chemical sensors and active sites for chemical attachment, patterned surfaces (e.g., combinatorial chemistry). Silicon nitride is also used as a hardening coating on tools and within optical devices.
  • Precursors are within the scope of the invention.
  • One important precursor characteristic is to have a favorable vapor pressure.
  • Precursors may be a plasma, gas, liquid or solid at ambient temperature and pressure. However, within the ALD chamber, precursors are volatilized.
  • Organometallic compounds or complexes include any chemical containing a metal and at least one organic group, such as alkyls, alkoxyls, alkylamidos and anilides. Precursors comprise of organometallic and halide compounds.
  • hafnium precursors include hafnium compounds containing ligands such as alkylamidos, cyclopentadienyls, halides, alkyls, alkoxides and combinations thereof.
  • Alkylamido hafnium compounds used as hafnium precursors include (RR′N) 4 Hf, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl.
  • hafnium precursors include: (Et 2 N) 4 Hf, (Me 2 N) 4 Hf, (EtMeN) 4 Hf), ( t BuC 5 H 4 ) 2 HfCl 2 , (C 5 H 5 ) 2 HfCl 2 , (EtC 5 H 4 ) 2 HfCl 2 , (Me 5 C 5 ) 2 HfCl 2 , (Me 5 C 5 )HfCl 3 , ( i PrC 5 H 4 ) 2 HfCl 2 , ( i PrC 5 H 4 )HfCl 3 , ( t BuC 5 H 4 ) 2 HfMe 2 , (acac) 4 Hf, (hfac) 4 Hf, (tfac) 4 Hf, (thd) 4 Hf, Br 4 Hf, Cl 4 Hf, 1 4 Hf, (NO 3 ) 4 Hf, ( t BuO) 4 Hf, ( i PrO) 4 Hf,
  • Exemplary nitrogen precursors include: NH 3 , N 2 , hydrazines (e.g., N 2 H 4 or MeN 2 H 3 ), amines (e.g., Me 3 N, Me 2 NH or MeNH 2 ), anilines (e.g., C 6 H 5 NH 2 ), organic azides (e.g., MeN 3 or Me 3 SiN 3 ), inorganic azides (e.g., NaN 3 or Cp 2 CoN 3 ) and radical nitrogen compounds (e.g., N 3 , N 2 , N, NH or NH 2 ). Radical nitrogen compounds can be produced by heat, hot-wires and/or plasma.
  • hydrazines e.g., N 2 H 4 or MeN 2 H 3
  • amines e.g., Me 3 N, Me 2 NH or MeNH 2
  • anilines e.g., C 6 H 5 NH 2
  • organic azides e.g., MeN 3 or Me 3 SiN 3
  • Exemplary aluminum precursors include: aluminum alkyls such as: Me 3 Al, Et 3 Al, Pr 3 Al, Bu 3 Al, Me 2 AlH, Et 2 AlH, Me 2 AlCl, Et 2 AlCl, aluminum alkoxyls such as: (MeO) 3 Al, (EtO) 3 Al, (PrO) 3 Al and (BuO) 3 Al, aluminum dimmers, aluminum halides and aluminum hydrides.
  • aluminum alkyls such as: Me 3 Al, Et 3 Al, Pr 3 Al, Bu 3 Al, Me 2 AlH, Et 2 AlH, Me 2 AlCl, Et 2 AlCl
  • aluminum alkoxyls such as: (MeO) 3 Al, (EtO) 3 Al, (PrO) 3 Al and (BuO) 3 Al
  • aluminum dimmers aluminum halides and aluminum hydrides.
  • the processes of the invention can be carried out in equipment known in the art of ALD.
  • the apparatus brings the sources into contact with a heated substrate on which the films are grown.
  • Hardware that can be used to deposit films is an ALD apparatus as disclosed in U.S. patent application Ser. No. 10/251,715, filed Sep. 20, 2002, assigned to Applied Material, Inc., Santa Clara, Calif. and entitled “An Apparatus for the Deposition of High Dielectric Constant Films”, and is incorporated herein by reference in entirety for the purpose of describing the apparatus.
  • Carrier gases or purge gases include N 2 , Ar, He, H 2 , forming gas and mixtures thereof.
  • hydrogen gas is applied as a carrier gas, purge and/or a reactant gas to reduce halogen contamination from the film.
  • Precursors that contain halogen atoms e.g., HfCl 4 , SiCl 4 and Si 2 Cl 6
  • Hydrogen is a reductant and will produce hydrogen chloride as a volatile and removable by-product. Therefore, hydrogen is used as a carrier gas or reactant gas when combined with a precursor compound (i.e., hafnium, silicon, aluminum, oxygen or nitrogen precursors) and can include another carrier gas (e.g., Ar or N 2 ).
  • a water/hydrogen mixture at a temperature in the range from about 250° C. to about 650° C., is used to reduce the halogen concentration and increase the oxygen concentration of the film.
  • the present invention provides methods for preparing the following compounds.
  • the subscripts (w, x, y, z) imply that stoichiometry is intentionally varied (i.e., compositionally controlled) via ALD dosing sequences to form the following product compounds: hafnium aluminate: HfAl x O y hafnium oxide: HfO 2 and HfO x hafnium nitride: Hf 3 N 4 , HfN and HfN x hafnium oxynitride: HfO x N y hafnium aluminum oxynitride: HfAl x O y N z hafnium silicate: HfSiO 4 , Hf 4 SiO 10 , Hf 3 SiO 8 , Hf 2 SiO 6 , HfSiO 2 , Hf x Si y O 2(x+y) and Hf x Si y O aluminum silicate: Al 6 Si 2 O 13 and
  • product compounds are only partial and other materials are prepared with the methods of the invention.
  • the ALD processes are maintained in a temperature range from about 20° C. to about 650° C., preferably from about 150° C. to about 300° C., more preferably at about 225° C. Materials grown may be similar throughout a wider temperature range assuming that saturating ALD behavior is maintained.
  • the ALD processes are conducted with a pressure in the range from about 0.1 Torr to about 100 Torr, preferably in the range from about 1 Torr to about 10 Torr. Materials grown may be similar from high vacuum to high pressures assuming saturating ALD behavior is maintained. The flow is maintained viscous to encourage reactant separation.
  • Carrier gas e.g., N 2
  • Carrier gas is maintained in the range from about 50 sccm to about 1,000 sccm, preferably at about 300 sccm with a speed of about 1 m/s. Higher speeds may create particle transport issues while lower speeds could allow particle formation due to inefficient purging, affecting electrical behavior of thin films.
  • Films are deposited with thickness in the range from about 2 ⁇ to about 1,000 ⁇ , preferably, from about 5 ⁇ to about 100 ⁇ , and more preferably in the range from about 10 ⁇ to about 50 ⁇ .
  • a hafnium oxide film is grown by ALD in the presence of hydrogen gas. Hydrogen is used to reduce levels of halogen contaminates (e.g., F or Cl) within hafnium-containing films.
  • Flow A containing hafnium tetrachloride and at least one carrier gas (e.g., Ar, N 2 and H 2 ), is pulsed sequentially with Flow B, containing water, hydrogen and an optional carrier gas.
  • Flows A and B are each pulsed for about 1 second and purge flows of argon are pulsed for about 1 second between each pulse of Flows A and B.
  • the temperature is maintained in the range from about 250° C. to about 650° C.
  • a hafnium silicate film is grown by ALD in the presence of hydrogen gas.
  • Flow A containing hafnium tetrachloride and at least one carrier gas (e.g., Ar, N 2 and H 2 ), is pulsed sequentially with Flow B, containing water, hydrogen and an optional carrier gas and Flow C, containing Tris-DMAS and at least one carrier gas.
  • Flows A, B and C are each pulsed for about 1 second and purge flows of argon are pulsed for about 1 second between each pulse of Flows A, B and C.
  • the temperature is maintained in the range from about 450° C. to about 650° C.
  • a hafnium silicon oxynitride film is grown by ALD in the presence of hydrogen gas.
  • Flow A containing hafnium tetrachloride and at least one carrier gas (e.g., Ar, N 2 and H 2 ), is pulsed sequentially with Flow B, containing water, hydrogen and an optional carrier gas and Flow C, containing Tris-DMAS and at least one carrier gas and Flow D, containing a nitrogen plasma and an optional carrier gas.
  • Flows A, B, C and D are each pulsed for about 1 second and purge flows of argon are pulsed for about 1 second between each pulse of Flows A, B, C and D.
  • the temperature is maintained in the range from about 450° C. to about 650° C.
  • Materials are deposited by dosing chemicals separately in an alternating fashion to achieve the desired film composition or characteristics with selected half reactions.
  • the above half reactions do not dictate the exact bonding connectivity or the stoichiometry of the resulting film.
  • Stoichiometry is largely controlled by thermodynamics; however, kinetically controlled films may be achieved.
  • the dosing sequence may be modified to effect the overall composition and qualities of the film.
  • the types of thin-film materials that can be grown with ALD half reactions generally are:
  • Layered or laminate materials Deposition of two different materials in discrete physical layers. Repetitive cycles of reactants ⁇ y(A+B+C+D)+z(E+F) ⁇ (where y and z are typically ⁇ 4): e.g., nanolaminates of hafnia and alumina
  • deposition at these temperatures may produce Hf 3 N 4 .
  • Annealing to higher temperatures may produce HfN.
  • the different dosing sequence effects the bonding connectivity, especially when grown at lower temperatures ⁇ 300° C. and without a higher-temperature anneal.
  • —O—Hf—N—Al—O— connectivity This may be thought of as a hafnium oxynitride/aluminum oxynitride alloy.
  • —O—Hf—N—Hf—O—Al—O— connectivity This may be thought of as a hafnium oxynitride/alumina alloy.
  • ALD of Aluminum Silicate Al 6 Si 213 and Al x Si y O
  • Compositional control (Al:Si) from pure Al 2 O 3 to silica-rich (>50%) aluminum silicates are possible.
  • Si 3 N 4 (e.g. Non-Continuous Seed Layer or Capping Layer)
  • Si x O y N (e.g., Non-Continuous Seed Layer or Capping Layer)

Abstract

The present invention generally is a method for forming a high-k dielectric layer, comprising depositing a hafnium compound by atomic layer deposition to a substrate, comprising, delivering a hafnium precursor to a surface of the substrate, reacting the hafnium precursor and forming a hafnium containing layer to the surface, delivering a nitrogen precursor to the hafnium containing layer, forming at least one hafnium nitrogen bond and depositing the hafnium compound to the surface.

Description

    BACKGROUND OF THE INVENTION
  • Field of the Invention [0001]
  • Embodiments of the present invention generally relate to methods to deposit materials on substrates, and more specifically, to methods for depositing metal oxides, metal nitrides, metal oxynitrides, metal silicates and metal silicon oxynitrides using atomic layer deposition processes. [0002]
  • In the field of semiconductor processing, flat-panel display processing or other electronic device processing, chemical vapor deposition has played an important role in forming films on substrates. As the geometries of electronic devices continue to shrink and the density of devices continues to increase, the size and aspect ratio of the features are becoming more aggressive, e.g., feature sizes of 0.07 microns and aspect ratios of 10 or greater are being considered. Accordingly, conformal deposition of materials to form these devices is becoming increasingly important. [0003]
  • While conventional chemical vapor deposition has proved successful for device geometries and aspect ratios down to 0.15 microns, the more aggressive device geometries require new, innovative deposition techniques. One technique that is receiving considerable attention is atomic layer deposition (ALD). In the scheme, reactants are sequentially introduced into a processing chamber where each reactant chemisorbs onto the surface of the substrate and a surface reaction occurs. A purge step is typically carried out between the delivery of each reactant gas. The purge step may be a continuous purge with the carrier gas or a pulse purge between the delivery of the reactant gases. [0004]
  • U.S. Pat. No. 6,287,965 describes a method of ALD to form a metal nitride layer having the structure of A-B-N, where A is a metal, B is an element to prevent crystallization and N is nitrogen. The preferred embodiment teaches a method to make TiAlN. No incorporation of oxygen into these films is disclosed; in fact, the invention teaches away from oxygen incorporation by sequentially stacking oxygen diffusion barrier layers between the metal nitride layers for oxygen protection. [0005]
  • U.S. Pat. No. 6,200,893, entitled “Radical-assisted Sequential CVD”, describes a method for CVD deposition on a substrate wherein radical species such as hydrogen and oxygen or hydrogen and nitrogen are used in an alternative step with a molecular precursor to form one cycle. A composite integrated film is produced by repetitive cycles of the method. In a preferred embodiment, the deposited material from the molecular precursor are metals and the radicals, in the alternate steps, are used to remove ligands left from the metal precursor reactions. The radicals oxidize or nitridize the metal surface in subsequent layers in order to respectively yield metal oxide or nitride. In various embodiments of the reference, metallic hafnium and hafnium oxide are made from a halogen-containing precursor. However, the reference does not address complex hafnium compounds (tertiary, quaternary or pentanary) produced from metal organic compounds. Furthermore, the reference requires the use of radicals to incorporate oxygen and/or nitrogen into the film. [0006]
  • Therefore, there is a need for a process for depositing hafnium compounds such as nitrides, silicates, oxynitrides, silicon nitrides, silicon oxynitrides, aluminum oxynitrides and aluminum silicon oxynitrides from organometallic compounds. [0007]
  • SUMMARY OF THE INVENTION
  • In one embodiment, the present invention is a method for forming a layer comprising hafnium on a substrate surface, sequentially comprising: a) exposing the substrate surface to a hafnium precursor to form a hafnium containing layer on the substrate surface; b) purging the chamber with a purge gas; c) reacting a second precursor with the hafnium containing layer; d) purging the chamber with the purge gas; e) reacting a third precursor with the hafnium containing layer; f) purging the chamber with the purge gas; g) reacting a fourth precursor with the hafnium containing layer; and h) purging the chamber with the purge gas. [0008]
  • In another embodiment, the present invention is a method for growing a layer comprising hafnium, comprising exposing a substrate sequentially to at least four precursors during an ALD cycle to deposit a compound film comprising hafnium and at least three elements selected from the group consisting of silicon, aluminum, oxygen and nitrogen. [0009]
  • In another embodiment, the present invention is a method for depositing a hafnium compound on a substrate in a chamber during an atomic layer deposition process, comprising conducting a first half reaction comprising a hafnium precursor, conducting a second half reaction comprising an oxygen precursor, conducting a third half reaction comprising a nitrogen precursor and conducting a fourth half reaction comprising a silicon precursor. [0010]
  • In another embodiment, the present invention is a composition of a semiconductor material, comprising HfSi[0011] xOyNz, wherein x is at least about 0.2 and less than about 4, y is at least about 0.5 and less than about 4 and z is at least about 0.05 and less than about 2.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0012]
  • FIG. 1 is a scheme to show an example of half reactions that are used to grow a hafnium nitride film. [0013]
  • FIG. 2 is a scheme to show an example of half reactions that are used to grow a hafnium oxide film. [0014]
  • FIGS. 3A-3D are schemes to show an example of half reactions that are used to grow a hafnium silicate film. [0015]
  • FIGS. 4A-4D are schemes to show an example of half reactions that are used to grow a hafnium silicon oxynitride film.[0016]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The present invention provides methods for preparing hafnium compounds used in a variety applications including high k dielectric materials. The methods use atomic layer deposition (ALD) to have elemental control of the composition of hafnium compounds. The elemental control is generally separated by half reactions. [0017]
  • Half reactions are abstractly demonstrated via the reaction: [0018]
  • AC+BD→AB+CD,
  • wherein AB is the product compound and CD is the secondary compound or secondary product. [0019]
  • For example, a half reaction is demonstrated by each of the following steps: [0020]
  • (1) *NH[0021] 2+(Et2N)4Hf→*N═Hf(NEt2)2+2HNEt2
  • (2) *N═Hf(NEt[0022] 2)2+NH3→*N═Hf═NH+2HNEt2,
  • wherein the half reaction of [0023] step 1 is initiated by the functional group NH2 and * is an atom or molecule that is part of the substrate, film or surface group. The hafnium precursor reacts with the NH2 group and forms a Hf—N bond. Ligands are protonated from the hafnium precursor to form a secondary product. During the half reaction in step 2, ammonia reacts with the hafnium complex bound to the surface. The remaining ligands are protonated and removed while another Hf—N bond and another functional group (NH) are formed as the product compound. In each half reaction of steps 1 and 2, diethyl amine (HNEt2) can be made as a secondary compound. Other secondary compounds are amines and hydrazines and include radicals, ions and variations to ligands, such as Et2N, (Et2N)2, EtNH and (EtNH)2. Generally, these secondary compounds are readily removable, such as by vacuum and/or purge. The reaction schemes are not necessarily stoichiometric, but have a wide range of atomic ratios. Throughout the disclosure, reaction examples lack specific stoichiometry, bonding order and bonding connectivity of the product compounds and secondary compounds.
  • Another example of a half reaction is demonstrated by each of the following steps: [0024]
  • (3) *OH+(Et[0025] 2N)4Hf→*O—Hf(NEt2)x+HNEt2
  • (4) *O—Hf(NEt[0026] 2)x+H2O→*O—Hf—(OH)+HNEt2,
  • wherein the half reaction of [0027] step 3 is initiated by the functional OH group and forms a Hf—O bond. Step 4 proceeds to form another Hf—O bond as well as the terminus and functional OH group.
  • Therefore, in general, a first half reaction initiates with the reaction of a first functional group, establishes at least one product compound bond and establishes a second functional group. The second half reaction initiates with a reaction of the second functional group, establishes at least one product compound bond and establishes a third functional group. The third functional group, in many examples, is the same or similar to the first functional group. However, the second half reaction is still complete even when the third functional group is different. Examples with tertiary, quaternary and higher product compounds require half reactions with more than two precursors. Therefore, half reactions are not limited to only binary product compounds and may contain any number of half reactions. Most half reactions are sequentially separated by gas and/or vacuum purges. [0028]
  • Embodiments of the processes described herein deposit hafnium-containing materials on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers. Surfaces include bare silicon wafers, films, layers and materials with dielectric, conductive and barrier properties and include aluminum oxide and polysilicon. Pretreatment of surfaces includes polishing, etching, reduction, oxidation, hydroxylation, annealing and baking. [0029]
  • A substrate can be pretreated to be terminated with a variety of functional groups such as hydroxyls (OH), alkoxy (OR, where R=Me, Et, Pr or Bu), haloxyls (OX, where X=F, Cl, Br or 1), halides (F, Cl, Br or 1), oxygen radicals, aminos (NH or NH[0030] 2) and amidos (NR or NR2, where R=Me, Et, Pr or Bu). A pretreatment can be accomplished by administering a reagent, such as NH3, B2H6, SiH4, SiH6, H2O, HF, HCl, O2, O3, H2O2, H2, atomic-H, atomic-N, atomic-O, alcohols or amines.
  • Once the surface of the substrate is pretreated, an ALD cycle is started. For many of the hafnium compounds, the hafnium precursor adsorption is self-limiting under certain process conditions, and generally must be at low temperatures (<500° C.) to exhibit this behavior. Some examples of half reactions that are self-limiting for the hafnium precursor include: [0031]
  • *NH2+(Et2N)4Hf→*N═Hf(NEt2)2+2HNEt2
  • *NH+(Et2N)4Hf→*N—Hf(NEt2)3+HNEt2
  • *OH+(Et2N)4Hf→*O—Hf(NEt2)3+HNEt2
  • wherein, hafnium is added to produce either *O—Hf(NEt[0032] 2)x or *N—Hf(NEt2)x. An atom, such as a nitrogen or oxygen, can anchor the hafnium atom to the substrate or surface. *Hf(NEt2)x is self-limiting because the hafnium precursor will not react further; therefore, this is the first half reaction. To proceed with other half reactions, either an oxygen source (e.g., water) or a nitrogen source (e.g., ammonia) is added.
  • The first half reaction with a hafnium precursor initiates a series of many half reactions to make binary, tertiary, quaternary and more complex compounds. The first half reaction does not have to include a hafnium precursor, but can include any precursor to which a particular element is incorporated into the film. The following examples will portray hafnium precursors as the first half reaction in order to more clearly explain aspects of the invention. [0033]
  • One embodiment of the invention is directed to a process which proceeds with the half reaction of NH[0034] 3 to *Hf(NEt2)x to produce *Hf—NH. Hafnium nitride is synthesized by sequentially proceeding with a half reaction of the hafnium precursor and a half reaction of a nitrogen source. FIG. 1 depicts a half reaction which is initiated by dosing (Et2N)4Hf from about 0.01 second to about 10 seconds, preferably about 0.25 second and dosing an inert gas purge from about 0.01 second to about 20 seconds, preferably about 0.25 second. A second half reaction is then initiated by dosing NH3 from about 0.01 second to about 10 seconds, preferably about 0.25 second and dosing an inert gas purge from about 0.01 second to about 20 seconds, preferably about 0.25 second. The two half reactions are cycled several times to grow a hafnium nitride film at the rate of about 50 ng/cm2 per cycle. By varying the cycle time, temperature, pressure and/or concentration, stoichiometry of the product compound is controlled. Slight variations of the stoichiometry can have an impact on the electrical properties, e.g., Hf3N4 is an insulating material while HfN is a conducting material. In one embodiment, HfN is made from a nitrate-free hafnium precursor. Hafnium nitride films can have oxygen contamination, since nitrates contain an oxygen/nitrogen ratio of three.
  • In one embodiment, a method for forming a semiconductor material by atomic layer deposition includes pulsing a hafnium precursor and a nitrogen precursor sequentially and cyclically. The hafnium nitride is deposited to the substrate surface wherein the hafnium nitride has a formula HfN[0035] x and x is at least about 1.1 and less than about 1.3. In one aspect, the hafnium precursor is TDEAH and the nitrogen precursor is NH3. In another aspect, the hafnium precursor is HfCl4 and the nitrogen precursor is a radical nitrogen, such as atomic nitrogen.
  • Another embodiment of the invention is directed to a process which proceeds with the half reaction of H[0036] 2O to *Hf(NEt2)x and produce *Hf—OH. Hafnium oxide is synthesized by sequentially proceeding with a half reaction of the hafnium precursor and a half reaction of an oxygen source. FIG. 2 depicts a half reaction which is initiated by dosing (Et2N)4Hf from about 0.01 second to about 10 seconds and an inert gas purge dosed for about 0.01 second to about 20 seconds. A second half reaction is then initiated by dosing H2O from about 0.01 second to about 10 seconds and an inert gas purge from about 0.01 second to about 20 seconds. The two half reaction are cycled several times to grow a hafnium oxide film at the rate of about 1.2 Å per cycle.
  • The processes to grow the hafnium nitride or hafnium oxide films, as described above, can be modified to achieve other materials, namely tertiary compounds. Hafnium nitride is porous and reacts with water to form hafnium oxynitride, Hf—O—N. Therefore, to the hafnium nitride cycle, a half reaction of an oxygen source (e.g., water) is added to synthesize hafnium oxynitride. The ratio of Hf:O:N is controlled and varied to the desired characteristics of the product compound. In one embodiment, an oxygen precursor half reaction is included into the half reaction cycle. Such a cycle comprises a hafnium precursor half reaction, a nitrogen precursor half reaction, another hafnium precursor half reaction and an oxygen precursor half reaction. The oxygen precursor half reaction can be added into the cycle at any ratio relative to the hafnium and nitrogen precursor half reactions. As an example, an oxygen precursor half reaction is added at every ten complete cycles of hafnium and nitrogen precursor half reactions. Furthermore, the ratio can be varied in order to control the oxygen stoichiometry by film depth. Hence, a graded film is formed. In one embodiment, the process conditions are as follows, pressure is about 1 Torr, temperature is about 225° C., argon carrier flow is about 200 sccm, H[0037] 2O and NH3 are dosed into the argon carrier flow in the range from about 1 second to about 4 seconds and TDEAH is dosed at about 20 seconds.
  • Graded films can be used to transition between various materials. One embodiment uses the method to transition between hafnium nitride and hafnium oxide. Within the hafnium nitride film, the elemental ratios N:Hf:O start out at 10:10:0, progress to 10:10:1, progress to 5:10:5, progress to 1:10:10 and finally 0:10:10, such that the film at the exposed surface following deposition is hafnium oxide. Graded films have advantageous characteristics, such as allowing control of electrical properties throughout the depth of the film, as well as higher levels of film adhesion. [0038]
  • Additional embodiments include methods to synthesize hafnium oxynitride. Due to the porosity of hafnium nitride, multiple layers are susceptible to oxygen enrichment. Instead of incorporating oxygen into each surface layer via half reactions, an excess oxygen precursor (e.g., water) is used to penetrate multiple layers of hafnium nitride and form a hafnium oxynitride graded film such as: [0039]
  • HfN—HfN—HfN—HfN—HfN+xsH2O→HfN—HfN—HfON—HfON—HfON.
  • Therefore, hafnium nitride can be formed by ALD, CVD, PVD or other techniques and thereafter oxygenated with an oxygen precursor. [0040]
  • Other embodiments of the invention include methods to synthesize tertiary hafnium nitride compounds incorporating silicon. Preferred silicon precursor compounds include (Me[0041] 2N)4Si and (Me2N)3SiH. In one embodiment, a silicon precursor half reaction is included into the half reaction cycle for hafnium nitride formation. The cycle includes a hafnium precursor half reaction, a nitrogen precursor half reaction, a silicon precursor half reaction and another nitrogen precursor half reaction. The silicon precursor half reaction is added into the cycle at any ratio relative to the hafnium and nitrogen precursor half reactions. As an example, a silicon precursor half reaction is added about at every two complete cycles of hafnium and nitrogen precursor half reactions. Furthermore, the ratio can be varied in order to control the ratio of the silicon incorporated by depth of the film. Similarly to hafnium oxynitride, the method enables control of the Hf:Si:N stoichiometry.
  • Other embodiments of the invention are methods to synthesize tertiary hafnium oxide compounds incorporating nitrogen. Similarly as discussed above, the method reverses to use of oxygen and nitrogen to synthesize hafnium oxynitride. In one embodiment, a nitrogen precursor half reaction is included into the half reaction cycle of hafnium oxide. The cycle comprises a hafnium precursor half reaction, an oxygen precursor half reaction, another hafnium precursor half reaction and a nitrogen precursor half reaction. The nitrogen precursor half reaction is added into the cycle at any ratio relative to the hafnium and oxygen precursor half reactions. As an example, a nitrogen precursor half reaction is added at every two complete cycles of hafnium and oxygen precursor half reactions. Furthermore, the ratio can be varied in order to control the ratio of the nitrogen incorporated by depth of the growing film. [0042]
  • Other embodiments of the invention include methods to synthesize tertiary hafnium oxide compounds incorporating silicon, namely hafnium silicate (Hf—Si—O), as depicted in FIGS. 3A-3D. In one embodiment, a silicon source half reaction is included into the half reaction cycle of hafnium oxide. The cycle comprises a silicon precursor half reaction, an oxygen precursor half reaction, a hafnium precursor half reaction and another oxygen precursor half reaction. Purges occur between each half reaction. The silicon precursor half reaction can be added into the cycle at any ratio relative to the hafnium and oxygen precursor half reactions. As an example, a silicon precursor half reaction is added at every two complete cycles of hafnium and oxygen precursor half reactions. Furthermore, the ratio can be varied in order to control the ratio of the silicon incorporated by depth of the film. [0043]
  • Embodiments of the invention include multiple methods to synthesize quaternary compounds, especially hafnium silicon oxynitride (HfSiON), as depicted in FIGS. 4A-4D. Methods to synthesize two tertiary compounds (HfSiO and HfSiN) are modified to respectively nitridized or oxidized within the cycles to form the quaternary complex HfSiON. Half reactions of nitrogen, oxygen or silicon precursors are added at particular cycles, providing complete control to the N:O:Si ratios relative to hafnium. [0044]
  • In one embodiment, a nitrogen source half reaction is included into the half reaction cycle of hafnium silicate. Such a cycle comprises a silicon precursor half reaction, an oxygen precursor half reaction, a hafnium precursor half reaction and a nitrogen precursor half reaction. The nitrogen precursor half reaction can be added into the cycle at any ratio relative to the hafnium, silicon and oxygen precursor half reactions. As an example, a nitrogen precursor half reaction can be added at about every two complete cycles of hafnium, silicon and oxygen precursor half reactions. Furthermore, the cycle ratio can be varied in order to control the nitrogen ratio incorporated within film depth. Some embodiments grow hafnium silicon oxynitride graded films with higher concentrations of nitrogen near the top of the film. [0045]
  • In one aspect, the surface is terminated with a *SiOH group. The half reaction cycles are conducted with a hafnium precursor, a nitrogen precursor, a silicon precursor and an oxygen precursor, each separated with a purge. The respective precursors can be TDEAH, ammonia, Tris-DMAS and water. In another aspect, the respective precursors are HfCl[0046] 4, radical nitrogen, Si2Cl6 and O3. The composition is controlled to form a semiconductor material, comprising HfSixOyNz, wherein x is at least about 0.2 and less than about 4, y is at least about 0.5 and less than about 4 and z is at least about 0.05 and less than about 2.
  • Embodiments of the invention include multiple methods to synthesize pentanary compounds, especially hafnium aluminum silicon oxynitride (HfAlSiON). Half reactions of hafnium, aluminum, nitrogen, oxygen and silicon precursors are added at particular cycles, providing complete control to the Al:N:O:Si ratios relative to hafnium. In one aspect of the process, one cycle of half reaction pulses will include, in the respective order, water, TDEAH, ammonia, Tris-DMAS, water and TMA. In another aspect of the process, one cycle of half reaction pulses will include, in the respective order, water, HfCl[0047] 4, ammonia, Tris-DMAS, water and TMA.
  • Therefore, any stoichiometry of the following compounds is made by methods of the process: HfO, HfN, HfON, HfSiO, HfSiN, HfSiON, HfAlO, HfAlN, HfAlON, HfSiAlO, HfSiAlN, HfSiAlON. Therefore, ALD provides stoichiometric control during the deposition of product compounds. The stoichiometry may be altered by various procedures following the deposition process, such as when Hf[0048] 3N4 is thermally annealed to form HfN. Stoichiometry is also controlled by altering the precursor ratios during deposition.
  • Many industrial applications exist for the product compounds synthesized by the various embodiments of the invention. Within the microelectronics industry, the product compounds are used as high-k transistor gate dielectric materials, transistor gate interface engineering, high-k capacitor dielectric materials (DRAMs), seed layers, diffusion barrier layers, adhesion layers, insulator layers, conducting layers and functionalized surface groups for patterned surfaces (e.g., selective deposition). In the realm of microelectromechanical systems (MEMS), the materials formed by the claimed invention are used as insulating, conducting or structural films. The materials can also serve as functionalized surface groups to reduce stiction. Additional functionality of surface groups is used in gas or liquid chromatography, chemical sensors and active sites for chemical attachment, patterned surfaces (e.g., combinatorial chemistry). Silicon nitride is also used as a hardening coating on tools and within optical devices. [0049]
  • Many precursors are within the scope of the invention. One important precursor characteristic is to have a favorable vapor pressure. Precursors may be a plasma, gas, liquid or solid at ambient temperature and pressure. However, within the ALD chamber, precursors are volatilized. Organometallic compounds or complexes include any chemical containing a metal and at least one organic group, such as alkyls, alkoxyls, alkylamidos and anilides. Precursors comprise of organometallic and halide compounds. [0050]
  • Exemplary hafnium precursors include hafnium compounds containing ligands such as alkylamidos, cyclopentadienyls, halides, alkyls, alkoxides and combinations thereof. Alkylamido hafnium compounds used as hafnium precursors include (RR′N)[0051] 4Hf, where R or R′ are independently hydrogen, methyl, ethyl, propyl or butyl. Specific hafnium precursors include: (Et2N)4Hf, (Me2N)4Hf, (EtMeN)4Hf), (tBuC5H4)2HfCl2, (C5H5)2HfCl2, (EtC5H4)2HfCl2, (Me5C5)2HfCl2, (Me5C5)HfCl3, (iPrC5H4)2HfCl2, (iPrC5H4)HfCl3, (tBuC5H4)2HfMe2, (acac)4Hf, (hfac)4Hf, (tfac)4Hf, (thd)4Hf, Br4Hf, Cl4Hf, 14Hf, (NO3)4Hf, (tBuO)4Hf, (iPrO)4Hf, (EtO)4Hf and (MeO)4Hf.
  • Exemplary silicon precursors include: alkylamidosilanes (e.g, (Me[0052] 2N)4Si, (Me2N)3SiH, (Me2N)2SiH2, (Me2N)SiH3, (Et2N)4Si, (Et2N)3SiH), Si(NCO)4, MeSi(NCO)3, SiH4, Si2H6, SiCl4, Si2Cl6, MeSiCl3, HSiCl3, Me2SiCl2, H2SiCl2, silanols (e.g., MeSi(OH)3, Me2Si(OH)2), (EtO)4Si and various alkoxy silanes (e.g., (RO)4-nSiLn, where R=methyl, ethyl, propyl and butyl and L=H, OH, F, Cl, Br or I and mixtures thereof). Also, higher silanes are used as silicon precursors by processes of the invention. Higher silanes are disclosed in U.S. provisional patent application 60/419,426, 60/419,376 and 60/419,504, each filed on Oct. 18, 2002, assigned to Applied Material, Inc., and each entitled, “Low temperature deposition with silicon compounds” and are incorporated herein by reference in entirety for the purpose of describing silicon precursors.
  • Exemplary nitrogen precursors include: NH[0053] 3, N2, hydrazines (e.g., N2H4 or MeN2H3), amines (e.g., Me3N, Me2NH or MeNH2), anilines (e.g., C6H5NH2), organic azides (e.g., MeN3 or Me3SiN3), inorganic azides (e.g., NaN3 or Cp2CoN3) and radical nitrogen compounds (e.g., N3, N2, N, NH or NH2). Radical nitrogen compounds can be produced by heat, hot-wires and/or plasma.
  • Exemplary oxygen precursors include: H[0054] 2O, H2O2, O3, O2, NO, N2O, NO2, N2O5, alcohols (e.g., ROH, where R=Me, Et, Pr and Bu), peroxides (organic and inorganic) carboxylic acids and radical oxygen compounds (e.g., O, O2, O3 and OH radicals). Radical oxygen compounds can be produced by heat, hot-wires and/or plasma.
  • Exemplary aluminum precursors include: aluminum alkyls such as: Me[0055] 3Al, Et3Al, Pr3Al, Bu3Al, Me2AlH, Et2AlH, Me2AlCl, Et2AlCl, aluminum alkoxyls such as: (MeO)3Al, (EtO)3Al, (PrO)3Al and (BuO)3Al, aluminum dimmers, aluminum halides and aluminum hydrides.
  • The processes of the invention can be carried out in equipment known in the art of ALD. The apparatus brings the sources into contact with a heated substrate on which the films are grown. Hardware that can be used to deposit films is an ALD apparatus as disclosed in U.S. patent application Ser. No. 10/251,715, filed Sep. 20, 2002, assigned to Applied Material, Inc., Santa Clara, Calif. and entitled “An Apparatus for the Deposition of High Dielectric Constant Films”, and is incorporated herein by reference in entirety for the purpose of describing the apparatus. Carrier gases or purge gases include N[0056] 2, Ar, He, H2, forming gas and mixtures thereof.
  • In one embodiment, hydrogen gas is applied as a carrier gas, purge and/or a reactant gas to reduce halogen contamination from the film. Precursors that contain halogen atoms (e.g., HfCl[0057] 4, SiCl4 and Si2Cl6) readily contaminate the film. Hydrogen is a reductant and will produce hydrogen chloride as a volatile and removable by-product. Therefore, hydrogen is used as a carrier gas or reactant gas when combined with a precursor compound (i.e., hafnium, silicon, aluminum, oxygen or nitrogen precursors) and can include another carrier gas (e.g., Ar or N2). In one aspect, a water/hydrogen mixture, at a temperature in the range from about 250° C. to about 650° C., is used to reduce the halogen concentration and increase the oxygen concentration of the film.
  • The present invention provides methods for preparing the following compounds. The subscripts (w, x, y, z) imply that stoichiometry is intentionally varied (i.e., compositionally controlled) via ALD dosing sequences to form the following product compounds: [0058]
    hafnium aluminate: HfAlxOy
    hafnium oxide: HfO2 and HfOx
    hafnium nitride: Hf3N4, HfN and HfNx
    hafnium oxynitride: HfOxNy
    hafnium aluminum oxynitride: HfAlxOyNz
    hafnium silicate: HfSiO4, Hf4SiO10, Hf3SiO8, Hf2SiO6,
    HfSiO2, HfxSiyO2(x+y) and HfxSiyO
    aluminum silicate: Al6Si2O13 and AlxSiyO
    hafnium aluminum silicate: Hf2Al6Si4O21 and HfxAlySizO
    hafnium silicon nitride: HfxSiyN
    hafnium silicon oxynitride: Hf2Si2N2O5 and HfSixOyNz
    aluminum silicon oxynitride: AlSixOyNz
    hafnium aluminum silicon HfAlwSixOyNZ
    oxynitride:
  • The list of product compounds is only partial and other materials are prepared with the methods of the invention. Other elements, such as carbon, titanium, tungsten, ruthenium, tantalum, zirconium, molybdenum, iridium, nickel, copper, tin, boron or phosphorus may be incorporated into the films as product compounds. Therefore, a product compound may comprise hafnium silicon oxynitride and carbon. Examples of half reactions are listed below. Note, that *=surface species. [0059]
  • Reactivity of Precursors with Surface Hydroxyl Groups (—OH) [0060]
  • Al—OH*+TDMAS(g)→Al—O—Si(N(CH3)2)*+xsHN(CH3)2(g)
  • Al—OH*+TrisDMAS(g)→Al—O—SiH(N(CH3)2)*+xsHN(CH3)2(g)
  • Al—OH*+TrisDMAS(g)→Al—O—Si(N(CH3)2)*+xsHN(CH3)2(g)+H2(g)
  • Al—OH*+TDEAH(g)→Al—O—Hf(N(CH2CH3)2)*+xsHN(CH2CH3)2(g)
  • Al—OH*+TMA(g)→Al—O—AlCH3 *+xsCH4(g)
  • Hf—OH*+TDMAS(g)→Hf—O—Si(N(CH3)2)*+xsHN(CH3)2(g)
  • Hf—OH*+TrisDMAS(g)→Hf—O—SiH(N(CH3)2)*+xsHN(CH3)2(g)
  • Hf—OH*+TrisDMAS(g)→Hf—O—Si(N(CH3)2)*+xsHN(CH3)2(g)+H2(g)
  • Hf—OH*+TDEAH(g)→Hf—O—Hf(N(CH2CH3)2)*+xsHN(CH2CH3)2(g)
  • Hf—OH*+TMA(g)→Hf—O—AlCH3 *+xsCH4(g)
  • Si—OH*+TDMAS(g)→Si—O—Si(N(CH3)2)*+xsHN(CH3)2(g)
  • Si—OH*+TrisDMAS(g)→Si—O—SiH(N(CH3)2)*+xsHN(CH3)2(g)
  • Si—OH*+TrisDMAS(g)→Si—O—Si(N(CH3)2)*+xsHN(CH3)2(g)+H2(g)
  • Si—OH*+TDEAH(g)+Si—O—Hf(N(CH2CH3)2)*+xsHN(CH2CH3)2(g)
  • Si—OH*+TMA(g)→Si—O—AlCH3 *+xsCH4(g)
  • Reactivity of Surface Products with H[0061] 2O(g) to Regenerate Surface Hydroxyl (—OH) Groups.
  • Al—O—Si(N(CH3)2)*+H2O→Al—O—Si(OH)*+xsHN(CH3)2(g)
  • Al—O—SiH(N(CH3)2)*+H2O→Al—O—SiH(OH)*+xsHN(CH3)2(g)
  • Al—O—SiH(N(CH3)2)*+H2O→Al—O—Si(OH)*+xsHN(CH3)2(g)+H2(g)
  • Al—O—Si(N(CH3)2)*+H2O→Al—O—Si(OH)*+xsHN(CH3)2(g)
  • Al—O—Hf(N(CH2CH3)2)*+H2O→Al—O—Hf(OH)*+xsHN(CH2CH3)2(g)
  • Al—O—AlCH3*+H2O→Al—O—Al(OH)*+xsCH4(g)
  • Hf—O—Si(N(CH3)2)*+H2O→Hf—O—Si(OH)*+xsHN(CH3)2(g)
  • Hf—O—SiH(N(CH3)2)*+H2O→Hf—O—SiH(OH)*+xsHN(CH3)2(g)
  • Hf—O—SiH(N(CH3)2)*+H2O→Hf—O—Si(OH)*+xsHN(CH3)2(g)+H2(g)
  • Hf—O—Si(N(CH3)2)*+H2O→Hf—O—Si(OH)*+xsHN(CH3)2(g)
  • Hf—O—Hf(N(CH2CH3)2)*+H2O→Hf—O—Hf(OH)*+xsHN(CH2CH3)2(g)
  • Hf—O—AlCH3*+H2O∝Hf—O—Al(OH)*+xsCH4(g)
  • Si—O—Si(N(CH3)2)*+H2O∝Si—O—Si(OH)*+xsHN(CH3)2(g)
  • Si—O—SiH(N(CH3)2)*+H2O→Si—O—SiH(OH)*+xsHN(CH3)2(g)
  • Si—O—SiH(N(CH3)2)*+H2O→Si—O—Si(OH)*+xsHN(CH3)2(g)+H2(g)
  • Si—O—Si(N(CH3)2)*+H2O∝Si—O—Si(OH)*+xsHN(CH3)2(g)
  • Si—O—Hf(N(CH2CH3)2)*+H2O∝Si—O—Hf(OH)*+xsHN(CH2CH3)2(g)
  • Si—O—AlCH3*+H2O→Si—O—Al(OH)*+xsCH4(g)
  • Reactivity of Surface Products with NH[0062] 3(g) to Generate Surface Amine (—NH2, —NH) Groups.
  • Al—O—Si(N(CH3)2)*+NH3→Al—O—Si(NH2)*+xsHN(CH3)2(g)
  • Al—O—SiH(N(CH3)2)*+NH3→Al—O—SiH(NH2)*+xsHN(CH3)2(g)
  • Al—O—SiH(N(CH3)2)*+NH3→Al—O—Si(NH)*+xsHN(CH3)2(g)+H2(g)
  • Al—O—Si(N(CH3)2)*+NH3→Al—O—Si(NH2)*+xsHN(CH3)2(g)
  • Al—O—Hf(N(CH2CH3)2)*+NH3→Al—O—Hf(NH2)*+xsHN(CH2CH3)2(g)
  • Al—O—AlCH3*+NH2(p)→Al—O—Al(NH2)*+xsCH4(g)
  • Hf—O—Si(N(CH3)2)*+NH3→Hf—O—Si(OH)*+ xsHN(CH3)2(g)
  • Hf—O—SiH(N(CH3)2)*+NH3→Hf—O—SiH(NH)*+ xsHN(CH3)2(g)
  • Hf—O—SiH(N(CH3)2)*+NH3→Hf—O—Si(NH)*+xsHN(CH3)2(g)+H2(g)
  • Hf—O—Si(N(CH3)2)*+NH3→Hf—O—Si(NH)*+xsHN(CH3)2(g)
  • Hf—O—Hf(N(CH2CH3)2)*+NH3→Hf—O—Hf(NH)*+xsHN(CH2CH3)2(g)
  • Hf—O—AlCH3*+NH2(p)→Hf—O—Al(NH)*+xsCH4(g)
  • Si—O—Si(N(CH3)2)*+NH3→Si—O—Si(NH)*+ xsHN(CH3)2(g)
  • Si—O—SiH(N(CH3)2)*+NH3→Si—O—SiH(NH)*+xsHN(CH3)2(g)
  • Si—O—SiH(N(CH3)2)*+NH3→Si—O—Si(NH)*+xsHN(CH3)2(g)+H2(g)
  • Si—O—Si(N(CH3)2)*+NH3→Si—O—Si(NH)*+xsHN(CH3)2(g)
  • Si—O—Hf(N(CH2CH3)2)*+NH3→Si—O—Hf(NH)*+xsHN(CH2CH3)2(g)
  • Si—O—AlCH3*+NH2(p)→Si—O—Al(NH)*+xsCH4(g)
  • Reactivity of Precursors with Surface Amine Groups (—NH or —NH[0063] 2)
  • Hf—NH*+TrisDMAS(g)→Hf—N—SiH(N(CH3)2)*+xsHN(CH3)2(g)
  • Hf—NH*+TrisDMAS(g)→Hf—N—Si(N(CH3)2)*+xsHN(CH3)2(g)+H2(g)
  • Hf—NH*+TDEAH(g)→Hf—N—Hf(N(CH2CH3)2)*+xsHN(CH2CH3)2(g)
  • Hf—NH*+TMA(g)→Hf—N—AlCH3 *+xsCH4(g)
  • Si—NH*+TrisDMAS(g)→Si—N—SiH(N(CH3)2)*+xsHN(CH3)2(g)
  • Si—NH*+TrisDMAS(g)→Si—N—Si(N(CH3)2)*+xsHN(CH3)2(g)+H2(g)
  • Si—NH*+TDEAH(g)→Si—N—Hf(N(CH2CH3)2)*+xsHN(CH2CH3)2(g)
  • Si—NH*+TMA(g)→Si—N—Al(CH3)*+xsHN(CH2CH3)2(g)
  • Reactivity of Surface products with NH[0064] 3 to Regenerate Surface Amine Groups.
  • Hf—N—SiH(N(CH3)2)*+NH3(g)→Hf—N—Si(NH)*+xsHN(CH3)2(g)+H2(g)
  • Hf—N—SiH(N(CH3)2)*+NH3(g)→Hf—N—SiH(NH2)*+xsHN(CH3)2(g)
  • Hf—N—Si(N(CH3)2)*+NH3(g)→Hf—N—Si(NH2)*+xsHN(CH3)2(g)
  • Hf—N—Hf(N(CH2CH3)2)*+NH3(g)→Hf—N—Hf(NH2)*+xsHN(CH2CH3)2(g)
  • Hf—N—AlCH3*+NH2(p)→Hf—N—Al(NH2)*+xsHN(CH2CH3)2(g)
  • Hf—N—SiH(N(CH3)2)*+NH3(g)→Si—N—Si(NH)*+xsHN(CH3)2(g)+H2(g)
  • Hf—N—SiH(N(CH3)2)*+NH3(g)→Si—N—SiH(NH2)*+xsHN(CH3)2(g)
  • Si—N—Si(N(CH3)2)*+NH3(g)→Si—N—Si(NH2)*+xsHN(CH3)2(g)
  • Si—N—Hf(N(CH2CH3)2)*+NH3(g)→Si—N—Hf(NH2)*+xsHN(CH2CH3)2(g)
  • Si—N—Al(CH3)*+NH2(p)→Si—N—Al(NH2)*+xsHN(CH2CH3)2(g)
  • Reactivity of Surface Products With H[0065] 2O(g) to Generate Surface Hydroxyl Groups.
  • Hf—N—SiH(N(CH3)2)*+H2O→Hf—N—Si(OH)*+xsHN(CH3)2(g)+H2(g)
  • Hf—N—SiH(N(CH3)2)*+H2O @ Hf—N—SiH(OH)*+xsHN(CH3)2(g)
  • Hf—N—Si(N(CH3)2)*+H2O→Hf—N—Si(OH)*+xsHN(CH3)2(g)
  • Hf—N—Hf(N(CH2CH3)2)*H2O→ Hf—N—Hf(OH)*+xsHN(CH2CH3)2(g)
  • Hf—N—AlCH3*+H2O∝Hf—N—Al(OH)*+xsCH4(g)
  • Si—N—SiH(N(CH3)2)*+H2O→Si—N—Si(OH)*+xsHN(CH3)2(g)+H2(g)
  • Si—N—SiH(N(CH3)2)*+H2O→Si—N—SiH(OH)*+xsHN(CH3)2(g)
  • Si—N—Si(N(CH3)2)*+H2O→Si—N—Si(OH)*+xsHN(CH3)2(g)
  • Si—N—Hf(N(CH2CH3)2)*+H2O→Si—N—Hf(OH)*+xsHN(CH2CH3)2(g)
  • Si—N—Al(CH3)*+H2O→Si—N—Al(OH)*+xsHN(CH2CH3)2(g)
  • EXAMPLES
  • [0066]
    TDEAH = tetrakisdiethylamidohafnium = (Et2N)4Hf
    TDMAS = tetrakisdimethlaminosilicon = (Me2N)4Si
    TrisDMAS = trisdimethylaminosilicon = (Me2N)3SiH
    TMA = trimethyl aluminum = Me3Al
  • The ALD processes are maintained in a temperature range from about 20° C. to about 650° C., preferably from about 150° C. to about 300° C., more preferably at about 225° C. Materials grown may be similar throughout a wider temperature range assuming that saturating ALD behavior is maintained. The ALD processes are conducted with a pressure in the range from about 0.1 Torr to about 100 Torr, preferably in the range from about 1 Torr to about 10 Torr. Materials grown may be similar from high vacuum to high pressures assuming saturating ALD behavior is maintained. The flow is maintained viscous to encourage reactant separation. Carrier gas (e.g., N[0067] 2) is maintained in the range from about 50 sccm to about 1,000 sccm, preferably at about 300 sccm with a speed of about 1 m/s. Higher speeds may create particle transport issues while lower speeds could allow particle formation due to inefficient purging, affecting electrical behavior of thin films. Films are deposited with thickness in the range from about 2 Å to about 1,000 Å, preferably, from about 5 Å to about 100 Å, and more preferably in the range from about 10 Å to about 50 Å.
  • In one example, a hafnium oxide film is grown by ALD in the presence of hydrogen gas. Hydrogen is used to reduce levels of halogen contaminates (e.g., F or Cl) within hafnium-containing films. Flow A, containing hafnium tetrachloride and at least one carrier gas (e.g., Ar, N[0068] 2 and H2), is pulsed sequentially with Flow B, containing water, hydrogen and an optional carrier gas. Flows A and B are each pulsed for about 1 second and purge flows of argon are pulsed for about 1 second between each pulse of Flows A and B. The temperature is maintained in the range from about 250° C. to about 650° C.
  • In another example, a hafnium silicate film is grown by ALD in the presence of hydrogen gas. Flow A, containing hafnium tetrachloride and at least one carrier gas (e.g., Ar, N[0069] 2 and H2), is pulsed sequentially with Flow B, containing water, hydrogen and an optional carrier gas and Flow C, containing Tris-DMAS and at least one carrier gas. Flows A, B and C are each pulsed for about 1 second and purge flows of argon are pulsed for about 1 second between each pulse of Flows A, B and C. The temperature is maintained in the range from about 450° C. to about 650° C.
  • In another example, a hafnium silicon oxynitride film is grown by ALD in the presence of hydrogen gas. Flow A, containing hafnium tetrachloride and at least one carrier gas (e.g., Ar, N[0070] 2 and H2), is pulsed sequentially with Flow B, containing water, hydrogen and an optional carrier gas and Flow C, containing Tris-DMAS and at least one carrier gas and Flow D, containing a nitrogen plasma and an optional carrier gas. Flows A, B, C and D are each pulsed for about 1 second and purge flows of argon are pulsed for about 1 second between each pulse of Flows A, B, C and D. The temperature is maintained in the range from about 450° C. to about 650° C.
  • Materials are deposited by dosing chemicals separately in an alternating fashion to achieve the desired film composition or characteristics with selected half reactions. The above half reactions, however, do not dictate the exact bonding connectivity or the stoichiometry of the resulting film. Stoichiometry is largely controlled by thermodynamics; however, kinetically controlled films may be achieved. Thus, the dosing sequence may be modified to effect the overall composition and qualities of the film. The types of thin-film materials that can be grown with ALD half reactions generally are: [0071]
  • 1. Binary Materials: Repetitive cycles of reactants {A+B}: e.g., Hf[0072] 3N4
  • 2. Direct Alloys: Repetitive cycles of reactants {A+B+C+D}: e.g., HfSiO[0073] 4
  • 3. Compositionally Controlled Alloys: Repetitive cycles of reactants {y(A+B)+z(C+D)} (where either y or z=1 and either z or y is >1, respectively): e.g., Hf[0074] xSi(2-x)O4
  • 4. Compositionally Controlled Gradient Materials: Similar to 3, however, y or z is varied during deposition. [0075]
  • 5. Layered or laminate materials: Deposition of two different materials in discrete physical layers. Repetitive cycles of reactants {y(A+B+C+D)+z(E+F)} (where y and z are typically ≧4): e.g., nanolaminates of hafnia and alumina [0076]
  • ALD of Hafnium Aluminates (Hf[0077] XAlYO)
  • Direct: 1 cycle=(TDEAH+H[0078] 2O+TMA+H2O)
  • half reactions (s)=4.03+5.03+4.08+5.08 [0079]
  • Compositionally Controlled: 1 cycle=n(TDEAH+H[0080] 2O)+m(TMA+H2O) where typically n is one and m is varied or m is one and n is varied.
  • half reactions (second) (e.g., n=3, m=1)=4.03+5.03+4.07+5.07+4.07+5.07+4.08+5.08 [0081]
  • Layered: 1 layer=p(TDEAH+H[0082] 2O)+q(TMA+H2O) where p and q are typically ≧4 half reactions (second) (e.g., n=4, m=4)=4.03+5.03+(4.07+5.07+4.07+5.07+4.07+5.07)+4.08+5.08+(4.04+5.04+4.04+5.05+4.04+5.04)
  • ALD of Hafnium Nitrides (Hf[0083] 3N4 or HfN)
  • Direct: 1 cycle=(TDEAH+NH[0084] 3).
  • half reactions (second)=7.02+8.02 [0085]
  • In this case, deposition at these temperatures may produce Hf[0086] 3N4. Annealing to higher temperatures may produce HfN.
  • ALD of hafnium oxynitrides (HfO[0087] xNy)
  • Direct: 1 cycle=(TDEAH+H[0088] 2O+TDEAH+NH3)
  • half reactions (second)=7.02+9.02+4.07+6.07 [0089]
  • Compositionally Controlled: 1 cycle=n(TDEAH+H[0090] 2O)+m(TDEAH+NH3) where typically n is one and m is varied or m is one and n is varied.
  • Layered: 1 layer=p(TDEAH+H[0091] 2O)+q(TDEAH+NH3) where p and q are typically ≧4
  • ALD of Hafnium Aluminum Oxynitrides (Hf[0092] wAlxOyNz)
  • Direct: 1 cycle=(TDEAH+NH[0093] 3+TMA+H2O) hafnium oxynitride/alumina oxynitride alloy
  • Variations possible: 1 cycle=(TDEAH+NH[0094] 3+TDEAH+H2O+TMA+H2O)
  • Note: The different dosing sequence effects the bonding connectivity, especially when grown at lower temperatures <300° C. and without a higher-temperature anneal. In the top example, one might predict —O—Hf—N—Al—O— connectivity. This may be thought of as a hafnium oxynitride/aluminum oxynitride alloy. In the bottom example, one might predict —O—Hf—N—Hf—O—Al—O— connectivity. This may be thought of as a hafnium oxynitride/alumina alloy. [0095]
  • ALD of Hafnium Silicates (HfSiO[0096] 4 and HfxSiyO)
  • Direct: 1 cycle=(TDEAH+H[0097] 2O+TrisDMAS+H2O)=HfSiO4
  • Silica-rich hafnium silicates: 1 cycle=(TDEAH+H[0098] 2O)+3(TrisDMAS+H2O)=Hf2Si5O14
  • Compositional control (Hf:Si) from pure HfO[0099] 2 to silica-rich (>70%) hafnium silicates are possible.
  • ALD of Aluminum Silicate (Al[0100] 6Si213 and AlxSiyO)
  • Direct: 1 cycle=(TMA+H[0101] 2O+TrisDMAS+H2O)=Al6Si2O13
  • Silica-rich aluminum silicates: 1 cycle=(TMA+H[0102] 2O)+3(TrisDMAS+H2O)=Al2Si2O7
  • Compositional control (Al:Si) from pure Al[0103] 2O3 to silica-rich (>50%) aluminum silicates are possible.
  • ALD of Hafnium Aluminum Silicate (Hf[0104] 2Al6Si4O21 and HfxAlySizO)
  • e.g., 1 cycle=(TDEAH+H[0105] 2O+TrisDMAS+H2O+TMA+H2O+TrisDMAS+H2O)=Hf2Al6Si4O21
  • ALD of Hafnium Silicon Nitride (HfSi[0106] xOyNz)
  • Direct: 1 cycle=(TDEAH+NH[0107] 3+TrisDMAS+NH3)
  • ALD of Hafnium Silicon Oxynitride (HfSi[0108] xOyNz)
  • e.g., (TDEAH+H[0109] 2O+TrisDMAS+NH3)
  • e.g., (TDEAH+NH[0110] 3+TDEAH+H2O+TrisDMAS+H2O+TrisDMAS+NH3)
  • ALD of Aluminum Silicon Oxynitride (AlSi[0111] xOyNz)
  • e.g., (TMA+H[0112] 2O+TrisDMAS+NH3)
  • ALD of Hafnium Aluminum Silicon Oxynitride (HfAl[0113] wSixOyNz)
  • e.g., (TDEAH+NH[0114] 3+TMA+H2O+TrisDMAS+H2O)
  • e.g., (TDEAH+NH[0115] 3+TDEAH+H2O+TrisDMAS+NH3+TMA+H2O)
  • Continuous ALD of silica (SiO[0116] 2)
  • e.g., Direct: 1 cycle=Si(NCO)[0117] 4+H2O
  • This process may allow laminate layers of pure SiO[0118]   2 or more easy control of Si concentration in mixed allows. Si(NCO)4 is very reactive with Hf—OH* groups making silica incorporation easy (since TDEAH is reactive with SiOH*).
  • e.g., Consider several (TrisDMAS+H[0119] 2O) cycles with an occasional (TDEAH+H2O) or (TMA+H2O) cycle or (flash anneal>700° C.+H2O) to reform surface hydroxal groups to reinitiate growth.
  • Si[0120] 3N4, (e.g. Non-Continuous Seed Layer or Capping Layer)
  • e.g., Direct: 1 cycle=(TrisDMAS+NH[0121] 3)
  • Si[0122] xOyN, (e.g., Non-Continuous Seed Layer or Capping Layer)
  • e.g., Direct: 1 cycle=(TrisDMAS+NH[0123] 3+TrisDMAS+H2O)
  • AlN [0124]
  • e.g., 1 cycle=(TMA+NH[0125] 3)
  • Al[0126] xSiyN:
  • Al[0127] xOyN:
  • Hf[0128] xAlyN:
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0129]

Claims (25)

1. A method for forming a layer comprising hafnium on a substrate surface, sequentially comprising:
a) exposing the substrate surface to a hafnium precursor to form a hafnium containing layer on the substrate surface;
b) purging the chamber with a purge gas;
c) reacting a second precursor with the hafnium containing layer;
d) purging the chamber with the purge gas;
e) reacting a third precursor with the hafnium containing layer;
f) purging the chamber with the purge gas;
g) reacting a fourth precursor with the hafnium containing layer; and
h) purging the chamber with the purge gas.
2. The method of claim 1, wherein the layer comprising hafnium is hafnium silicon oxynitride.
3. The method of claim 1, further comprising repeating steps a-h to deposit the layer comprising hafnium at a thickness from about 2 Å to about 1,000 Å.
4. The method of claim 3, wherein the thickness is from about 10 Å to about 50 Å.
5. The method of claim 1, wherein the hafnium precursor is selected from the group consisting of (Et2N)4Hf, (Me2N)4Hf, (EtMeN)4Hf and Cl4Hf.
6. The method of claim 5, wherein the second precursor is selected from the group consisting of ammonia, hydrazines, azides and radical nitrogen compounds.
7. The method of claim 6, wherein the third precursor is selected from the group consisting of SiH4, Si2H6, Si3H8, Si2Cl6, (Et2N)4Si, (Me2N)4Si, (Et2N)3SiH and (Me2N)3SiH.
8. The method of claim 7, wherein the fourth precursor is selected from the group consisting of H2O, H2O2, organic peroxides, O, O2, O3 and radical oxygen compounds.
9. The method of claim 1, further comprising:
i) reacting a fifth precursor with the hafnium containing layer; and
j) purging the chamber with the purge gas.
10. The method of claim 9, wherein the fifth precursor is selected from the group consisting of Me3Al, Me2AlH, AlCl3, Me2AlCl and (PrO)3Al.
11. A method for growing a layer comprising hafnium, comprising:
exposing a substrate sequentially to at least four precursors during an ALD cycle to deposit a compound film comprising hafnium and at least three elements selected from the group consisting of silicon, aluminum, oxygen and nitrogen.
12. The method of claim 11, wherein the at least four precursors include a hafnium precursor selected from the group consisting of (Et2N)4Hf, (Me2N)4Hf, (EtMeN)4Hf and Cl4Hf.
13. The method of claim 11, wherein the at least four precursors include a silicon precursor selected from the group consisting of SiH4, Si2H6, Si3H8, Si2Cl6, (Et2N)4Si, (Me2N)4Si, (Et2N)3SiH and (Me2N)3SiH.
14. The method of claim 11, wherein the at least four precursors include a nitrogen precursor selected from the group consisting of ammonia, hydrazines, azides and radical nitrogen compounds.
15. The method of claim 11, wherein the at least four precursors include an oxygen precursor selected from the group consisting of H2O, H2O2, organic peroxides, O, O2, O3 and radical oxygen compounds.
16. The method of claim 11, wherein the at least four precursors include an aluminum precursor selected from the group consisting of Me3Al, Me2AlH, AlCl3, Me2AlCl and (PrO)3Al.
17. The method of claim 11, wherein the layer comprising hafnium is deposited to a thickness from about 2 Å to about 1,000 Å.
18. The method of claim 17, wherein the thickness is from about 10 Å to about 50 Å.
19. A method for depositing a hafnium compound on a substrate in a chamber during an atomic layer deposition process, comprising:
conducting a first half reaction comprising a hafnium precursor;
conducting a second half reaction comprising an oxygen precursor;
conducting a third half reaction comprising a nitrogen precursor; and
conducting a fourth half reaction comprising a silicon precursor.
20. The method of claim 19, wherein the hafnium precursor is selected from the group consisting of (Et2N)4Hf, (Me2N)4Hf, (EtMeN)4Hf and Cl4Hf.
21. The method of claim 20, wherein the silicon precursor is selected from the group consisting of SiH4, Si2H6, Si3H8, Si2Cl6, (Et2N)4Si, (Me2N)4Si, (Et2N)3SiH and (Me2N)3SiH.
22. The method of claim 21, wherein the nitrogen precursor is selected from the group consisting of ammonia, hydrazines, azides and radical nitrogen compounds.
23. The method of claim 22, wherein the oxygen precursor is selected from the group consisting of H2O, H2O2, organic peroxides, O, O2, O3 and radical oxygen compounds.
24. The method of claim 19, further comprising conducting a fifth half reaction comprising an aluminum precursor selected from the group consisting of Me3Al, Me2AlH, AlCl3, Me2AlCl and (PrO)3Al.
25. A composition of a semiconductor material, comprising HfSixOyNz,
wherein x is at least about 0.2 and less than about 4;
y is at least about 0.5 and less than about 4; and
z is at least about 0.05 and less than about 2.
US10/407,930 2003-04-04 2003-04-04 Method for hafnium nitride deposition Abandoned US20040198069A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/407,930 US20040198069A1 (en) 2003-04-04 2003-04-04 Method for hafnium nitride deposition
EP04759751A EP1613790A1 (en) 2003-04-04 2004-03-24 Method for hafnium nitride deposition
CNA2004800084270A CN1768159A (en) 2003-04-04 2004-03-24 Method for hafnium nitride deposition
PCT/US2004/008961 WO2004094691A1 (en) 2003-04-04 2004-03-24 Method for hafnium nitride deposition
KR1020057018882A KR20050114271A (en) 2003-04-04 2004-03-24 Method for hafnium nitride deposition
JP2006507521A JP2006522225A (en) 2003-04-04 2004-03-24 Method of hafnium nitride deposition
US11/420,928 US7547952B2 (en) 2003-04-04 2006-05-30 Method for hafnium nitride deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/407,930 US20040198069A1 (en) 2003-04-04 2003-04-04 Method for hafnium nitride deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/420,928 Division US7547952B2 (en) 2003-04-04 2006-05-30 Method for hafnium nitride deposition

Publications (1)

Publication Number Publication Date
US20040198069A1 true US20040198069A1 (en) 2004-10-07

Family

ID=33097657

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/407,930 Abandoned US20040198069A1 (en) 2003-04-04 2003-04-04 Method for hafnium nitride deposition
US11/420,928 Expired - Fee Related US7547952B2 (en) 2003-04-04 2006-05-30 Method for hafnium nitride deposition

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/420,928 Expired - Fee Related US7547952B2 (en) 2003-04-04 2006-05-30 Method for hafnium nitride deposition

Country Status (6)

Country Link
US (2) US20040198069A1 (en)
EP (1) EP1613790A1 (en)
JP (1) JP2006522225A (en)
KR (1) KR20050114271A (en)
CN (1) CN1768159A (en)
WO (1) WO2004094691A1 (en)

Cited By (422)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040266217A1 (en) * 2003-06-24 2004-12-30 Kyoung-Seok Kim Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
US20050045970A1 (en) * 2003-08-29 2005-03-03 Semiconductor Leading Edge Technologies, Inc. Semiconductor device and method for manufacturing the same
WO2005050715A2 (en) * 2003-11-17 2005-06-02 Aviza Technology, Inc. Nitridation of high-k dielectric films
US20050205947A1 (en) * 2004-03-17 2005-09-22 National University Of Singapore Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
WO2005093126A1 (en) * 2004-03-05 2005-10-06 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming dielectric or metallic films
US20050239297A1 (en) * 2003-09-30 2005-10-27 Yoshihide Senzaki Growth of high-k dielectrics by atomic layer deposition
US20060008999A1 (en) * 2004-01-21 2006-01-12 Nima Mohklesi Creating a dielectric layer using ALD to deposit multiple components
US20060022245A1 (en) * 2004-07-28 2006-02-02 Samsung Electronics Co., Ltd. Analog capacitor and method of manufacturing the same
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US20060079064A1 (en) * 2004-10-12 2006-04-13 Harald Seidl Fabrication method for a trench capacitor having an insulation collar which on one side is electrically connected to a substrate via a buried contact, in particular for a semiconductor memory cell, and corresponding trench capacitor
US20060081905A1 (en) * 2004-10-15 2006-04-20 Samsung Electronics Co., Ltd. Dielectric multilayer of microelectronic device and method of fabricating the same
US20060121742A1 (en) * 2004-12-07 2006-06-08 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US20060138566A1 (en) * 2004-06-29 2006-06-29 Chakravarti Ashima B Doped nitride film, doped oxide film and other doped films
US20060172489A1 (en) * 2005-01-28 2006-08-03 Infineon Technologies Ag Method for producing a dielectric material on a semiconductor device and semiconductor device
US20060183272A1 (en) * 2005-02-15 2006-08-17 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US20060189055A1 (en) * 2005-02-24 2006-08-24 Samsung Electronics Co., Ltd. Method of forming a composite layer, method of manufacturing a gate structure by using the method of forming the composite layer and method of manufacturing a capacitor by using the method of forming the composite layer
US20060205186A1 (en) * 2005-03-10 2006-09-14 Park Hong-Bae High dielectric film and related method of manufacture
US20060234500A1 (en) * 2005-04-15 2006-10-19 Park Jong B Method of forming capacitor of semiconductor device by successively forming a dielectric layer and a plate electrode in a single processing chamber
US20060264066A1 (en) * 2005-04-07 2006-11-23 Aviza Technology, Inc. Multilayer multicomponent high-k films and methods for depositing the same
WO2006136584A1 (en) * 2005-06-21 2006-12-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming a high dielectric constant film and method of forming a semiconductor device
US20070004224A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Methods for forming dielectrics and metal electrodes
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US20070018244A1 (en) * 2005-07-20 2007-01-25 Applied Materials, Inc. Gate Electrode structures and methods of manufacture
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
KR100709033B1 (en) * 2005-08-06 2007-04-18 주식회사 아이피에스 Method for depositing HfSiN thin film on wafer
US20080087985A1 (en) * 2004-06-30 2008-04-17 Brask Justin K Forming high-K dielectric layers on smooth substrates
WO2008098963A2 (en) * 2007-02-13 2008-08-21 University Of Aveiro Method of forming an oxide thin film
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080241388A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US20080242113A1 (en) * 2005-10-12 2008-10-02 Tokyo Electron Limited Film forming method of high-k dielectric film
CN100424221C (en) * 2004-11-18 2008-10-08 中国科学院半导体研究所 Production of hafnium nitride thin-membrane materials from ion beam epitaxial growth apparatus
US20080251836A1 (en) * 2007-04-16 2008-10-16 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
EP2009681A2 (en) 2007-06-27 2008-12-31 Applied Materials, Inc. Methods for high temperature etching a high-k material gate structure
US20090047798A1 (en) * 2007-08-16 2009-02-19 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US20090072329A1 (en) * 2007-09-18 2009-03-19 Elpida Memory, Inc. Semiconductor device and method of manufacturing the same
EP2058416A2 (en) * 2007-11-08 2009-05-13 Air Products and Chemicals, Inc. Preparation of a metal-containing film via ALD or CVD processes
US20090162551A1 (en) * 2007-12-21 2009-06-25 Thomas Zilbauer Hafnium oxide ald process
US20090163012A1 (en) * 2007-12-21 2009-06-25 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US20090232985A1 (en) * 2005-03-17 2009-09-17 Christian Dussarrat Method of forming silicon oxide containing films
US20090246971A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US20090280648A1 (en) * 2008-05-09 2009-11-12 Cyprian Emeka Uzoh Method and apparatus for 3d interconnect
US20090311879A1 (en) * 2006-06-02 2009-12-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20100221885A1 (en) * 2008-10-31 2010-09-02 Canon Anelva Corporation Method of manufacturing dielectric film
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20100237403A1 (en) * 2006-08-03 2010-09-23 Ahn Kie Y ZrAlON FILMS
US20100244192A1 (en) * 2008-10-31 2010-09-30 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20110039419A1 (en) * 2009-07-17 2011-02-17 Applied Materials, Inc. Methods for forming dielectric layers
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8093638B2 (en) 2002-06-05 2012-01-10 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
CN102826602A (en) * 2011-06-15 2012-12-19 三菱综合材料株式会社 Thermistor material, temperature sensor, and manufacturing method thereof
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20140042559A1 (en) * 2012-08-13 2014-02-13 Semiconductor Manufacturing International Corp. High-k layers, transistors, and fabrication method
CN103681269A (en) * 2012-09-03 2014-03-26 中芯国际集成电路制造(上海)有限公司 Method for selectively forming high-K dielectric layer
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20140175361A1 (en) * 2012-12-20 2014-06-26 Intermolecular Inc. Resistive Switching Layers Including Hf-Al-O
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9029233B1 (en) * 2009-04-10 2015-05-12 Intermolecular, Inc. Resistive-switching memory elements having improved switching characteristics
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US20150371859A1 (en) * 2011-05-27 2015-12-24 Adeka Corporation Method for manufacturing molybdenum oxide-containing thin film
US20160002786A1 (en) * 2013-03-15 2016-01-07 L'Air Liquide, Société Anonyme pour l'Etude et I'Exploitation des Procédés Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180010247A1 (en) * 2016-07-08 2018-01-11 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180096886A1 (en) * 2016-09-30 2018-04-05 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10204788B1 (en) 2018-01-01 2019-02-12 United Microelectronics Corp. Method of forming high dielectric constant dielectric layer by atomic layer deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20190119810A1 (en) * 2017-09-26 2019-04-25 Applied Materials, Inc. Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190148153A1 (en) * 2017-11-16 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic Layer Deposition Based Process for Contact Barrier Layer
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665501B2 (en) 2016-11-14 2020-05-26 Lam Research Corporation Deposition of Aluminum oxide etch stop layers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2021067813A1 (en) * 2019-10-04 2021-04-08 Applied Materials, Inc. Novel methods for gate interface engineering
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
CN113178477A (en) * 2021-03-10 2021-07-27 中国科学院微电子研究所 HfO2Ferroelectric thin film and method for depositing same
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11271097B2 (en) 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7211509B1 (en) * 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
JP2006135084A (en) * 2004-11-05 2006-05-25 Fujitsu Ltd Semiconductor device and manufacturing method therefor
US7498247B2 (en) * 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7485526B2 (en) * 2005-06-17 2009-02-03 Micron Technology, Inc. Floating-gate structure with dielectric component
DE102005062917A1 (en) * 2005-12-29 2007-07-12 Infineon Technologies Ag Atomlagenabscheideverfahren
JP2008034563A (en) * 2006-07-27 2008-02-14 National Institute Of Advanced Industrial & Technology Mis type semiconductor device
US8718746B2 (en) 2007-06-21 2014-05-06 Mardil, Inc. Pericardial space imaging for cardiac support device implantation
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
EP2191034B1 (en) * 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
US20090134369A1 (en) * 2007-11-26 2009-05-28 Applied Nanoworks, Inc. Metal alkoxides, apparatus for manufacturing metal alkoxides, related methods and uses thereof
JP4611414B2 (en) 2007-12-26 2011-01-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP5575582B2 (en) * 2007-12-26 2014-08-20 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US20100279124A1 (en) 2008-10-31 2010-11-04 Leybold Optics Gmbh Hafnium or zirconium oxide Coating
JP5384291B2 (en) 2008-11-26 2014-01-08 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
EP2730676A1 (en) * 2010-04-01 2014-05-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for deposition of silicon nitride layers
US8722548B2 (en) 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
KR102185458B1 (en) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. Selective deposition
CN108074801B (en) * 2016-11-08 2020-09-08 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure
KR102631150B1 (en) * 2017-05-15 2024-01-29 도쿄엘렉트론가부시키가이샤 In-situ selective deposition and etching for advanced patterning applications
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
DE102018124675A1 (en) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing of film at different temperatures and structures formed thereby
WO2019182967A1 (en) 2018-03-19 2019-09-26 Applied Materials, Inc. Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components

Citations (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5521126A (en) * 1993-06-25 1996-05-28 Nec Corporation Method of fabricating semiconductor devices
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6335240B1 (en) * 1998-01-06 2002-01-01 Samsung Electronics Co., Ltd. Capacitor for a semiconductor device and method for forming the same
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020005556A1 (en) * 1999-10-06 2002-01-17 Eduard Albert Cartier Silicate gate dielectric
US20020008297A1 (en) * 2000-06-28 2002-01-24 Dae-Gyu Park Gate structure and method for manufacture thereof
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020016084A1 (en) * 2000-04-28 2002-02-07 Todd Michael A. CVD syntheses of silicon nitride materials
US20020014647A1 (en) * 2000-07-07 2002-02-07 Infineon Technologies Ag Trench capacitor with isolation collar and corresponding method of production
US20020015790A1 (en) * 1999-10-07 2002-02-07 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020029092A1 (en) * 1998-09-21 2002-03-07 Baltes Gass Process tool and process system for processing a workpiece
US6354395B1 (en) * 1997-08-04 2002-03-12 Delphi Technologies, Inc. Delashed worm gear assembly and electric power assist apparatus
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020043666A1 (en) * 2000-07-20 2002-04-18 Parsons Gregory N. High dielectric constant metal silicates formed by controlled metal-surface reactions
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20020047151A1 (en) * 2000-10-19 2002-04-25 Kim Yeong-Kwan Semiconductor device having thin film formed by atomic layer deposition and method for fabricating the same
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US20020064970A1 (en) * 2000-11-30 2002-05-30 Chartered Semiconductor Manufacturing Inc. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020074588A1 (en) * 2000-12-20 2002-06-20 Kyu-Mann Lee Ferroelectric capacitors for integrated circuit memory devices and methods of manufacturing same
US20020081826A1 (en) * 2000-12-21 2002-06-27 Rotondaro Antonio L. P. Annealing of high-K dielectric materials
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030060057A1 (en) * 2000-02-22 2003-03-27 Ivo Raaijmakers Method of forming ultrathin oxide layer
US20030068437A1 (en) * 1999-09-07 2003-04-10 Genji Nakamura Method and apparatus for forming insulating film containing silicon oxy-nitride
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030089942A1 (en) * 2001-11-09 2003-05-15 Micron Technology, Inc. Scalable gate and storage dielectric
US20030096473A1 (en) * 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US20030104710A1 (en) * 2001-11-30 2003-06-05 Visokay Mark R. Gate dielectric and method
US20030109114A1 (en) * 2001-12-11 2003-06-12 Matsushita Electric Industrial Co., Ltd. Method for forming insulative film, a semiconductor device and method for manufacturing the same
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030116804A1 (en) * 2001-12-26 2003-06-26 Visokay Mark Robert Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040007747A1 (en) * 2002-07-15 2004-01-15 Visokay Mark R. Gate structure and method
US20040009675A1 (en) * 2002-07-15 2004-01-15 Eissa Mona M. Gate structure and method
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20040016973A1 (en) * 2002-07-26 2004-01-29 Rotondaro Antonio L.P. Gate dielectric and method
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040023462A1 (en) * 2002-07-31 2004-02-05 Rotondaro Antonio L.P. Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040038554A1 (en) * 2002-08-21 2004-02-26 Ahn Kie Y. Composite dielectric forming methods and composite dielectrics
US20040040501A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US20040048491A1 (en) * 2002-09-10 2004-03-11 Hyung-Suk Jung Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040053484A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040051152A1 (en) * 2002-09-13 2004-03-18 Semiconductor Technology Academic Research Center Semiconductor device and method for manufacturing same
US20040077182A1 (en) * 2002-10-22 2004-04-22 Lim Jung-Wook Method for forming introgen-containing oxide thin film using plasma enhanced atomic layer deposition
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
JPS62104038A (en) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd Steam-containing oxygen gas supplying device
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
DE3743938C2 (en) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR100282853B1 (en) 1998-05-18 2001-04-02 서성기 Apparatus for thin film deposition using cyclic gas injection
KR100267885B1 (en) 1998-05-18 2000-11-01 서성기 Deposition apparatus
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
JP2000188400A (en) 1998-11-09 2000-07-04 Texas Instr Inc <Ti> Method for forming semiconductor device
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
CA2271450A1 (en) 1999-05-12 2000-11-12 Stuart Energy Systems Inc. Hydrogen fuel replenishment process and apparatus
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
FI118343B (en) 1999-12-28 2007-10-15 Asm Int Apparatus for making thin films
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
JP2002343790A (en) * 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
JP2005079223A (en) * 2003-08-29 2005-03-24 Toshiba Corp Semiconductor device and its manufacturing method

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5503875A (en) * 1993-03-18 1996-04-02 Tokyo Electron Limited Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily
US5521126A (en) * 1993-06-25 1996-05-28 Nec Corporation Method of fabricating semiconductor devices
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US5855680A (en) * 1994-11-28 1999-01-05 Neste Oy Apparatus for growing thin films
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6354395B1 (en) * 1997-08-04 2002-03-12 Delphi Technologies, Inc. Delashed worm gear assembly and electric power assist apparatus
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6335240B1 (en) * 1998-01-06 2002-01-01 Samsung Electronics Co., Ltd. Capacitor for a semiconductor device and method for forming the same
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20020029092A1 (en) * 1998-09-21 2002-03-07 Baltes Gass Process tool and process system for processing a workpiece
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20010002280A1 (en) * 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20030068437A1 (en) * 1999-09-07 2003-04-10 Genji Nakamura Method and apparatus for forming insulating film containing silicon oxy-nitride
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20020005556A1 (en) * 1999-10-06 2002-01-17 Eduard Albert Cartier Silicate gate dielectric
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US20020015790A1 (en) * 1999-10-07 2002-02-07 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US20030060057A1 (en) * 2000-02-22 2003-03-27 Ivo Raaijmakers Method of forming ultrathin oxide layer
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020081844A1 (en) * 2000-04-20 2002-06-27 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US20020016084A1 (en) * 2000-04-28 2002-02-07 Todd Michael A. CVD syntheses of silicon nitride materials
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20020008297A1 (en) * 2000-06-28 2002-01-24 Dae-Gyu Park Gate structure and method for manufacture thereof
US20020014647A1 (en) * 2000-07-07 2002-02-07 Infineon Technologies Ag Trench capacitor with isolation collar and corresponding method of production
US20020043666A1 (en) * 2000-07-20 2002-04-18 Parsons Gregory N. High dielectric constant metal silicates formed by controlled metal-surface reactions
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US20020047151A1 (en) * 2000-10-19 2002-04-25 Kim Yeong-Kwan Semiconductor device having thin film formed by atomic layer deposition and method for fabricating the same
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20020064970A1 (en) * 2000-11-30 2002-05-30 Chartered Semiconductor Manufacturing Inc. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US20020074588A1 (en) * 2000-12-20 2002-06-20 Kyu-Mann Lee Ferroelectric capacitors for integrated circuit memory devices and methods of manufacturing same
US20020081826A1 (en) * 2000-12-21 2002-06-27 Rotondaro Antonio L. P. Annealing of high-K dielectric materials
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20030089942A1 (en) * 2001-11-09 2003-05-15 Micron Technology, Inc. Scalable gate and storage dielectric
US20030096473A1 (en) * 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US20030104710A1 (en) * 2001-11-30 2003-06-05 Visokay Mark R. Gate dielectric and method
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030109114A1 (en) * 2001-12-11 2003-06-12 Matsushita Electric Industrial Co., Ltd. Method for forming insulative film, a semiconductor device and method for manufacturing the same
US20030116804A1 (en) * 2001-12-26 2003-06-26 Visokay Mark Robert Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040028952A1 (en) * 2002-06-10 2004-02-12 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant composition and method of making same
US20040005749A1 (en) * 2002-07-02 2004-01-08 Choi Gil-Heyun Methods of forming dual gate semiconductor devices having a metal nitride layer
US20040009675A1 (en) * 2002-07-15 2004-01-15 Eissa Mona M. Gate structure and method
US20040007747A1 (en) * 2002-07-15 2004-01-15 Visokay Mark R. Gate structure and method
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20050006799A1 (en) * 2002-07-23 2005-01-13 Gregg John N. Method and apparatus to help promote contact of gas with vaporized material
US20040016973A1 (en) * 2002-07-26 2004-01-29 Rotondaro Antonio L.P. Gate dielectric and method
US20040023461A1 (en) * 2002-07-30 2004-02-05 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040023462A1 (en) * 2002-07-31 2004-02-05 Rotondaro Antonio L.P. Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040038554A1 (en) * 2002-08-21 2004-02-26 Ahn Kie Y. Composite dielectric forming methods and composite dielectrics
US20040040501A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040048491A1 (en) * 2002-09-10 2004-03-11 Hyung-Suk Jung Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US20040051152A1 (en) * 2002-09-13 2004-03-18 Semiconductor Technology Academic Research Center Semiconductor device and method for manufacturing same
US20040053484A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040077182A1 (en) * 2002-10-22 2004-04-22 Lim Jung-Wook Method for forming introgen-containing oxide thin film using plasma enhanced atomic layer deposition

Cited By (600)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8652957B2 (en) 2001-08-30 2014-02-18 Micron Technology, Inc. High-K gate dielectric oxide
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US8093638B2 (en) 2002-06-05 2012-01-10 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US20080268653A1 (en) * 2003-06-24 2008-10-30 Samsung Electronics Co., Ltd. Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
US7396719B2 (en) * 2003-06-24 2008-07-08 Samsung Electronics Co., Ltd. Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
US20040266217A1 (en) * 2003-06-24 2004-12-30 Kyoung-Seok Kim Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
US7268047B2 (en) 2003-08-29 2007-09-11 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20050045970A1 (en) * 2003-08-29 2005-03-03 Semiconductor Leading Edge Technologies, Inc. Semiconductor device and method for manufacturing the same
US7034369B2 (en) * 2003-08-29 2006-04-25 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20060138572A1 (en) * 2003-08-29 2006-06-29 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20050239297A1 (en) * 2003-09-30 2005-10-27 Yoshihide Senzaki Growth of high-k dielectrics by atomic layer deposition
WO2005050715A3 (en) * 2003-11-17 2006-05-18 Aviza Tech Inc Nitridation of high-k dielectric films
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
WO2005050715A2 (en) * 2003-11-17 2005-06-02 Aviza Technology, Inc. Nitridation of high-k dielectric films
US20060008999A1 (en) * 2004-01-21 2006-01-12 Nima Mohklesi Creating a dielectric layer using ALD to deposit multiple components
US20060027882A1 (en) * 2004-01-21 2006-02-09 Nima Mokhlesi Dielectric layer created using ALD to deposit multiple components
US7482286B2 (en) 2004-03-05 2009-01-27 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming dielectric or metallic films
US20060084281A1 (en) * 2004-03-05 2006-04-20 Ashutosh Misra Novel deposition of high-k MSiON dielectric films
US20070190807A1 (en) * 2004-03-05 2007-08-16 Ashutosh Misra Method for forming dielectric or metallic films
WO2005093126A1 (en) * 2004-03-05 2005-10-06 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming dielectric or metallic films
US20050205947A1 (en) * 2004-03-17 2005-09-22 National University Of Singapore Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
US7514360B2 (en) * 2004-03-17 2009-04-07 Hong Yu Yu Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060138566A1 (en) * 2004-06-29 2006-06-29 Chakravarti Ashima B Doped nitride film, doped oxide film and other doped films
US7595010B2 (en) * 2004-06-29 2009-09-29 International Business Machines Corporation Method for producing a doped nitride film, doped oxide film and other doped films
US7361611B2 (en) * 2004-06-29 2008-04-22 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US20080054228A1 (en) * 2004-06-29 2008-03-06 Chakravarti Ashima B Doped nitride film, doped oxide film and other doped films
US20080087985A1 (en) * 2004-06-30 2008-04-17 Brask Justin K Forming high-K dielectric layers on smooth substrates
US7615441B2 (en) * 2004-06-30 2009-11-10 Intel Corporation Forming high-k dielectric layers on smooth substrates
US20060022245A1 (en) * 2004-07-28 2006-02-02 Samsung Electronics Co., Ltd. Analog capacitor and method of manufacturing the same
US7679124B2 (en) * 2004-07-28 2010-03-16 Samsung Electronics Co., Ltd. Analog capacitor and method of manufacturing the same
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US20060079064A1 (en) * 2004-10-12 2006-04-13 Harald Seidl Fabrication method for a trench capacitor having an insulation collar which on one side is electrically connected to a substrate via a buried contact, in particular for a semiconductor memory cell, and corresponding trench capacitor
US20060081905A1 (en) * 2004-10-15 2006-04-20 Samsung Electronics Co., Ltd. Dielectric multilayer of microelectronic device and method of fabricating the same
CN100424221C (en) * 2004-11-18 2008-10-08 中国科学院半导体研究所 Production of hafnium nitride thin-membrane materials from ion beam epitaxial growth apparatus
US20060121742A1 (en) * 2004-12-07 2006-06-08 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8049264B2 (en) 2005-01-28 2011-11-01 Qimonda Ag Method for producing a dielectric material on a semiconductor device and semiconductor device
US20060172489A1 (en) * 2005-01-28 2006-08-03 Infineon Technologies Ag Method for producing a dielectric material on a semiconductor device and semiconductor device
US7399666B2 (en) 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7423311B2 (en) 2005-02-15 2008-09-09 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US20060183272A1 (en) * 2005-02-15 2006-08-17 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US20060189055A1 (en) * 2005-02-24 2006-08-24 Samsung Electronics Co., Ltd. Method of forming a composite layer, method of manufacturing a gate structure by using the method of forming the composite layer and method of manufacturing a capacitor by using the method of forming the composite layer
US7521331B2 (en) 2005-03-10 2009-04-21 Samsung Electronics Co., Ltd. High dielectric film and related method of manufacture
US20060205186A1 (en) * 2005-03-10 2006-09-14 Park Hong-Bae High dielectric film and related method of manufacture
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
US20090232985A1 (en) * 2005-03-17 2009-09-17 Christian Dussarrat Method of forming silicon oxide containing films
US8613976B2 (en) 2005-03-17 2013-12-24 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon oxide containing films
US20060264066A1 (en) * 2005-04-07 2006-11-23 Aviza Technology, Inc. Multilayer multicomponent high-k films and methods for depositing the same
US20060234500A1 (en) * 2005-04-15 2006-10-19 Park Jong B Method of forming capacitor of semiconductor device by successively forming a dielectric layer and a plate electrode in a single processing chamber
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
WO2006136584A1 (en) * 2005-06-21 2006-12-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming a high dielectric constant film and method of forming a semiconductor device
US7432139B2 (en) 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US20070004224A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Methods for forming dielectrics and metal electrodes
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US20070018244A1 (en) * 2005-07-20 2007-01-25 Applied Materials, Inc. Gate Electrode structures and methods of manufacture
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7541650B2 (en) 2005-07-20 2009-06-02 Applied Materials, Inc. Gate electrode structures
US20080142893A1 (en) * 2005-07-20 2008-06-19 Applied Materials, Inc. Gate Electrode Structures
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
WO2007019449A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
KR100709033B1 (en) * 2005-08-06 2007-04-18 주식회사 아이피에스 Method for depositing HfSiN thin film on wafer
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8951903B2 (en) 2005-08-30 2015-02-10 Micron Technology, Inc. Graded dielectric structures
US9627501B2 (en) 2005-08-30 2017-04-18 Micron Technology, Inc. Graded dielectric structures
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
US20080242113A1 (en) * 2005-10-12 2008-10-02 Tokyo Electron Limited Film forming method of high-k dielectric film
US20140327065A1 (en) * 2006-02-16 2014-11-06 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20120068272A1 (en) * 2006-02-16 2012-03-22 Ahn Kie Y Conductive layers for hafnium silicon oxynitride
US8785312B2 (en) * 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US9583335B2 (en) 2006-06-02 2017-02-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
US10217629B2 (en) 2006-06-02 2019-02-26 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming dielectric films, new precursors and their use in semiconductor manufacturing
US20090311879A1 (en) * 2006-06-02 2009-12-17 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9911590B2 (en) 2006-06-02 2018-03-06 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Methods of forming dielectric films, new precursors and their use in semiconductor manufacturing
US8399056B2 (en) * 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9236245B2 (en) 2006-08-03 2016-01-12 Micron Technology, Inc. ZrA1ON films
US9502256B2 (en) 2006-08-03 2016-11-22 Micron Technology, Inc. ZrAION films
US20100237403A1 (en) * 2006-08-03 2010-09-23 Ahn Kie Y ZrAlON FILMS
US8993455B2 (en) * 2006-08-03 2015-03-31 Micron Technology, Inc. ZrAlON films
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008098963A2 (en) * 2007-02-13 2008-08-21 University Of Aveiro Method of forming an oxide thin film
WO2008098963A3 (en) * 2007-02-13 2009-01-15 Univ Aveiro Method of forming an oxide thin film
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US20080241388A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7851285B2 (en) * 2007-04-16 2010-12-14 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US20080251836A1 (en) * 2007-04-16 2008-10-16 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
EP2009681A2 (en) 2007-06-27 2008-12-31 Applied Materials, Inc. Methods for high temperature etching a high-k material gate structure
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US20090047798A1 (en) * 2007-08-16 2009-02-19 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US20090072329A1 (en) * 2007-09-18 2009-03-19 Elpida Memory, Inc. Semiconductor device and method of manufacturing the same
EP2058416A2 (en) * 2007-11-08 2009-05-13 Air Products and Chemicals, Inc. Preparation of a metal-containing film via ALD or CVD processes
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8016945B2 (en) 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US20090162551A1 (en) * 2007-12-21 2009-06-25 Thomas Zilbauer Hafnium oxide ald process
US20090163012A1 (en) * 2007-12-21 2009-06-25 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US20090246971A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US8043907B2 (en) 2008-03-31 2011-10-25 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090280648A1 (en) * 2008-05-09 2009-11-12 Cyprian Emeka Uzoh Method and apparatus for 3d interconnect
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100330813A1 (en) * 2008-10-31 2010-12-30 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film
US8178934B2 (en) 2008-10-31 2012-05-15 Canon Anelva Corporation Dielectric film with hafnium aluminum oxynitride film
US8030694B2 (en) 2008-10-31 2011-10-04 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film including hafnium, aluminum or silicon, nitrogen, and oxygen
US20100244192A1 (en) * 2008-10-31 2010-09-30 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film
US8053311B2 (en) 2008-10-31 2011-11-08 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film including hafnium, aluminum or silicon, nitrogen, and oxygen
US20100221885A1 (en) * 2008-10-31 2010-09-02 Canon Anelva Corporation Method of manufacturing dielectric film
US7867847B2 (en) 2008-10-31 2011-01-11 Canon Anelva Corporation Method of manufacturing dielectric film that has hafnium-containing and aluminum-containing oxynitride
US20110064642A1 (en) * 2008-10-31 2011-03-17 Canon Anelva Corporation Dielectric film with metallic oxynitride
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US9029233B1 (en) * 2009-04-10 2015-05-12 Intermolecular, Inc. Resistive-switching memory elements having improved switching characteristics
US20150147865A1 (en) * 2009-04-10 2015-05-28 Intermolecular, Inc. Resistive-switching memory elements having improved switching characteristics
US20100270626A1 (en) * 2009-04-27 2010-10-28 Raisanen Petri I Atomic layer deposition of hafnium lanthanum oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8507389B2 (en) 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
US20110039419A1 (en) * 2009-07-17 2011-02-17 Applied Materials, Inc. Methods for forming dielectric layers
US20140346650A1 (en) * 2009-08-14 2014-11-27 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10804098B2 (en) * 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20150371859A1 (en) * 2011-05-27 2015-12-24 Adeka Corporation Method for manufacturing molybdenum oxide-containing thin film
US9881796B2 (en) * 2011-05-27 2018-01-30 Adeka Corporation Method for manufacturing molybdenum oxide-containing thin film
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102826602A (en) * 2011-06-15 2012-12-19 三菱综合材料株式会社 Thermistor material, temperature sensor, and manufacturing method thereof
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9340874B2 (en) 2011-11-23 2016-05-17 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US9177784B2 (en) 2012-05-07 2015-11-03 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9299595B2 (en) 2012-06-27 2016-03-29 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20140042559A1 (en) * 2012-08-13 2014-02-13 Semiconductor Manufacturing International Corp. High-k layers, transistors, and fabrication method
US9029224B2 (en) * 2012-08-13 2015-05-12 Semiconductor Manufacturing International Corp. High-K layers, transistors, and fabrication method
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103681269A (en) * 2012-09-03 2014-03-26 中芯国际集成电路制造(上海)有限公司 Method for selectively forming high-K dielectric layer
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US20140175361A1 (en) * 2012-12-20 2014-06-26 Intermolecular Inc. Resistive Switching Layers Including Hf-Al-O
US9276203B2 (en) * 2012-12-20 2016-03-01 Intermolecular, Inc. Resistive switching layers including Hf-Al-O
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9228259B2 (en) 2013-02-01 2016-01-05 Asm Ip Holding B.V. Method for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US20160002786A1 (en) * 2013-03-15 2016-01-07 L'Air Liquide, Société Anonyme pour l'Etude et I'Exploitation des Procédés Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US20160040289A1 (en) * 2013-03-15 2016-02-11 Julien Gatineau Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US11649546B2 (en) * 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US20180010247A1 (en) * 2016-07-08 2018-01-11 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US20200224311A1 (en) * 2016-07-08 2020-07-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10418236B2 (en) 2016-09-30 2019-09-17 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US20180096886A1 (en) * 2016-09-30 2018-04-05 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10665501B2 (en) 2016-11-14 2020-05-26 Lam Research Corporation Deposition of Aluminum oxide etch stop layers
US10804144B2 (en) 2016-11-14 2020-10-13 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11598000B2 (en) * 2017-09-26 2023-03-07 Applied Materials, Inc. Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
US20190119810A1 (en) * 2017-09-26 2019-04-25 Applied Materials, Inc. Method, materials and process for native oxide removal and regrowth of dielectric oxides for better biosensor performance
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US20190148153A1 (en) * 2017-11-16 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic Layer Deposition Based Process for Contact Barrier Layer
US10679859B2 (en) * 2017-11-16 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10204788B1 (en) 2018-01-01 2019-02-12 United Microelectronics Corp. Method of forming high dielectric constant dielectric layer by atomic layer deposition
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
WO2021067813A1 (en) * 2019-10-04 2021-04-08 Applied Materials, Inc. Novel methods for gate interface engineering
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11271097B2 (en) 2019-11-01 2022-03-08 Applied Materials, Inc. Cap oxidation for FinFET formation
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11923190B2 (en) 2020-08-07 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923181B2 (en) 2020-11-23 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2020-11-24 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
CN113178477A (en) * 2021-03-10 2021-07-27 中国科学院微电子研究所 HfO2Ferroelectric thin film and method for depositing same
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
JP2006522225A (en) 2006-09-28
WO2004094691A1 (en) 2004-11-04
US7547952B2 (en) 2009-06-16
KR20050114271A (en) 2005-12-05
US20060208215A1 (en) 2006-09-21
EP1613790A1 (en) 2006-01-11
CN1768159A (en) 2006-05-03

Similar Documents

Publication Publication Date Title
US7547952B2 (en) Method for hafnium nitride deposition
KR102192161B1 (en) Atomic layer deposition of GeO2
JP7320544B2 (en) Si-containing film-forming composition and method of use thereof
US7402534B2 (en) Pretreatment processes within a batch ALD reactor
KR101427142B1 (en) ALD of metal silicate films
TWI595109B (en) Method of treating nitride thin film on substrate
KR100744219B1 (en) Method of depositing transition metal nitride thin films
US8017182B2 (en) Method for depositing thin films by mixed pulsed CVD and ALD
CN100537842C (en) The method for preparing metal silicon nitride films by cyclic deposition
US20180291505A1 (en) Atomic Layer Deposition Of Films Comprising Silicon, Carbon And Nitrogen Using Halogenated Silicon Precursors
US7208427B2 (en) Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US20070065578A1 (en) Treatment processes for a batch ALD reactor
KR100975687B1 (en) Cyclic chemical vapor deposition of metal-silicon containing films
KR20090068179A (en) Process for producing a thin film comprising silicon dioxide
WO2004017383A2 (en) Low termperature deposition of silicon oxides and oxynitrides
TW201520369A (en) Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors

Legal Events

Date Code Title Description
AS Assignment

Owner name: REGENTS OF THE UNIVERSITY OF COLORADO, COLORADO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:METZNER, CRAIG;KHER, SHREYAS;KIM, YEONG KWAN;AND OTHERS;REEL/FRAME:013848/0556;SIGNING DATES FROM 20030512 TO 20030515

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:METZNER, CRAIG;KHER, SHREYAS;KIM, YEONG KWAN;AND OTHERS;REEL/FRAME:013848/0556;SIGNING DATES FROM 20030512 TO 20030515

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION