US20040195631A1 - Gate edge diode leakage reduction - Google Patents

Gate edge diode leakage reduction Download PDF

Info

Publication number
US20040195631A1
US20040195631A1 US10/755,550 US75555004A US2004195631A1 US 20040195631 A1 US20040195631 A1 US 20040195631A1 US 75555004 A US75555004 A US 75555004A US 2004195631 A1 US2004195631 A1 US 2004195631A1
Authority
US
United States
Prior art keywords
region
source
drain
semiconductor substrate
atoms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/755,550
Inventor
Srinivasan Chakravarthi
Suresh Potla
Gordon Pollack
Amitabh Jain
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/755,550 priority Critical patent/US20040195631A1/en
Publication of US20040195631A1 publication Critical patent/US20040195631A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface

Definitions

  • This invention relates to the addition of a sub amorphizing implant to reduce the halo atom concentration at the source and drain extension junctions while maintaining the halo atom concentration close to the substrate surface of the channel region.
  • FIG. 1 is a cross-section view of a partial integrated circuit in accordance with the present invention.
  • FIG. 2 is a flow chart illustrating the process flow of one embodiment of the present invention.
  • FIGS. 3A-3F are cross-sectional views of a partially fabricated semiconductor wafer in accordance with one embodiment of the present invention.
  • FIG. 1 is a cross-section view of a partial integrated circuit 2 in accordance with the present invention.
  • a CMOS transistor is formed generally with a gate oxide 3 , a gate electrode 4 , a source 5 , and a drain 6 .
  • the transistor has gate sidewalls 7 , a source extension 8 , and a drain extension 9 .
  • This transistor is electrically insulated from other active devices by a shallow trench isolation structure (“STI”) 10 formed within the semiconductor substrate 11 ; however, any conventional isolation structure may be used such as field oxidation regions (also known as LOCOS regions) or implanted regions.
  • the semiconductor substrate 11 is a single-crystal silicon substrate that is doped to be n-type; however, it may be doped p-type or may be formed by fabricating an epitaxial silicon layer on a single-crystal substrate.
  • an implant of a foreign species, such as fluorine, into the lattice of the substrate 11 accelerates the diffusion of the halo implant during the anneal process, resulting in a change in the location of the flow of the diode leakage current from A-A′ to B-B′.
  • the diode leakage current (called the Gate Edge Diode Leakage, or “GEDL”) is reduced by changing the location of the diode leakage at the extension junction from A-A′ to B-B′ because the dopant concentration (i.e. arsenic concentration) of the extension region is lower at points A, A′ than at points B, B′.
  • This reduction in GEDL i.e. the off-state current leakage reduces the power consumption of the integrated circuit.
  • halo dopant such as boron 12 is used to control the sub-threshold leakage, which is the current flowing through the channel region from C to C′.
  • halo dopant such as boron 12
  • the accelerated diffusion of the halo atoms also facilitates the use of a lower halo atom doping level because of the resulting accumulation of halo atoms at the sub-threshold channel region C-C′.
  • the sub-threshold current can be held constant even though the halo doping level dropped because the accelerated diffusion of the halo atoms caused an increased concentration of halo atoms near the gate oxide 3 .
  • the sub-threshold current level i.e. the drive current
  • FIG. 2 is a flow chart illustrating the process flow of one embodiment of the present invention.
  • FIGS. 3A-3F are cross-sectional views of a partially fabricated semiconductor wafer in accordance with one embodiment of the present invention.
  • the manufacturing process steps should be those standard in the industry.
  • the first step is the formation of the gate (step 200 ), as shown in FIG. 3A.
  • a gate oxide layer 3 (preferably comprised of silicon dioxide, an oxynitride, a silicon nitride, BST, PZT, a silicate, any other high-k material, or any combination or stack thereof) is formed on the substrate 11 .
  • a gate electrode 4 (preferably comprised of polycrystalline silicon doped either p-type or n-type with a silicide formed on top, or a metal such as titanium, tungsten, TiN, tantalum, or TaN) is formed on the gate oxide layer 3 .
  • the gate sidewalls 7 (preferably comprised of oxide, a nitride, an oxynitride or a combination or stack thereof) are formed, thereby creating an offset space adjacent to the gate stack 3 , 4 .
  • Step 202 is the formation of the source and drain extensions 8 , 9 .
  • the ordering of this step is exemplary as the source and drain extensions may be created either earlier or later in the process.
  • Implanting a dopant such as arsenic into the substrate 11 forms the source/drain extensions 8 , 9 .
  • the presence of gate sidewalls 7 creates a separation between the source/drain extension regions 8 , 9 and the gate stacks 3 , 4 .
  • the regions of a halo dopant are now formed (step 204 ).
  • the ordering of this step is also exemplary as the halo regions 13 may be created either earlier or later in the process.
  • the halo regions 13 are formed by focusing the implantation of a dopant such as boron into the channel region in an area that is close to the source and drain extension junctions (i.e. the edge of the source and drain extensions). Generally this is accomplished by implanting the dopants into the semiconductor wafer at an angle, as indicated in FIG. 3C. However, it is within the scope of this invention to implant the halo dopants at other tilts and angles—including a perpendicular angle. Furthermore, instead of using boron as the halo implant, the use of any halo dopant, such as indium, is within the scope of this invention.
  • the impurity implant of a foreign species is now performed (step 206 ) as shown in FIG. 3D.
  • the ordering of this step is also exemplary as the impurity implant may be performed either earlier or later in the process.
  • the impurity dopants 14 are implanted to approximately the same depth as the halo dopants.
  • the impurity dopants 14 are implanted at an angle into the semiconductor wafer and the dopants are directed to the halo region 13 (as indicated in FIG. 3D).
  • the use of any other impurity dopants such as Si or Ge is within the scope of this invention.
  • Step 208 is the formation of the source/drain spacers 15 .
  • the source/drain spacers 15 preferably comprised of an oxide/nitride stack, but alternatively an oxide, a nitride, an oxynitride, or any suitable material
  • the presence of source/drain spacers 15 acts to create a separation between the source/drain extension regions 8 , 9 and the source 5 or drain 6 .
  • Step 210 is the implantation of dopants to create a source 5 and a drain 6 .
  • a dopant such as AsP is implanted into the substrate 11 at the source region 5 and the drain region 6 .
  • the presence of source/drain spacers 15 creates the proper separation between the source/drain extension regions 8 , 9 and the source and drain 5 , 6 .
  • the halo dose i.e. boron
  • the impurity implant dose i.e. fluorine
  • any level of impurity implant may be used as long as it doesn't amorphize the substrate 11 .
  • the power level may range from 1-30 KeV.
  • the semiconductor wafer is annealed (step 212 ).
  • Annealing causes the dopants in the source 5 , drain 6 , and source/drain extension regions 8 , 9 to diffuse.
  • the annealing causes the halo dopant to diffuse at an accelerated pace.
  • the result is that there is a desirable concentration of halo dopants (i.e. boron) at the drain extension junctions and in the channel region near the gate oxide (as shown in FIG. 1).
  • the annealing process also causes the impurity atoms (i.e. fluorine) to move to the channel region of the substrate 11 closest to the gate oxide 3 , or to the gate oxide 3 , or to the interface between the channel region and the gate oxide 3 .
  • the manufacturing process continues until the final integrated circuit structure is complete (step 214 ).
  • the substrate may include various elements therein and/or layers thereon.
  • the invention is applicable to semiconductor wafers having different well and substrate technologies or transistor configurations.
  • the integrated circuit may use any number of various metal layers, barrier layers, device structures, active elements and passive elements.
  • the invention may be used in a pMOSFET where fluorine is implanted in combination with a phosphorous or arsenic halo implant (and then boron may be used for the source and drain region).
  • the invention is applicable to any semiconductor technology such as BiCMOS, bipolar, SOI, strained silicon, pyroelectric sensors, opto-electronic devices, microelectrical mechanical system (“MEMS”), or SiGe.

Abstract

An embodiment of the invention is an integrated circuit 2 having halo atoms 12 concentrated at a gate side of a channel region and impurity atoms 14 within the channel region. Another embodiment of the invention is a method of manufacturing an integrated circuit that includes the implantation of impurity atoms 14 into a semiconductor substrate 11.

Description

    BACKGROUND OF THE INVENTION
  • This invention relates to the addition of a sub amorphizing implant to reduce the halo atom concentration at the source and drain extension junctions while maintaining the halo atom concentration close to the substrate surface of the channel region.[0001]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-section view of a partial integrated circuit in accordance with the present invention. [0002]
  • FIG. 2 is a flow chart illustrating the process flow of one embodiment of the present invention. [0003]
  • FIGS. 3A-3F are cross-sectional views of a partially fabricated semiconductor wafer in accordance with one embodiment of the present invention. [0004]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention is described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the instant invention. Several aspects of the invention are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the invention. One skilled in the relevant art, however, will readily recognize that the invention can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the invention. The present invention is not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement a methodology in accordance with the present invention. [0005]
  • Referring to the drawings, FIG. 1 is a cross-section view of a partial [0006] integrated circuit 2 in accordance with the present invention. A CMOS transistor is formed generally with a gate oxide 3, a gate electrode 4, a source 5, and a drain 6. In addition the transistor has gate sidewalls 7, a source extension 8, and a drain extension 9.
  • This transistor is electrically insulated from other active devices by a shallow trench isolation structure (“STI”) [0007] 10 formed within the semiconductor substrate 11; however, any conventional isolation structure may be used such as field oxidation regions (also known as LOCOS regions) or implanted regions. The semiconductor substrate 11 is a single-crystal silicon substrate that is doped to be n-type; however, it may be doped p-type or may be formed by fabricating an epitaxial silicon layer on a single-crystal substrate.
  • In accordance with the present invention an implant of a foreign species, such as fluorine, into the lattice of the [0008] substrate 11 accelerates the diffusion of the halo implant during the anneal process, resulting in a change in the location of the flow of the diode leakage current from A-A′ to B-B′. The diode leakage current (called the Gate Edge Diode Leakage, or “GEDL”) is reduced by changing the location of the diode leakage at the extension junction from A-A′ to B-B′ because the dopant concentration (i.e. arsenic concentration) of the extension region is lower at points A, A′ than at points B, B′. This reduction in GEDL (i.e. the off-state current leakage) reduces the power consumption of the integrated circuit.
  • In this application a high channel dopant (“halo dopant”) such as [0009] boron 12 is used to control the sub-threshold leakage, which is the current flowing through the channel region from C to C′. The accelerated diffusion of the halo atoms (prompted by the foreign species) also facilitates the use of a lower halo atom doping level because of the resulting accumulation of halo atoms at the sub-threshold channel region C-C′. More specifically, the sub-threshold current can be held constant even though the halo doping level dropped because the accelerated diffusion of the halo atoms caused an increased concentration of halo atoms near the gate oxide 3. Thus the sub-threshold current level (i.e. the drive current) is maintained even though the halo dopant level was decreased.
  • FIG. 2 is a flow chart illustrating the process flow of one embodiment of the present invention. In addition, FIGS. 3A-3F are cross-sectional views of a partially fabricated semiconductor wafer in accordance with one embodiment of the present invention. Other than [0010] process step 206, the manufacturing process steps should be those standard in the industry. The first step is the formation of the gate (step 200), as shown in FIG. 3A. A gate oxide layer 3 (preferably comprised of silicon dioxide, an oxynitride, a silicon nitride, BST, PZT, a silicate, any other high-k material, or any combination or stack thereof) is formed on the substrate 11. Then a gate electrode 4 (preferably comprised of polycrystalline silicon doped either p-type or n-type with a silicide formed on top, or a metal such as titanium, tungsten, TiN, tantalum, or TaN) is formed on the gate oxide layer 3. Next the gate sidewalls 7 (preferably comprised of oxide, a nitride, an oxynitride or a combination or stack thereof) are formed, thereby creating an offset space adjacent to the gate stack 3, 4.
  • Step [0011] 202 (FIG. 3B) is the formation of the source and drain extensions 8, 9. The ordering of this step is exemplary as the source and drain extensions may be created either earlier or later in the process. Implanting a dopant such as arsenic into the substrate 11 forms the source/ drain extensions 8, 9. The presence of gate sidewalls 7 creates a separation between the source/ drain extension regions 8, 9 and the gate stacks 3, 4.
  • As shown in FIG. 3C, the regions of a halo dopant are now formed (step [0012] 204). The ordering of this step is also exemplary as the halo regions 13 may be created either earlier or later in the process. The halo regions 13 are formed by focusing the implantation of a dopant such as boron into the channel region in an area that is close to the source and drain extension junctions (i.e. the edge of the source and drain extensions). Generally this is accomplished by implanting the dopants into the semiconductor wafer at an angle, as indicated in FIG. 3C. However, it is within the scope of this invention to implant the halo dopants at other tilts and angles—including a perpendicular angle. Furthermore, instead of using boron as the halo implant, the use of any halo dopant, such as indium, is within the scope of this invention.
  • In accordance with the invention, the impurity implant of a foreign species, such as fluorine, is now performed (step [0013] 206) as shown in FIG. 3D. The ordering of this step is also exemplary as the impurity implant may be performed either earlier or later in the process. In the best mode application, the impurity dopants 14 are implanted to approximately the same depth as the halo dopants. In addition, the impurity dopants 14 are implanted at an angle into the semiconductor wafer and the dopants are directed to the halo region 13 (as indicated in FIG. 3D). However, it is within the scope of this invention to implant the impurity dopants at other tilts and angles—including a perpendicular angle. Furthermore, instead of using F as the impurity dopant, the use of any other impurity dopants such as Si or Ge is within the scope of this invention.
  • [0014] Step 208 is the formation of the source/drain spacers 15. As shown in FIG. 3E, the source/drain spacers 15 (preferably comprised of an oxide/nitride stack, but alternatively an oxide, a nitride, an oxynitride, or any suitable material) are formed, thereby creating an offset space adjacent to the gate sidewalls 7. The presence of source/drain spacers 15 acts to create a separation between the source/ drain extension regions 8, 9 and the source 5 or drain 6.
  • Step [0015] 210 (FIG. 3F) is the implantation of dopants to create a source 5 and a drain 6. A dopant such as AsP is implanted into the substrate 11 at the source region 5 and the drain region 6. The presence of source/drain spacers 15 creates the proper separation between the source/ drain extension regions 8, 9 and the source and drain 5, 6.
  • As an example, the halo dose (i.e. boron) may be in the range of 5.2e[0016] 13 atoms/cm2 to 6e13 atoms/cm2, which may represent as much as a 13% decrease in halo dopant level. The impurity implant dose (i.e. fluorine) may be in the range of 5e13 atoms/cm2 to 1e15 atoms/cm2. However, any level of impurity implant may be used as long as it doesn't amorphize the substrate 11. Lastly, the power level may range from 1-30 KeV.
  • Next, the semiconductor wafer is annealed (step [0017] 212). Annealing causes the dopants in the source 5, drain 6, and source/ drain extension regions 8, 9 to diffuse. Most importantly, the annealing causes the halo dopant to diffuse at an accelerated pace. The result is that there is a desirable concentration of halo dopants (i.e. boron) at the drain extension junctions and in the channel region near the gate oxide (as shown in FIG. 1). The annealing process also causes the impurity atoms (i.e. fluorine) to move to the channel region of the substrate 11 closest to the gate oxide 3, or to the gate oxide3, or to the interface between the channel region and the gate oxide 3. Now the manufacturing process continues until the final integrated circuit structure is complete (step 214).
  • Various modifications to the invention as described above are within the scope of the claimed invention. For example, instead of using the silicon crystal semiconductor substrate described above, GaAs and InP may be used. In addition to a semiconductor crystal, the substrate may include various elements therein and/or layers thereon. The invention is applicable to semiconductor wafers having different well and substrate technologies or transistor configurations. Furthermore, the integrated circuit may use any number of various metal layers, barrier layers, device structures, active elements and passive elements. Instead of implementing the invention in an nMOSFET (as described above), the invention may be used in a pMOSFET where fluorine is implanted in combination with a phosphorous or arsenic halo implant (and then boron may be used for the source and drain region). Moreover, the invention is applicable to any semiconductor technology such as BiCMOS, bipolar, SOI, strained silicon, pyroelectric sensors, opto-electronic devices, microelectrical mechanical system (“MEMS”), or SiGe. [0018]
  • While various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the invention. Thus, the breadth and scope of the present invention should not be limited by any of the above described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents. [0019]

Claims (10)

1. An integrated circuit comprising:
a semiconductor substrate;
a gate coupled to said semiconductor substrate;
a source region within said semiconductor substrate, said source region electrically coupled to said gate;
a drain region within said semiconductor substrate, said drain region electrically coupled to said gate;
a source extension region within said semiconductor substrate, said source extension region coupled to said source region;
a drain extension region within said semiconductor substrate, said drain extension region coupled to said drain region;
a channel region within said semiconductor substrate, said channel region coupled to said gate, said source extension region, and said drain extension region;
halo atoms within said channel region, said halo atoms being more concentrated at a gate side of said channel region, and said halo atoms being less concentrated at a source extension region side and a drain extension region side of said channel region; and
impurity atoms within said integrated circuit.
2. The integrated circuit of claim 1 wherein said gate, said source region, and said drain region form a CMOS transistor.
3. The integrated circuit of claim 1 wherein said impurity atoms are fluorine.
4. The integrated circuit of claim 1 wherein said halo atoms are boron.
5. A method of manufacturing a semiconductor wafer comprising:
forming a gate over a semiconductor substrate;
implanting a source extension region and a drain extension region into said semiconductor substrate;
implanting a halo region into said semiconductor substrate;
implanting impurity atoms into said semiconductor substrate;
implanting a source and a drain into said semiconductor substrate; and
heating said semiconductor wafer to diffuse atoms in said halo region.
6. The method of claim 5 wherein said source extension region and said drain extension region comprise arsenic atoms.
7. The method of claim 5 wherein said halo region comprises boron atoms.
8. The method of claim 5 wherein impurity atoms are fluorine atoms.
9. A method of manufacturing a semiconductor wafer comprising:
forming a gate over a semiconductor substrate, said gate comprising a gate dielectric and a gate electrode;
forming sidewall insulators coupled to said gate;
implanting a source extension region and a drain extension region into said semiconductor substrate, said source extension region and said drain extension region comprising arsenic atoms;
implanting a halo region into said semiconductor substrate, said halo region comprising boron atoms;
implanting impurity atoms into said semiconductor substrate;
forming source/drain spacers coupled to said sidewall insulators;
implanting a source and a drain into said semiconductor substrate;
heating said semiconductor wafer to diffuse said boron atoms; and
forming a source contact coupled to said source, a drain contact coupled to said drain, and a gate contact coupled to said gate.
10. The method of claim 9 wherein said impurity atoms comprise fluorine atoms.
US10/755,550 2003-04-03 2004-01-12 Gate edge diode leakage reduction Abandoned US20040195631A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/755,550 US20040195631A1 (en) 2003-04-03 2004-01-12 Gate edge diode leakage reduction

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/407,128 US6847089B2 (en) 2003-04-03 2003-04-03 Gate edge diode leakage reduction
US10/755,550 US20040195631A1 (en) 2003-04-03 2004-01-12 Gate edge diode leakage reduction

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/407,128 Division US6847089B2 (en) 2003-04-03 2003-04-03 Gate edge diode leakage reduction

Publications (1)

Publication Number Publication Date
US20040195631A1 true US20040195631A1 (en) 2004-10-07

Family

ID=33097481

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/407,128 Expired - Lifetime US6847089B2 (en) 2003-04-03 2003-04-03 Gate edge diode leakage reduction
US10/755,550 Abandoned US20040195631A1 (en) 2003-04-03 2004-01-12 Gate edge diode leakage reduction

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/407,128 Expired - Lifetime US6847089B2 (en) 2003-04-03 2003-04-03 Gate edge diode leakage reduction

Country Status (1)

Country Link
US (2) US6847089B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120309145A1 (en) * 2011-05-31 2012-12-06 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100358110C (en) * 2002-12-20 2007-12-26 皇家飞利浦电子股份有限公司 Method of manufacturing a semiconductor device
US20040188774A1 (en) * 2003-03-31 2004-09-30 Sanyo Electric Co., Ltd. Semiconductor device and method of fabricating semiconductor device
US7078742B2 (en) 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7371648B2 (en) * 2006-09-01 2008-05-13 Texas Instruments Incorporated Method for manufacturing a transistor device having an improved breakdown voltage and a method for manufacturing an integrated circuit using the same
KR100843212B1 (en) * 2006-11-29 2008-07-02 삼성전자주식회사 Semiconductor device with diffusion barrier region and Method for fabricating the same
US8076228B2 (en) * 2007-01-29 2011-12-13 Infineon Technologies Ag Low noise transistor and method of making same
US7829939B1 (en) * 2009-04-20 2010-11-09 International Business Machines Corporation MOSFET including epitaxial halo region
US8753944B2 (en) 2012-07-17 2014-06-17 Texas Instruments Incorporated Pocket counterdoping for gate-edge diode leakage reduction
JP6613116B2 (en) * 2014-12-02 2019-11-27 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method of semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972783A (en) * 1996-02-07 1999-10-26 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a nitrogen diffusion layer
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6362063B1 (en) * 1999-01-06 2002-03-26 Advanced Micro Devices, Inc. Formation of low thermal budget shallow abrupt junctions for semiconductor devices
US6436783B1 (en) * 1999-09-17 2002-08-20 Nec Corporation Method of forming MOS transistor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972783A (en) * 1996-02-07 1999-10-26 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having a nitrogen diffusion layer
US5985726A (en) * 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6362063B1 (en) * 1999-01-06 2002-03-26 Advanced Micro Devices, Inc. Formation of low thermal budget shallow abrupt junctions for semiconductor devices
US6436783B1 (en) * 1999-09-17 2002-08-20 Nec Corporation Method of forming MOS transistor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120309145A1 (en) * 2011-05-31 2012-12-06 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US8877579B2 (en) * 2011-05-31 2014-11-04 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices

Also Published As

Publication number Publication date
US6847089B2 (en) 2005-01-25
US20040195633A1 (en) 2004-10-07

Similar Documents

Publication Publication Date Title
US8067805B2 (en) Ultra shallow junction formation by epitaxial interface limited diffusion
US8354321B2 (en) Method for fabricating semiconductor devices with reduced junction diffusion
US6420218B1 (en) Ultra-thin-body SOI MOS transistors having recessed source and drain regions
US7858981B2 (en) Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
US6268629B1 (en) Field effect transistor with reduced narrow channel effect
EP0739031A2 (en) Method of adjusting a threshold voltage of a semiconductor on insulator device
US8084305B2 (en) Isolation spacer for thin SOI devices
US20080023732A1 (en) Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
JPH1197674A (en) Semiconductor device and manufacture thereof
JP2008085253A (en) Semiconductor device manufacturing method
WO2014026305A1 (en) Semiconductor device and manufacturing method thereof
US20190165104A1 (en) Mosfet with selective dopant deactivation underneath gate
US6696729B2 (en) Semiconductor device having diffusion regions with different junction depths
US8440514B2 (en) Semiconductor device and method for manufacturing the same
US6815770B1 (en) MOS transistor having reduced source/drain extension sheet resistance
US6847089B2 (en) Gate edge diode leakage reduction
US7659169B2 (en) Semiconductor device and method of manufacturing thereof
US6391728B1 (en) Method of forming a highly localized halo profile to prevent punch-through
US20020090787A1 (en) Self-aligned elevated transistor
US6429054B1 (en) Method of fabricating semiconductor-on-insulator (SOI) device with hyperabrupt source/drain junctions
US6465847B1 (en) Semiconductor-on-insulator (SOI) device with hyperabrupt source/drain junctions
US20060197120A1 (en) Gate electrode for semiconductor devices
US7019363B1 (en) MOS transistor with asymmetrical source/drain extensions
WO2011066786A1 (en) Ultra-shallow junction and method for forming the same
US6284608B1 (en) Method for making accumulation mode N-channel SOI

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION