US20040173572A1 - Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers - Google Patents

Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers Download PDF

Info

Publication number
US20040173572A1
US20040173572A1 US10/805,890 US80589004A US2004173572A1 US 20040173572 A1 US20040173572 A1 US 20040173572A1 US 80589004 A US80589004 A US 80589004A US 2004173572 A1 US2004173572 A1 US 2004173572A1
Authority
US
United States
Prior art keywords
gas
chlorine
sccm
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/805,890
Inventor
Padmapani Nallan
Guangxiang Jin
Ajay Kumar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/805,890 priority Critical patent/US20040173572A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JIN, GUANGXIANG, KUMAR, AJAY, NALLAN, PADMAPANI C.
Publication of US20040173572A1 publication Critical patent/US20040173572A1/en
Priority to US11/126,472 priority patent/US20060252265A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Definitions

  • the present invention relates generally to a method of dry etching semiconductor wafers. More specifically, the invention relates to a method of etching high K dielectric materials using a gas mixture comprising a halogen gas and a reducing gas.
  • Field effect transistors that are used in forming integrated circuit generally utilize a polysilicon gate electrodes deposited upon a gate dielectric that separates the electrode from the channel between source and drain regions.
  • the gate dielectric is typically fabricated of silicon dioxide (SiO 2 ).
  • SiO 2 silicon dioxide
  • the thickness of the dielectric material in the gate structure has become thinner than 10 Angstroms. With such a thin dielectric, electrons can propagate from the polysilicon gate electrode into the transistor channel causing the transistor to operate improperly or become defective.
  • hafnium-oxide HfO 2
  • hafnium-oxide is such a stable dielectric material that it is very difficult to etch using conventional oxide etchants to form into gate structures without damaging other layers of material residing on the wafer. As such, hafnium-oxide has found limited use in semiconductor devices.
  • etching materials with high dielectric constants such as HfO 2 , ZrO 2 , Al 2 O 3 , BST, PZK, ZrSiO 2 , HFSiO 2 , TaO 2 , and the like using a gas mixture comprising a halogen gas and reducing gas.
  • a gas mixture comprising a halogen gas and reducing gas.
  • an etch gas or mixture
  • chlorine chlorine
  • CO carbon monoxide
  • the gas flow rates are in the range 20-300 sccm C 1 2 and about 2-200 sccm CO (i.e., a CL 2 /CO flow ratio (0.1-1):(1-0.1)), with a total chamber pressure in the range of 2-100 mTorr.
  • a decoupled plasma source etch reactor is illustratively used to practice one embodiment of the present invention.
  • the reactor uses an inductive source power of about 200-2500 W for plasma generation, and applies a cathode bias power of about 5-100 W to a wafer support pedestal.
  • the reactor maintains the pedestal within a temperature range of about 100 to 500 degrees Celsius.
  • the invention can be practiced, for example, by supplying to the reactor a combination of about 40 sccm of chlorine gas and about 40 sccm of carbon monoxide gas, while maintaining a total chamber pressure of about 4 mTorr.
  • the gas mixture is supplied to the reaction chamber wherein a plasma is formed and a hafnium-oxide layer is etched.
  • FIG. 1 depicts a schematic diagram of a plasma processing apparatus of the kind used in performing the etching processes according to one embodiment of the present invention
  • FIG. 2 depicts a flow diagram of an example of the inventive process
  • FIG. 3 a depicts a schematic cross-sectional view of a wafer having a hafnium-oxide layer of the kind used in performing the etching processes according to an example of the present invention
  • FIG. 3 b depicts a schematic cross-sectional view of a gate structure comprising the hafnium-oxide layer of FIG. 3 a that has been etched using a chlorine and carbon monoxide etching chemistry according to an example of the present invention
  • FIG. 4 is a table summarizing the processing parameters of one embodiment of the inventive method when practiced using the apparatus of FIG. 1.
  • the present invention is a method of etching materials with high dielectric constants (high K materials have dielectric constants greater than 4.0) using a plasma generated from a gas (or gas mixture) comprising gases containing a halogen gas (such as Cl 2 , HCI and the like) and a reducing gas (such as carbon monoxide (CO).
  • the high K materials include HfO 2 , ZrO 2 , Al 2 O 3 , BST, PZK, ZrSiO 2 , HfSiO 2 , TaO 2 , and the like.
  • the type of halogen gas is selected to best remove the metal from the dielectric layer and the type of reducing gas is selected to best remove the oxygen from the dielectric layer.
  • the etch process of the present invention can be reduced to practice in a Decoupled Plasma Source (DPS) Centura® etch system or a DPS-II etch system available from Applied Materials, Inc. of Santa Clara, Calif.
  • DPS Decoupled Plasma Source
  • FIG. 1 depicts a schematic diagram of the DPS etch process chamber 110 , that comprises at least one inductive coil antenna segment 112 , positioned exterior to a dielectric, dome-shaped ceiling 120 (referred to herein as the dome 120 ).
  • Other chambers may have other types of ceilings, e.g., a flat ceiling.
  • the antenna segment 112 is coupled to a radio-frequency (RF) source 118 (that is generally capable of producing an RF signal having a tunable frequency of about 12.56 MHz).
  • the RF source 118 is coupled to the antenna 112 through a matching network 119 .
  • RF radio-frequency
  • Process chamber 110 also includes a substrate support pedestal (cathode) 116 that is coupled to a second RF source 122 that is generally capable of producing an RF signal having a frequency of approximately 13.56 MHz.
  • the source 122 is coupled to the cathode 116 through a matching network 124 .
  • the chamber 110 also contains a conductive chamber wall 130 that is connected to an electrical ground 134 .
  • a controller 140 comprising a central processing unit (CPU) 144 , a memory 142 , and support circuits 146 for the CPU 144 is coupled to the various components of the DPS etch process chamber 110 to facilitate control of the etch process.
  • CPU central processing unit
  • the semiconductor substrate 114 is placed on the substrate support pedestal 116 and gaseous components are supplied from a gas panel 138 to the process chamber 110 through entry ports 126 to form a gaseous mixture 150 .
  • the gaseous mixture 150 is ignited into a plasma 152 in the process chamber 110 by applying RF power from the RF sources 118 and 122 respectively to the antenna 112 and the cathode 116 .
  • the pressure within the interior of the etch chamber 110 is controlled using a throttle valve 127 situated between the chamber 110 and a vacuum pump 136 .
  • the temperature at the surface of the chamber walls 130 is controlled using liquid-containing conduits (not shown) that are located in the walls 130 of the chamber 110 .
  • the temperature of the substrate 114 is controlled by stabilizing the temperature of the support pedestal 116 and flowing helium gas from source 148 to channels formed by the back of the substrate 114 and grooves (not shown) on the pedestal surface.
  • the helium gas is used to facilitate heat transfer between the pedestal 116 and the substrate 114 .
  • the substrate 114 is heated by a resistive heater within the pedestal to a steady state temperature and the helium facilitates uniform heating of the substrate 114 .
  • the substrate 114 is maintained at a temperature of between 100 and 500 degrees Celsius.
  • the RF power applied to the inductive coil antenna 112 has a frequency between 50 kHz and 13.56 MHz and has a power of 200 to 2500 Watts.
  • the bias power applied to the pedestal 116 may be DC or RF and is between 5 and 100 Watts.
  • etch chambers may be used to practice the invention, including chambers with remote plasma sources, microwave plasma chambers, electron cyclotron resonance (ECR) plasma chambers, and the like.
  • ECR electron cyclotron resonance
  • the CPU 144 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and subprocessors.
  • the memory 142 is coupled to the CPU 144 .
  • the memory 142 or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 146 are coupled to the CPU 144 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • An etching process 200 is generally stored in the memory 142 as a software routine 202 .
  • the software routine 202 may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 144 .
  • the specific embodiment of the etching process 200 depicted in FIG. 2 comprises the steps of applying bias power to the pedestal (step 204 ), supplying gas containing chlorine (i.e., Cl 2 ) to the chamber 100 (step 206 ), supplying CO to the chamber 100 (step 208 ), regulating the pressure of the Cl 2 and CO (step 210 ), applying RF power and forming a plasma (step 212 ), controlling the wafer temperature (step 214 ), and etching the silicon (step 216 ).
  • the etching step 216 has a duration that continues until an unmasked portion of hafnium-oxide is removed. The etch time is terminated upon a certain optical emission occurring, upon a particular duration occurring or upon some other indicator determining that the hafnium-oxide has been removed.
  • steps of the process 200 need not be performed sequentially. For example, some or all of the steps may be performed simultaneously to etch a hafnium-oxide or other high K dielectric layer.
  • steps of the process 200 need not be performed sequentially. For example, some or all of the steps may be performed simultaneously to etch a hafnium-oxide or other high K dielectric layer.
  • the software routine 202 is discussed with respect to FIG. 1 and FIG. 2.
  • the software routine 202 is executed after a wafer 114 is positioned on the pedestal 116 .
  • the software routine 202 when executed by the CPU 144 , transforms the general purpose computer into a specific purpose computer (controller) 140 that controls the chamber operation such that the etching process 200 is performed.
  • controller controller
  • the process of the present invention is discussed as being implemented as a software routine, some of the method steps that are disclosed therein may be performed in hardware as well as by the software controller.
  • the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • a wafer or other form of workpiece 114 is etched, for example, by applying a bias power in the range of 5-100 Watts to the pedestal 116 in step 204 .
  • the gaseous mixture 150 is supplied to the chamber 110 at a rate in the range of 20-300 sccm Cl 2 and 2-200 sccm CO in steps 206 and 208 , respectively.
  • Such flow rates define a flow ratio of Cl 2 to CO in the range of (0.1-1):(1-0.1).
  • the total pressure of the gas mixture 150 is regulated to be maintained in the range of 2-100 mTorr.
  • step 212 applies 200-2500 Watts of RF power to the antenna 112 , and plasma 152 is formed.
  • the wafer 114 is heated to 100-500 degrees Celsius in step 214 .
  • Etching of the wafer 114 occurs in step 216 .
  • One specific recipe for etching hafnium-oxide uses a pedestal bias power of 20 watts, 40 sccm of Cl 2 , 40 sccm of CO, a chamber pressure of 4 mTorr, an antenna power of 1100 watts and a pedestal temperature of 350° C.
  • FIG. 4 presents a table 400 summarizing the etch process parameters through which one can practice the invention using a DPS Centura® system.
  • the etch process parameters for the embodiment of the invention presented above are summarized in column 402 .
  • the process ranges are presented in column 404 . It should be understood, however, that the use of a different chamber may necessitate different process parameter values and ranges.
  • One illustrative embodiment of the inventive process is used for etching a wafer 114 containing a film stack 310 of FIG. 3 a to form a gate structure of a transistor.
  • the wafer 114 comprise a doped layer 314 , a silicon dioxide layer 304 (optional), a high K dielectric layer 302 , a polysilicon layer 306 , and an etch mask 308 .
  • the film stack 310 comprises a layer of polysilicon 306 that has been previously etched to a form defined by the patterned photoresist mask 308 .
  • the photoresist 306 is patterned to leave a portion 312 of the high K dielectric layer 302 exposed to the etch. chemistry.
  • An underlying, optional silicon dioxide layer 304 will be conventionally etched after the high K dielectric in region 312 is removed.
  • the film stack 310 is deposited upon a wafer having a doped layer 314 that forms the channel of a transistor.
  • the high K dielectric material is hafnium-oxide
  • the hafnium-oxide is etched by the Cl 2 /CO chemistry at a rate of about 200 ⁇ /min with a selectivity to SiO 2 of greater than 60:1.
  • the selectivity to silicon and polysilicon is greater than 3:1.
  • the result of the inventive etching method is best appreciated by referring to a gate structure depicted in FIG. 3 b .
  • the profile illustrates a wafer 114 having the doped layer 314 that forms the channel of a transistor and a gate stack 318 .
  • the gate stack 318 comprises a gate dielectric 316 and a gate electrode 306 .
  • the gate electrode comprises a polysilicon layer and the gate dielectric 316 comprises a high K dielectric layer 302 on top of a silicon dioxide layer 304 .
  • the high K dielectric layer ensures that, during transistor operation, electrons will not flow from the gate electrode to the channel.
  • the gate dielectric can be made very thin, e.g., about 5 nm. At 5 nm, the silicon dioxide layer is 2 nm thick and the high K dielectric layer is 3 nm thick.
  • the silicon dioxide layer is 2 nm thick and the high K dielectric layer is 3 nm thick.
  • the SiO 2 layer may not be present and the high K dielectric layer may reside the channel and the polysilicon layer.

Abstract

A method of etching high dielectric constant materials using halogen gas and reducing gas chemistry. An embodiment of the method is accomplished using a 20 to 300 sccm of chlorine and 2 to 200 sccm of carbon monoxide, regulated to a total chamber pressure of 2-100 mTorr to etch a hafnium oxide layer.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a continuation of co-pending U.S. patent application Ser. No. 10/092,795, filed Mar. 6, 2002, which is incorporated herein by reference in its entirety.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of Invention [0002]
  • The present invention relates generally to a method of dry etching semiconductor wafers. More specifically, the invention relates to a method of etching high K dielectric materials using a gas mixture comprising a halogen gas and a reducing gas. [0003]
  • 2. Description of the Background Art [0004]
  • Field effect transistors that are used in forming integrated circuit generally utilize a polysilicon gate electrodes deposited upon a gate dielectric that separates the electrode from the channel between source and drain regions. In prior art transistor structures, the gate dielectric is typically fabricated of silicon dioxide (SiO[0005] 2). However, as integrated circuit transistors have become smaller (on the order of 100 nanometers in width), the thickness of the dielectric material in the gate structure has become thinner than 10 Angstroms. With such a thin dielectric, electrons can propagate from the polysilicon gate electrode into the transistor channel causing the transistor to operate improperly or become defective.
  • This leakage of electrons from the gate electrode through the gate oxide has led researchers to investigate the use of more stable high K dielectric materials. One very stable dielectric material having a high dielectric constant is hafnium-oxide (HfO[0006] 2). However, hafnium-oxide is such a stable dielectric material that it is very difficult to etch using conventional oxide etchants to form into gate structures without damaging other layers of material residing on the wafer. As such, hafnium-oxide has found limited use in semiconductor devices.
  • Therefore, there is a need in the art for a high K material etching process having very high selectivity to silicon oxide, polysilicon and silicon. [0007]
  • SUMMARY OF INVENTION
  • The disadvantages associated with the prior art are overcome by the present invention for etching materials with high dielectric constants (high K materials have a dielectric constant greater than 4.0) such as HfO[0008] 2, ZrO2, Al2O3, BST, PZK, ZrSiO2, HFSiO2, TaO2, and the like using a gas mixture comprising a halogen gas and reducing gas. In one embodiment of the invention, an etch gas (or mixture) comprising chlorine (Cl2) and carbon monoxide (CO) is used for etching a hafnium-oxide films. In one example, the gas flow rates are in the range 20-300 sccm C1 2 and about 2-200 sccm CO (i.e., a CL2/CO flow ratio (0.1-1):(1-0.1)), with a total chamber pressure in the range of 2-100 mTorr.
  • A decoupled plasma source etch reactor is illustratively used to practice one embodiment of the present invention. In general, the reactor uses an inductive source power of about 200-2500 W for plasma generation, and applies a cathode bias power of about 5-100 W to a wafer support pedestal. The reactor maintains the pedestal within a temperature range of about 100 to 500 degrees Celsius. The invention can be practiced, for example, by supplying to the reactor a combination of about 40 sccm of chlorine gas and about 40 sccm of carbon monoxide gas, while maintaining a total chamber pressure of about 4 mTorr. The gas mixture is supplied to the reaction chamber wherein a plasma is formed and a hafnium-oxide layer is etched.[0009]
  • BRIEF DESCRIPTION OF DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which: [0010]
  • FIG. 1 depicts a schematic diagram of a plasma processing apparatus of the kind used in performing the etching processes according to one embodiment of the present invention; [0011]
  • FIG. 2 depicts a flow diagram of an example of the inventive process; [0012]
  • FIG. 3[0013] adepicts a schematic cross-sectional view of a wafer having a hafnium-oxide layer of the kind used in performing the etching processes according to an example of the present invention;
  • FIG. 3[0014] bdepicts a schematic cross-sectional view of a gate structure comprising the hafnium-oxide layer of FIG. 3athat has been etched using a chlorine and carbon monoxide etching chemistry according to an example of the present invention; and
  • FIG. 4 is a table summarizing the processing parameters of one embodiment of the inventive method when practiced using the apparatus of FIG. 1.[0015]
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical element that are common to the figures. [0016]
  • DETAIL DESCRIPTION OF EMBODIMENTS OF INVENTION
  • The present invention is a method of etching materials with high dielectric constants (high K materials have dielectric constants greater than 4.0) using a plasma generated from a gas (or gas mixture) comprising gases containing a halogen gas (such as Cl[0017] 2, HCI and the like) and a reducing gas (such as carbon monoxide (CO). The high K materials include HfO2, ZrO2, Al2O3, BST, PZK, ZrSiO2, HfSiO2, TaO2, and the like. The type of halogen gas is selected to best remove the metal from the dielectric layer and the type of reducing gas is selected to best remove the oxygen from the dielectric layer. The etch process of the present invention can be reduced to practice in a Decoupled Plasma Source (DPS) Centura® etch system or a DPS-II etch system available from Applied Materials, Inc. of Santa Clara, Calif.
  • FIG. 1 depicts a schematic diagram of the DPS [0018] etch process chamber 110, that comprises at least one inductive coil antenna segment 112, positioned exterior to a dielectric, dome-shaped ceiling 120 (referred to herein as the dome 120). Other chambers may have other types of ceilings, e.g., a flat ceiling. The antenna segment 112 is coupled to a radio-frequency (RF) source 118 (that is generally capable of producing an RF signal having a tunable frequency of about 12.56 MHz). The RF source 118 is coupled to the antenna 112 through a matching network 119. Process chamber 110 also includes a substrate support pedestal (cathode) 116 that is coupled to a second RF source 122 that is generally capable of producing an RF signal having a frequency of approximately 13.56 MHz. The source 122 is coupled to the cathode 116 through a matching network 124. The chamber 110 also contains a conductive chamber wall 130 that is connected to an electrical ground 134. A controller 140 comprising a central processing unit (CPU) 144, a memory 142, and support circuits 146 for the CPU 144 is coupled to the various components of the DPS etch process chamber 110 to facilitate control of the etch process.
  • In operation, the [0019] semiconductor substrate 114 is placed on the substrate support pedestal 116 and gaseous components are supplied from a gas panel 138 to the process chamber 110 through entry ports 126 to form a gaseous mixture 150. The gaseous mixture 150 is ignited into a plasma 152 in the process chamber 110 by applying RF power from the RF sources 118 and 122 respectively to the antenna 112 and the cathode 116. The pressure within the interior of the etch chamber 110 is controlled using a throttle valve 127 situated between the chamber 110 and a vacuum pump 136. The temperature at the surface of the chamber walls 130 is controlled using liquid-containing conduits (not shown) that are located in the walls 130 of the chamber 110.
  • The temperature of the [0020] substrate 114 is controlled by stabilizing the temperature of the support pedestal 116 and flowing helium gas from source 148 to channels formed by the back of the substrate 114 and grooves (not shown) on the pedestal surface. The helium gas is used to facilitate heat transfer between the pedestal 116 and the substrate 114. During the etch process, the substrate 114 is heated by a resistive heater within the pedestal to a steady state temperature and the helium facilitates uniform heating of the substrate 114. Using thermal control of both the dome 120 and the pedestal 116, the substrate 114 is maintained at a temperature of between 100 and 500 degrees Celsius.
  • The RF power applied to the [0021] inductive coil antenna 112 has a frequency between 50 kHz and 13.56 MHz and has a power of 200 to 2500 Watts. The bias power applied to the pedestal 116 may be DC or RF and is between 5 and 100 Watts.
  • Those skilled in the art will understand that other forms of etch chambers may be used to practice the invention, including chambers with remote plasma sources, microwave plasma chambers, electron cyclotron resonance (ECR) plasma chambers, and the like. [0022]
  • To facilitate control of the chamber as described above, the [0023] CPU 144 may be one of any form of general purpose computer processor that can be used in an industrial setting for controlling various chambers and subprocessors. The memory 142 is coupled to the CPU 144. The memory 142, or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 146 are coupled to the CPU 144 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. An etching process 200 is generally stored in the memory 142 as a software routine 202. The software routine 202 may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 144.
  • The specific embodiment of the [0024] etching process 200 depicted in FIG. 2 comprises the steps of applying bias power to the pedestal (step 204), supplying gas containing chlorine (i.e., Cl2) to the chamber 100 (step 206), supplying CO to the chamber 100 (step 208), regulating the pressure of the Cl2and CO (step 210), applying RF power and forming a plasma (step 212), controlling the wafer temperature (step 214), and etching the silicon (step 216). The etching step 216 has a duration that continues until an unmasked portion of hafnium-oxide is removed. The etch time is terminated upon a certain optical emission occurring, upon a particular duration occurring or upon some other indicator determining that the hafnium-oxide has been removed.
  • The foregoing steps of the [0025] process 200 need not be performed sequentially. For example, some or all of the steps may be performed simultaneously to etch a hafnium-oxide or other high K dielectric layer.
  • The foregoing steps of the [0026] process 200 need not be performed sequentially. For example, some or all of the steps may be performed simultaneously to etch a hafnium-oxide or other high K dielectric layer.
  • The [0027] software routine 202 is discussed with respect to FIG. 1 and FIG. 2. The software routine 202 is executed after a wafer 114 is positioned on the pedestal 116. The software routine 202 when executed by the CPU 144, transforms the general purpose computer into a specific purpose computer (controller) 140 that controls the chamber operation such that the etching process 200 is performed. Although the process of the present invention is discussed as being implemented as a software routine, some of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.
  • Continuing to refer to FIG. 1 and FIG. 2, a wafer or other form of [0028] workpiece 114 is etched, for example, by applying a bias power in the range of 5-100 Watts to the pedestal 116 in step 204. The gaseous mixture 150 is supplied to the chamber 110 at a rate in the range of 20-300 sccm Cl2and 2-200 sccm CO in steps 206 and 208, respectively. Such flow rates define a flow ratio of Cl2 to CO in the range of (0.1-1):(1-0.1). In step 210, the total pressure of the gas mixture 150 is regulated to be maintained in the range of 2-100 mTorr.
  • Once the [0029] gas mixture 150 is present above the wafer 114, step 212 applies 200-2500 Watts of RF power to the antenna 112, and plasma 152 is formed. The wafer 114 is heated to 100-500 degrees Celsius in step 214. Etching of the wafer 114 occurs in step 216. One specific recipe for etching hafnium-oxide uses a pedestal bias power of 20 watts, 40 sccm of Cl2 , 40 sccm of CO, a chamber pressure of 4 mTorr, an antenna power of 1100 watts and a pedestal temperature of 350° C.
  • FIG. 4 presents a table [0030] 400 summarizing the etch process parameters through which one can practice the invention using a DPS Centura® system. The etch process parameters for the embodiment of the invention presented above are summarized in column 402. The process ranges are presented in column 404. It should be understood, however, that the use of a different chamber may necessitate different process parameter values and ranges.
  • One illustrative embodiment of the inventive process is used for etching a [0031] wafer 114 containing a film stack 310 of FIG. 3ato form a gate structure of a transistor. The wafer 114 comprise a doped layer 314, a silicon dioxide layer 304 (optional), a high K dielectric layer 302, a polysilicon layer 306, and an etch mask 308. The film stack 310 comprises a layer of polysilicon 306 that has been previously etched to a form defined by the patterned photoresist mask 308. The photoresist 306 is patterned to leave a portion 312 of the high K dielectric layer 302 exposed to the etch. chemistry. An underlying, optional silicon dioxide layer 304 will be conventionally etched after the high K dielectric in region 312 is removed. The film stack 310 is deposited upon a wafer having a doped layer 314 that forms the channel of a transistor. In one embodiment where the high K dielectric material is hafnium-oxide, the hafnium-oxide is etched by the Cl2/CO chemistry at a rate of about 200 Å/min with a selectivity to SiO2 of greater than 60:1. The selectivity to silicon and polysilicon is greater than 3:1.
  • The result of the inventive etching method is best appreciated by referring to a gate structure depicted in FIG. 3[0032] b. The profile illustrates a wafer 114 having the doped layer 314 that forms the channel of a transistor and a gate stack 318. The gate stack 318 comprises a gate dielectric 316 and a gate electrode 306. The gate electrode comprises a polysilicon layer and the gate dielectric 316 comprises a high K dielectric layer 302 on top of a silicon dioxide layer 304. The high K dielectric layer ensures that, during transistor operation, electrons will not flow from the gate electrode to the channel. As such, the gate dielectric can be made very thin, e.g., about 5 nm. At 5 nm, the silicon dioxide layer is 2 nm thick and the high K dielectric layer is 3 nm thick. Alternatively,
  • the SiO[0033] 2 layer may not be present and the high K dielectric layer may reside the channel and the polysilicon layer.
  • The invention may be practiced in other etching equipment wherein the processing parameters may be adjusted to achieve acceptable etch characteristics by those skilled in the arts by utilizing the teachings disclosed herein without departing from the spirit of the invention. [0034]

Claims (20)

What is claimed is:
1. A method of plasma etching, comprising:
introducing into an etch chamber a substrate having a layer of dielectric material is at least one of HfO2, ZrO2, ZrSiO2, HfSiO2, and TaO2;
providing into the etch chamber a process gas comprising carbon monoxide and a halogen containing gas; and
exposing the layer of dielectric material to a plasma formed from the process gas.
2. The method of claim 1 wherein the halogen containing gas comprises a chlorine containing gas.
3. The method of claim 1 wherein halogen gas comprises chlorine.
4. The method of claim 3 wherein said chlorine containing gas is Cl2.
5. The method of claim 4 wherein said providing step further comprises the step of:
supplying 20 to 300 sccm of Cl2 and 2 to 200 sccm of CO.
6. The method of claim 1 further comprising:
maintaining a gas pressure of between 2-100 mTorr.
7. The method of claim 5 further comprising the step of:
maintaining a gas pressure of 4 mTorr.
8. The method of claim 1 further comprising:
applying a bias power to a cathode electrode of 5 to 100 W.
9. The method of claim 6 further comprising:
applying a bias power to a cathode electrode of 20 W.
10. The method of claim 1 further comprising:
applying an inductive source power to an inductively coupled antenna of 200 to 2500 W.
11. The method of claim 5 further comprising:
applying an inductive source power to an inductively coupled antenna of 1100 W.
12. A method of plasma processing, comprising:
introducing into an process chamber a substrate having a layer of hafnium oxide (HfO2);
introducing into the process chamber a process gas comprising carbon monoxide and a halogen containing gas; and
exposing the layer of hafnium oxide (HfO2) to a plasma formed from the process gas.
13. The method of claim 12 further comprising the step of:
maintaining the substrate at a temperature between 100 to 500 degrees Celsius.
14. The method of claim 12 further comprising the step of:
maintaining the substrate at a temperature of 350 degrees Celsius.
15. The method of claim 12 wherein the halogen containing gas comprises chlorine.
16. The method of claim 12 wherein the halogen containing gas is hydrogen chlorine.
17. A method of plasma processing, comprising:
introducing into the process chamber a process gas comprising carbon monoxide and a halogen containing gas; and
exposing a substrate, disposed in the process chamber and having at least partially exposed material containing hafnium, to a plasma formed from the process gas.
18. The method of claim 17 wherein the halogen containing gas comprises chlorine.
19. The method of claim 17 wherein said introducing step further comprises:
supplying 20 to 300 sccm of Cl2 and 2 to 200 sccm of CO.
20. A method for plasma etching:
supplying between 20 to 300 sccm of chlorine and between 2 to 200 sccm of carbon monoxide to a process chamber having a substrate disposed therein, the substrate having at least partial exposed of halfium containing material;
maintaining a gas pressure of between 2-100 mTorr; applying a bias power to a cathode electrode of between 5 to 100 W;
applying power to an inductively coupled antenna of between 200 to 2500 W to produce a plasma containing said chlorine gas and said carbon monoxide gas; and
maintaining said workpiece at a temperature between 100 and 500 degrees Celsius.
US10/805,890 2002-03-06 2004-03-22 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers Abandoned US20040173572A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/805,890 US20040173572A1 (en) 2002-03-06 2004-03-22 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US11/126,472 US20060252265A1 (en) 2002-03-06 2005-05-11 Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/092,795 US6806095B2 (en) 2002-03-06 2002-03-06 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US10/805,890 US20040173572A1 (en) 2002-03-06 2004-03-22 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/092,795 Continuation US6806095B2 (en) 2002-03-06 2002-03-06 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/126,472 Continuation-In-Part US20060252265A1 (en) 2002-03-06 2005-05-11 Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control

Publications (1)

Publication Number Publication Date
US20040173572A1 true US20040173572A1 (en) 2004-09-09

Family

ID=29548053

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/092,795 Expired - Fee Related US6806095B2 (en) 2002-03-06 2002-03-06 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US10/805,890 Abandoned US20040173572A1 (en) 2002-03-06 2004-03-22 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/092,795 Expired - Fee Related US6806095B2 (en) 2002-03-06 2002-03-06 Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers

Country Status (1)

Country Link
US (2) US6806095B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050215062A1 (en) * 2004-03-16 2005-09-29 Osamu Miyagawa Method of manufacturing semiconductor device
US20070026547A1 (en) * 2004-07-29 2007-02-01 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060252265A1 (en) * 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US6902681B2 (en) * 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
WO2004109773A2 (en) * 2003-05-30 2004-12-16 Tokyo Electron Limited Method and system for heating a substrate using a plasma
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US7955515B2 (en) * 2005-07-11 2011-06-07 Sandisk 3D Llc Method of plasma etching transition metal oxides
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
JP5590886B2 (en) 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド Fluorine plasma treatment for high-K gate stacks for defect passivation
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
JP2009021584A (en) 2007-06-27 2009-01-29 Applied Materials Inc High temperature etching method of high k material gate structure
US20090035928A1 (en) * 2007-07-30 2009-02-05 Hegde Rama I Method of processing a high-k dielectric for cet scaling
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6054314B2 (en) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for substrate transport and radical confinement
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
CN104137248B (en) 2012-02-29 2017-03-22 应用材料公司 Abatement and strip process chamber in a load lock configuration
US9818647B2 (en) * 2015-06-03 2017-11-14 International Business Machines Corporation Germanium dual-fin field effect transistor
KR102435263B1 (en) * 2017-07-25 2022-08-23 삼성전자주식회사 Plasma processing apparatus and method, and method of manufacturing semiconductor device using the same

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5337207A (en) * 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356633A (en) * 1989-10-20 1994-10-18 Liposome Technology, Inc. Method of treatment of inflamed tissues
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US6148072A (en) * 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6270568B1 (en) * 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6284145B1 (en) * 1997-04-21 2001-09-04 Gl&V/Celleco Ab Screen plate for screening of a pulp suspension and method to separate the same
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6319730B1 (en) * 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6326261B1 (en) * 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US20010055852A1 (en) * 1998-09-09 2001-12-27 Moise Theodore S. Integrated circuit and method
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20020076936A1 (en) * 1998-10-23 2002-06-20 Eri Iguchi Method of fabricating semiconductor integrated circuit device and the semiconductor integrated circuit device
US20020142609A1 (en) * 2001-03-28 2002-10-03 Hart Brian Christopher Reverse reactive ion patterning of metal oxide films
US6492222B1 (en) * 1999-12-22 2002-12-10 Texas Instruments Incorporated Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
US20030032237A1 (en) * 2001-08-09 2003-02-13 Clevenger Lawrence A. High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
US20030036241A1 (en) * 2001-08-15 2003-02-20 Tews Helmut Horst Process flow for sacrificial collar scheme with vertical nitride mask
US6528386B1 (en) * 2001-12-20 2003-03-04 Texas Instruments Incorporated Protection of tungsten alignment mark for FeRAM processing
US20030211748A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6764792B1 (en) * 1999-09-09 2004-07-20 Dai Nippon Printing Co., Ltd. Halftone phase shift photomask and blanks for halftone phase shift photomask for producing it
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
TW409152B (en) * 1996-06-13 2000-10-21 Samsung Electronic Etching gas composition for ferroelectric capacitor electrode film and method for etching a transition metal thin film
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
US5356633A (en) * 1989-10-20 1994-10-18 Liposome Technology, Inc. Method of treatment of inflamed tissues
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5337207A (en) * 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US6148072A (en) * 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6284145B1 (en) * 1997-04-21 2001-09-04 Gl&V/Celleco Ab Screen plate for screening of a pulp suspension and method to separate the same
US20010055852A1 (en) * 1998-09-09 2001-12-27 Moise Theodore S. Integrated circuit and method
US20020076936A1 (en) * 1998-10-23 2002-06-20 Eri Iguchi Method of fabricating semiconductor integrated circuit device and the semiconductor integrated circuit device
US6270568B1 (en) * 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6319730B1 (en) * 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6764792B1 (en) * 1999-09-09 2004-07-20 Dai Nippon Printing Co., Ltd. Halftone phase shift photomask and blanks for halftone phase shift photomask for producing it
US6492222B1 (en) * 1999-12-22 2002-12-10 Texas Instruments Incorporated Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6326261B1 (en) * 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US20020142609A1 (en) * 2001-03-28 2002-10-03 Hart Brian Christopher Reverse reactive ion patterning of metal oxide films
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030032237A1 (en) * 2001-08-09 2003-02-13 Clevenger Lawrence A. High dielectric constant materials forming components of DRAM such as deep-trench capacitors and gate dielectric (insulators) for support circuits
US20030036241A1 (en) * 2001-08-15 2003-02-20 Tews Helmut Horst Process flow for sacrificial collar scheme with vertical nitride mask
US6528386B1 (en) * 2001-12-20 2003-03-04 Texas Instruments Incorporated Protection of tungsten alignment mark for FeRAM processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6806095B2 (en) * 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US20030211748A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050215062A1 (en) * 2004-03-16 2005-09-29 Osamu Miyagawa Method of manufacturing semiconductor device
US20070026547A1 (en) * 2004-07-29 2007-02-01 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7354866B2 (en) 2004-07-29 2008-04-08 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7431795B2 (en) 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor

Also Published As

Publication number Publication date
US6806095B2 (en) 2004-10-19
US20030170986A1 (en) 2003-09-11

Similar Documents

Publication Publication Date Title
US6806095B2 (en) Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US7838434B2 (en) Method of plasma etching of high-K dielectric materials
US20060252265A1 (en) Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US6902681B2 (en) Method for plasma etching of high-K dielectric materials
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20040007561A1 (en) Method for plasma etching of high-K dielectric materials
US6277763B1 (en) Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US6583063B1 (en) Plasma etching of silicon using fluorinated gas mixtures
US8501626B2 (en) Methods for high temperature etching a high-K material gate structure
US6855643B2 (en) Method for fabricating a gate structure
US20070295455A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
KR20050028781A (en) Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
KR20040090931A (en) Method for fabricating a gate structure of a field effect transistor
US11637003B2 (en) Method for etching film and plasma processing apparatus
US7465670B2 (en) Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
US9543164B2 (en) Etching method
US7217665B2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US20050176191A1 (en) Method for fabricating a notched gate structure of a field effect transistor
US20040132311A1 (en) Method of etching high-K dielectric materials
US7883631B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US6415198B1 (en) Plasma etching of silicon using a chlorine chemistry augmented with sulfur dioxide
US20070197040A1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
US20050098536A1 (en) Method of etching oxide with high selectivity

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NALLAN, PADMAPANI C.;JIN, GUANGXIANG;KUMAR, AJAY;REEL/FRAME:015128/0830

Effective date: 20020305

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION