US20040142541A1 - Strained silicon-on-insulator (ssoi) and method to form the same - Google Patents

Strained silicon-on-insulator (ssoi) and method to form the same Download PDF

Info

Publication number
US20040142541A1
US20040142541A1 US10/326,437 US32643702A US2004142541A1 US 20040142541 A1 US20040142541 A1 US 20040142541A1 US 32643702 A US32643702 A US 32643702A US 2004142541 A1 US2004142541 A1 US 2004142541A1
Authority
US
United States
Prior art keywords
layer
insulator
sige
strained
crystalline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/326,437
Other versions
US6774015B1 (en
Inventor
Guy Cohen
Silke Christiansen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Assigned to INTERNATIONAL BUSNIESS MACHINES CORPORATION reassignment INTERNATIONAL BUSNIESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHRISTIANSEN, SILKE H., COHEN, GUY M.
Priority to US10/326,437 priority Critical patent/US6774015B1/en
Priority to TW094145391A priority patent/TWI283895B/en
Priority to TW092133334A priority patent/TWI264061B/en
Priority to JP2004565169A priority patent/JP4716733B2/en
Priority to DE60331473T priority patent/DE60331473D1/en
Priority to AU2003297627A priority patent/AU2003297627A1/en
Priority to PCT/US2003/038334 priority patent/WO2004061921A2/en
Priority to KR1020057009099A priority patent/KR100773007B1/en
Priority to CNB2003801065060A priority patent/CN100470724C/en
Priority to EP03814644A priority patent/EP1573791B1/en
Priority to AT03814644T priority patent/ATE459098T1/en
Publication of US20040142541A1 publication Critical patent/US20040142541A1/en
Publication of US6774015B1 publication Critical patent/US6774015B1/en
Application granted granted Critical
Priority to IL169141A priority patent/IL169141A/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body

Definitions

  • the present invention relates to a structure of a tensilely strained silicon layer on an insulator, and to a method to fabricate the same.
  • the invention also teaches systems comprising the strained silicon layer on an insulator.
  • the invention relates to a method for changing the state of strain of thin crystalline layers.
  • a MOSFET fabricated in tensile strained Si exhibits higher carrier mobilities than conventional MOSFET as it was shown for instance by K. Rim, et al in “Enhanced performance in surface channel strained Si n and p MOSFETs”, Proceedings of the Twenty Sixth International Symposium on Compound Semiconductors Berlin, Germany 22-26 Aug. 1999.
  • the strained Si layer is typically formed by growing Si epitaxialy over a relaxed graded SiGe buffer layer as discussed in Materials Science and Engineering Reports R17, 105 (1996), by P. M. Mooney, and in U.S. Pat. No. 5,659,187 to LeGoues et al. titled: “Low Defect Density/arbitrary Lattice Constant Heteroepitaxial Layers” incorporated herein by reference.
  • a strained silicon layer on a relaxed SiGe layer is only useful for making bulk devices.
  • Bulk devices do not have the advantages obtained for SOI devices such as reduction in the junction capacitance, and elimination of latch-up path between devices, as it is detailed, for instance in J-P. Colinge, Silicon-On-Insulator Technology: Materials to VLSI, 2nd Ed., Kluwer Academic Press, Boston, 1997.
  • a strained silicon layer over an isolator can be formed by wafer bonding and layer transfer.
  • a first wafer with a strained silicon layer grown over a SiGe buffer layer is implanted with hydrogen—in a method called SmartCut (a registered trademark of SOITEC Corporation) as described by A. J. Auberton Herve, “SOI: materials to systems”, International Electron Devices Meeting (IEDM) Technical Digest, San Francisco, p. 3-10, Dec. 1996,—and then flipped and bonded to a handle wafer having the isolator layer.
  • the joint wafers are annealed to strengthen the bond and to obtain blistering at about the depth were the hydrogen was implanted.
  • the strain silicon layer and a portion of the SiGe buffer layer are thus separated from the first wafer, and transferred by bonding to the handle wafer.
  • the bonding method requires wafer bonding and layer transfer, which are expensive and relatively low yield processes.
  • the as-deposited SiGe surface is too rough for wafer bonding, which can be achieved only with very smooth and flat surfaces. As a result a long chemical mechanical polishing (CMP) step is typically applied to reduce the surface roughness to an acceptable level.
  • CMP chemical mechanical polishing
  • the lower anneal temperature that is therefore used can lead to reliability issues.
  • a SmartCut process in which hydrogen is implanted into the SiGe buffer layer may be difficult to control since the hydrogen will partially relocate to defects in the SiGe layer which are distant from the blistering location.
  • this invention discloses a method for forming a tensilely strained SOI layer, and discloses a structure of a tensilely strained SOI layer.
  • strained silicon may be of special interest due to its superior properties, one skilled in the art would notice that the method is general, and applicable to other semiconductor layers, such as SiGe, SiC, GaAs, InP, InGaAs etc. Or, more generally, the method can be extended to change the state of a strain, both in a quantitative manner, for instance to increase the amount of compression or tension in a layer, and in a qualitative manner, for instance to transform from compressive to tensile strain, and to do such strain change in a wide range of materials, not necessarily only in semiconductors.
  • the basic invention to create a tensile strain in a Si layer on top an insulator is as follows. One starts with, or take, a standard SOI wafer with a relaxed Si layer, a well known structure in the art. Then, one forms on the top of the Si layer a relaxed SiGe layer, having a given Ge concentration at the top portion of the SiGe layer.
  • relaxed means that the a layer is essentially not under strain or stress, but is able to relax by assuming its equilibrium lattice constant. In practice, however, the layer relaxation may not be full (i.e. 100% relaxation) and the actual relaxation amount depends on the method used to relax the strain in the SiGe film and on the film thickness.
  • a relaxed SiGe layer can be formed by growing a pseudomorphic SiGe layer with a uniform Ge concentration, implanting helium or hydrogen and annealing the film to relax the strain.
  • the a relaxed SiGe layer can be realized by growing a thick SiGe layer with a graded Ge composition.
  • the relaxed Si layer on top of the insulator, and the bottom portion of the relaxed SiGe layer is amorphized by ion implantation. In this manner one has a monocrystalline SiGe layer on top of an amorphous material. Following the amorphization, one re-crystallizes by solid phase epitaxy the amorphized material including the silicon-on-insulator layer, starting from the crystalline top portion of the relaxed SiGe layer.
  • the lattice constant of the crystalline SiGe at the point of its interface with the amorphous material will have to be accommodated during the re-crystallization. Since the lattice constant at the crystalline/amorphous interface was larger than that of the Si layer, the Si layer upon re-crystallization will be in a tensile strain state due to having had to accommodate the larger lattice constant of the top part of the relaxed SiGe layer. Once the SiGe layer is removed, one obtains the tensilely strained silicon-on-insulator layer.
  • the process of amorphization and solid-state epitaxy is iterated to allow a gradual building up of the strain in the SOI layer.
  • the amorphized portion of the graded SiGe layer is increased with each iteration so that re-crystallization is commencing with a seed having ever higher Ge concentration.
  • Successive iterations strain the SOI layer to higher levels of strain by re-crystallizing it from a region having a higher Ge concentration, thus larger lattice constant.
  • the process is iterated by removing and reforming the graded SiGe layer after each re-crystallization, and each time the layer is re-formed with a higher Ge concentration. Each iteration leaves the SOI layer with a higher level of strain.
  • FIG. 1 Shows a schematic cross sectional view of a layered structure of the silicon-on-insulator wafer
  • FIG. 2 Shows a schematic cross sectional view of the layered structure with a SiGe relaxed layer formed on top of the silicon-on-insulator wafer;
  • FIG. 3 Shows a schematic cross sectional view of the implantation of the layered structure
  • FIG. 4 Shows a schematic cross sectional view of the layered structure with the Si and the bottom part of the SiGe relaxed layer amorphized
  • FIG. 5 Shows a schematic cross sectional view of the initial stage of re-crystallization by solid phase epitaxy
  • FIG. 6 Shows a schematic cross sectional view of the layered structure after re-crystallization, wherein the Si layer acquired a tensile strain
  • FIG. 7 Shows a schematic cross sectional view of the silicon-on-insulator wafer with the strained Si layer
  • FIG. 8 Schematically shows an electronic system containing the tensilely strained Si on insulator layer as its component.
  • FIG. 1 shows a schematic cross sectional view of a layered structure of the silicon-on-insulator wafer. Taking such a wafer is the starting point of this invention.
  • the wafer is a layered structure with a buried SiO 2 layer 150 sandwiched between a Si substrate 160 and a monocrystalline Si layer 100 .
  • the Si layer 100 has a first lattice constant, which is the relaxed lattice constant of Si.
  • SOI wafers are know in the art and are commercially available. Typically they are manufactured by techniques such as separation by implanted oxygen (SIMOX), or wafer bonding.
  • SIMOX separation by implanted oxygen
  • the thickness of the Si layer 100 can vary. Advanced device fabrication requires ever thinner structures.
  • FIG. 2 shows a schematic cross sectional view of the layered structure with a SiGe relaxed layer 110 formed on top of the silicon-on-insulator wafer.
  • a SiGe relaxed layer 110 typically has a graded Ge concentration.
  • a characteristic grading of the Ge concentration in layer 110 will have about 5% Ge at the bottom of the layer, where it forms a first interface with the Si layer, and about 30% Ge at the top surface of the SiGe layer.
  • How to form such a relaxed SiGe layer is known in the art. There are several processes available for fabricating them, such as by step grading process as described by in the incorporated U.S. Pat. No. 5,659,187 to LeGoues et al.
  • the thickness of the SiGe relaxed layer 110 can be in a relatively wide range, depending on the amount of strain desired in the Si layer 100 , and the method by which layer 110 is formed.
  • a step grading method typically requires thicker layers, ranging up to about 3000 nm, while other methods, such as the implant and anneal process can be done with a minimum thickness for layer 110 of about 20 nm.
  • FIG. 3 shows a schematic cross sectional view of the implantation of the layered structure. Converting the Si layer 100 and the bottom portion of the SiGe layer 110 into an amorphous state of material is the aim of the ion implantation, indicated by arrows 200 .
  • the implanted species is typically Si or Ge, however one skilled in the art would recognize other species can serve the purpose, as well.
  • the implant energy and dose are chosen such that the bottom part of the SiGe buffer layer 110 and the SOI layer 100 become amorphized.
  • FIG. 4 shows a schematic cross sectional view of the layered structure with the Si and the bottom part of the SiGe relaxed layer amorphized. Following the implant 200 , the bottom part of the SiGe buffer layer 110 ′ and the SOI layer 100 ′ are amorphized. The notations with the “prime” symbol added indicate that while these are the same layers as earlier, their material state changed, (for the SiGe layer only partially, since it is only partially amorphized). The extension of the amorphous semiconductor layers is shown by the curly brackets 410 .
  • the amorphous region goes from the interface with the Si layer, a first interface, up to a second interface which is between the amorphous and crystalline portions of the SiGe layer.
  • the top portion of the SiGe layer is not amorphized and remains monocrystalline.
  • FIG. 5 shows a schematic cross sectional view of the initial stage of re-crystallization by solid phase epitaxy.
  • the re-crystallization growth indicated by arrows 510 , propagates downwards from its original seeding place on the second interface, where the SiGe crystalline layer had a second lattice constant which was larger than the first lattice constant of the Si layer.
  • part of the SiGe layer 110 ′ and Si layer 100 ′ are still amorphous.
  • the crystalline top portion of the SiGe layer is now extending downwards.
  • the crystalline SiGe layer is no longer relaxed, having taken on the lattice constant of the second interface.
  • the strained crystalline SiGe layer is annotated as layer 520 .
  • FIG. 6 shows a schematic cross sectional view of the layered structure after re-crystallization, wherein the Si layer has acquired a tensile strain.
  • the part of the SiGe layer which was amorphized is now strained, which is indicated with the new annotation 520 referring to the whole layer.
  • the Si layer is being forced to comply with the second lattice constant, and is acquiring a tensile strain. It has become the tensilely strained silicon-on-insulator 600 . With this, the method for introducing the tensile strain in the silicon-on-insulator layer is complete.
  • a silicon-on-insulator layer that can be used to house devices and circuits, one has to remove the SiGe layer from the top of the strained Si layer. Methods for such removal are known in the art, and are typically done by selective etching, such as with 1HF: 2H 2 O 2 : 3CH 3 COOH.
  • the use of a selective SiGe etchant is especially useful with a relaxed SiGe film having a uniform Ge concentration that extends down to the SiGe/Si interface (which is typically the case when the relaxed SiGe layer is made by the implantation and anneal method).
  • a stop-etch layer or marker at the SiGe/Si interface. For example a boron delta-doping is sometime used as a stop-etch layer for both wet and dry etching.
  • FIG. 7 shows a schematic cross sectional view of the silicon-on-insulator wafer with the strained Si layer.
  • the strained SiGe layer 520 has been removed, leaving the strained Si layer 600 exposed on the surface.
  • the Si layer 600 is adhered to the insulator layer 150 , typically an SiO 2 layer.
  • the tensilely strained Si layer is of device quality with low defect densities, below 10 8 /cm 2 , and preferably below 10 5 /cm 2 .
  • This layered structure shows the effects of the ion implantation 200 used for amorphization.
  • the Si layer 100 was completely amorphized, then due to the natural spread of the implanted ions, some of the ions penetrated into the insulator layer. These ions stay in the insulator permanently. They have no detrimental electrical effects, but they can be shown to be in the insulator.
  • the insulator layer contains at least one atomic species over a limit, which limit is the one that one can establish based on the known chemical composition of the insulator layer. For instance, if the insulator is SiO 2 and the implanted species is also Si, then the SiO 2 upon investigation would show some excess of Si, compared to the natural amount of Si expected on the basis or the SiO 2 chemical formula.
  • the strained SOI layered structure created by the current invention strains the Si layer already present on the original SOI wafer, it does not involve a layer transfer. Consequently, the crystalline orientation of the original Si layer is preserved throughout the straining process. If the original Si layer had the same crystalline orientation as the substrate underneath the insulator, which is the case when the SIMOX process has been used to create the original SOI wafer, the final, strained Si layer too, will match the crystalline orientation of the underlying Si substrate.
  • the method for tensilely straining an SOI layer can be executed in differing embodiments.
  • this embodiment of the method after reaching the state of the layered structure as shown in FIG. 6, one goes back to ion implantation of FIG. 3, followed by re-crystallization of FIG. 5. This is done in a manner that at each repetition a larger portion of the SiGe layer is turned into amorphous material.
  • the second lattice constant is increasing with each repetition, whereby the tensile strain in the Si layer is also increasing with each repetition.
  • the repetitions are terminated and the SiGe relaxed layer is removed.
  • the SiGe layer is formed on an ever more strained Si layer and a higher Ge concentration can be reached near, or at the top, of the SiGe relaxed layer, resulting in a larger lattice constant. Consequently, at the second interface the second lattice constant is increasing with each repetition, whereby the tensile strain in the Si layer also increases with each repetition.
  • the tensile strain in the Si layers has reached a predetermined, or desired, value the repetitions are terminated and no new SiGe relaxed layer is formed on top of the strained Si layer.
  • FIG. 8 schematically shows an electronic system containing the tensilely strained Si on insulator layer as its component.
  • the electronic system is shown in a generic way as a sphere 800 , comprising the strained Si on insulator layer 600 .
  • the strained Si on insulator layer 600 is hosting a variety of high performance devices and circuits.
  • Electronic systems that can take advantage of the high performance devices afforded by the strained Si on insulator are of many kind. It is of special interest when such an electronic systems is a digital processor, such as a central electronic complex (CEC) of a computer.
  • CEC central electronic complex
  • the steps of the method for tensilely straining a Si layer on an insulator can be applied in general for modifying the strain state of any crystalline layer, or simply a first crystalline layer, having a first lattice constant, resting on a support platform.
  • the support platform is preferably amorphous, whereby it does not influence the lattice constant of the first crystalline layer.
  • the first layer and the bottom portion of the second layer, which is interfacing with the first layer are turned into amorphous state by ion implantation.
  • the first crystalline layer by being forced to comply with the second lattice constant, has its strain state modified. This modification depends on the relation of the first lattice constant to the second lattice constant. If the second lattice constant is larger than the first lattice constant, as is the case for the Si layer and the SiGe relaxed layer, then the first layer's strain state will be modified in the tensile direction. In the opposite case, when the second lattice constant is smaller than the first lattice constant, then the first layer's strain state will be modified in the compressive direction. Removing the second layer will yield the modified strain state first layer on top of the original support structure.

Abstract

A method for fabricating a strained Si layer on insulator, a structure of the strained Si layer on insulator, and electronic systems comprising such layers are disclosed. The method comprises the steps of forming epitaxially a relaxed SiGe layer on top of a Si layer on insulator; transforming the crystalline Si layer and the lower portion of the crystalline relaxed SiGe layer into an amorphous material state by ion implantation; and re-crystallizing the amorphous material from the crystalline top portion of the SiGe layer. The larger lattice constant of the SiGe seed layer forces a tensile strain in the Si layer.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a structure of a tensilely strained silicon layer on an insulator, and to a method to fabricate the same. The invention also teaches systems comprising the strained silicon layer on an insulator. Furthermore, the invention relates to a method for changing the state of strain of thin crystalline layers. [0001]
  • BACKGROUND OF THE INVENTION
  • Today's integrated circuits include a vast number of devices. Smaller devices are key to enhance performance and to improve reliability. As MOSFET (Metal Oxide Semiconductor Field-Effect-Transistor, a name with historic connotations meaning in general an insulated gate Field-Effect-Transistor) devices are being scaled down, however, the technology becomes more complex and changes in device structures and new fabrication methods are needed to maintain the expected performance enhancement from one generation of devices to the next. In this regard the semiconductor that has progressed the farthest is the primary semiconducting material of microelectronics: silicon (Si). [0002]
  • There is great difficulty in maintaining performance improvements in devices of deeply submicron generations. Several avenues are being explored for keeping device performance improvements on track. Among these is the use of tensilely strained Si as the basic semiconducting device material. [0003]
  • A MOSFET fabricated in tensile strained Si exhibits higher carrier mobilities than conventional MOSFET as it was shown for instance by K. Rim, et al in “Enhanced performance in surface channel strained Si n and p MOSFETs”, Proceedings of the Twenty Sixth International Symposium on Compound Semiconductors Berlin, Germany 22-26 Aug. 1999. The strained Si layer is typically formed by growing Si epitaxialy over a relaxed graded SiGe buffer layer as discussed in Materials Science and Engineering Reports R17, 105 (1996), by P. M. Mooney, and in U.S. Pat. No. 5,659,187 to LeGoues et al. titled: “Low Defect Density/arbitrary Lattice Constant Heteroepitaxial Layers” incorporated herein by reference. [0004]
  • While the relaxed SiGe layer is essential for straining the Si layer, this layer stack cannot be used for making silicon-on-insulator (SOI) MOSFETs. Often today's state of the art devices operate in a semiconducting layer which is separated from the semiconducting substrate by an insulating layer. This technology is commonly knows as SOI technology. The standard method of producing SOI materials, or wafers, is called the SIMOX process. It involves the implantation of very high doses of oxygen ions at high energy into the semiconductor, and upon annealing, the oxygen forms an oxide layer under the surface of the semiconductor. In this manner one has a top semiconductor layer separated from the bulk of the substrate. However there are other methods besides SIMOX for producing SOI wafers, methods typically based on wafer bonding techniques. [0005]
  • A strained silicon layer on a relaxed SiGe layer is only useful for making bulk devices. Bulk devices do not have the advantages obtained for SOI devices such as reduction in the junction capacitance, and elimination of latch-up path between devices, as it is detailed, for instance in J-P. Colinge, Silicon-On-Insulator Technology: Materials to VLSI, 2nd Ed., Kluwer Academic Press, Boston, 1997. [0006]
  • Ultimately, an SOI technology having a tensile strained Si layer made directly on insulator is desired, thus combining the advantages of SOI technology with the mobility enhancement obtain in strained silicon. In addition, issues related to the use of SiGe as the device substrate are eliminated. For example, cobalt disilicide forming at higher temperature in SiGe than in pure Si—shown by R. A Donaton et al., “Co silicide formation on SiGeC/Si and SiGe/Si layers”, Appl. Phys. Lett., 70, p. 1266, (1997),—and higher dopant diffusion are major concerns in the fabrication of a strained silicon MOSFET over SiGe. [0007]
  • A strained silicon layer over an isolator can be formed by wafer bonding and layer transfer. A first wafer with a strained silicon layer grown over a SiGe buffer layer is implanted with hydrogen—in a method called SmartCut (a registered trademark of SOITEC Corporation) as described by A. J. Auberton Herve, “SOI: materials to systems”, International Electron Devices Meeting (IEDM) Technical Digest, San Francisco, p. 3-10, Dec. 1996,—and then flipped and bonded to a handle wafer having the isolator layer. The joint wafers are annealed to strengthen the bond and to obtain blistering at about the depth were the hydrogen was implanted. The strain silicon layer and a portion of the SiGe buffer layer are thus separated from the first wafer, and transferred by bonding to the handle wafer. There are disadvantages to this approach. First, the bonding method requires wafer bonding and layer transfer, which are expensive and relatively low yield processes. Second, the as-deposited SiGe surface is too rough for wafer bonding, which can be achieved only with very smooth and flat surfaces. As a result a long chemical mechanical polishing (CMP) step is typically applied to reduce the surface roughness to an acceptable level. Third, to obtain a strong bond the wafers need to be annealed at a temperature of over 1000° C. However, such a high temperature will cause the strained silicon layer to relax and to diffuse Ge from the SiGe buffer into the strained silicon layer. Fourth, the lower anneal temperature that is therefore used can lead to reliability issues. Fifth, a SmartCut process in which hydrogen is implanted into the SiGe buffer layer may be difficult to control since the hydrogen will partially relocate to defects in the SiGe layer which are distant from the blistering location. [0008]
  • SUMMARY OF THE INVENTION
  • In view of the problems discussed above this invention discloses a method for forming a tensilely strained SOI layer, and discloses a structure of a tensilely strained SOI layer. [0009]
  • It is noted that, for the sake of simplicity, the discussion here is for the specific case of tensile strained silicon. Although strained silicon may be of special interest due to its superior properties, one skilled in the art would notice that the method is general, and applicable to other semiconductor layers, such as SiGe, SiC, GaAs, InP, InGaAs etc. Or, more generally, the method can be extended to change the state of a strain, both in a quantitative manner, for instance to increase the amount of compression or tension in a layer, and in a qualitative manner, for instance to transform from compressive to tensile strain, and to do such strain change in a wide range of materials, not necessarily only in semiconductors. [0010]
  • The basic invention to create a tensile strain in a Si layer on top an insulator is as follows. One starts with, or take, a standard SOI wafer with a relaxed Si layer, a well known structure in the art. Then, one forms on the top of the Si layer a relaxed SiGe layer, having a given Ge concentration at the top portion of the SiGe layer. The term relaxed means that the a layer is essentially not under strain or stress, but is able to relax by assuming its equilibrium lattice constant. In practice, however, the layer relaxation may not be full (i.e. 100% relaxation) and the actual relaxation amount depends on the method used to relax the strain in the SiGe film and on the film thickness. For example, 65% relaxation was reported in SiGe films with 30% Ge grown on silicon and relaxed by ion implantation and rapid thermal anneal (H.-J. Herzog et. al, “Si/SiGe n-MODFETs on Thin SiGe Virtual Substrates Prepared by Means of He Implantation”, IEEE ELECTRON DEVICE LETTERS, p. 485, 23(8), August 2002). A relaxed SiGe layer can be formed by growing a pseudomorphic SiGe layer with a uniform Ge concentration, implanting helium or hydrogen and annealing the film to relax the strain. Alternatively, the a relaxed SiGe layer can be realized by growing a thick SiGe layer with a graded Ge composition. In the later case at the interface with the Si layer the Ge concentration is relatively low, and the lattice constant is not much larger than the Si layer lattice constant, but the mismatch with the Si lattice constant can be significant toward the top of the SiGe layer, due to the higher Ge concentration found there. Next, the relaxed Si layer on top of the insulator, and the bottom portion of the relaxed SiGe layer is amorphized by ion implantation. In this manner one has a monocrystalline SiGe layer on top of an amorphous material. Following the amorphization, one re-crystallizes by solid phase epitaxy the amorphized material including the silicon-on-insulator layer, starting from the crystalline top portion of the relaxed SiGe layer. The lattice constant of the crystalline SiGe at the point of its interface with the amorphous material will have to be accommodated during the re-crystallization. Since the lattice constant at the crystalline/amorphous interface was larger than that of the Si layer, the Si layer upon re-crystallization will be in a tensile strain state due to having had to accommodate the larger lattice constant of the top part of the relaxed SiGe layer. Once the SiGe layer is removed, one obtains the tensilely strained silicon-on-insulator layer. [0011]
  • There can be several variations in the embodiments of creating the tensilely strained silicon-on-insulator layer. [0012]
  • In one alternate embodiment of the invention, the process of amorphization and solid-state epitaxy is iterated to allow a gradual building up of the strain in the SOI layer. The amorphized portion of the graded SiGe layer is increased with each iteration so that re-crystallization is commencing with a seed having ever higher Ge concentration. Successive iterations strain the SOI layer to higher levels of strain by re-crystallizing it from a region having a higher Ge concentration, thus larger lattice constant. [0013]
  • In yet another embodiment of the invention, the process is iterated by removing and reforming the graded SiGe layer after each re-crystallization, and each time the layer is re-formed with a higher Ge concentration. Each iteration leaves the SOI layer with a higher level of strain. [0014]
  • It is the object of the present invention to present a method by which tensile strain is introduced into a silicon-on-insulator layer. It is also the object of the present invention to teach a tensilely strained silicon-on-insulator, and fabrication methods thereof. It is yet another object of the present invention to teach electronic systems which comprise a tensilely strained silicon-on-insulator layer. It is a further object of the present invention to teach the generality of the method for changing a strain state of a crystalline layer on top of a support platform.[0015]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features of the present invention will become apparent from the accompanying detailed description and drawings, wherein: [0016]
  • FIG. 1 Shows a schematic cross sectional view of a layered structure of the silicon-on-insulator wafer; [0017]
  • FIG. 2 Shows a schematic cross sectional view of the layered structure with a SiGe relaxed layer formed on top of the silicon-on-insulator wafer; [0018]
  • FIG. 3 Shows a schematic cross sectional view of the implantation of the layered structure; [0019]
  • FIG. 4 Shows a schematic cross sectional view of the layered structure with the Si and the bottom part of the SiGe relaxed layer amorphized; [0020]
  • FIG. 5 Shows a schematic cross sectional view of the initial stage of re-crystallization by solid phase epitaxy; [0021]
  • FIG. 6 Shows a schematic cross sectional view of the layered structure after re-crystallization, wherein the Si layer acquired a tensile strain; [0022]
  • FIG. 7 Shows a schematic cross sectional view of the silicon-on-insulator wafer with the strained Si layer; [0023]
  • FIG. 8 Schematically shows an electronic system containing the tensilely strained Si on insulator layer as its component.[0024]
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 shows a schematic cross sectional view of a layered structure of the silicon-on-insulator wafer. Taking such a wafer is the starting point of this invention. The wafer is a layered structure with a buried SiO[0025] 2 layer 150 sandwiched between a Si substrate 160 and a monocrystalline Si layer 100. The Si layer 100 has a first lattice constant, which is the relaxed lattice constant of Si. SOI wafers are know in the art and are commercially available. Typically they are manufactured by techniques such as separation by implanted oxygen (SIMOX), or wafer bonding. The thickness of the Si layer 100 can vary. Advanced device fabrication requires ever thinner structures. Also, the thinner the Si layer is, the more strain it can withstand without sacrificing crystal quality. Accordingly, the range of Si layer 100 thicknesses is about between 1 nm and 100 nm, and preferably about between 2 nm and 50 nm. This thickness does not change appreciably during the execution of the steps of this invention.
  • FIG. 2 shows a schematic cross sectional view of the layered structure with a SiGe [0026] relaxed layer 110 formed on top of the silicon-on-insulator wafer. Typically such a relaxed SiGe layer has a graded Ge concentration. A characteristic grading of the Ge concentration in layer 110 will have about 5% Ge at the bottom of the layer, where it forms a first interface with the Si layer, and about 30% Ge at the top surface of the SiGe layer. How to form such a relaxed SiGe layer is known in the art. There are several processes available for fabricating them, such as by step grading process as described by in the incorporated U.S. Pat. No. 5,659,187 to LeGoues et al. An alternate process of mention is by an implant and anneal process, as described by S. H. Christiansen, et al in “Relaxed SiGe Layers on Si or Silicon on Insulator Substrates by Ion Implantation and Thermal Annealing” in U.S. patent applications: U.S. Ser. No. 10/115160, filed on Apr. 3, 2002, (Attorney Docket YOR920010546US2) incorporated herein by reference, and U.S. Ser. No. 10/299880 filed Nov. 19, 2002 (Attorney Docket YOR920010546US3) incorporated herein by reference. It is noted that a relaxed SiGe buffer layer 100 fabricated by the He implant and anneal process contains a region of defects. This defect region in the SiGe layer is not an issue in this invention since it is being amorphized during the implant step of the invention.
  • The thickness of the SiGe [0027] relaxed layer 110 can be in a relatively wide range, depending on the amount of strain desired in the Si layer 100, and the method by which layer 110 is formed. A step grading method typically requires thicker layers, ranging up to about 3000 nm, while other methods, such as the implant and anneal process can be done with a minimum thickness for layer 110 of about 20 nm.
  • FIG. 3 shows a schematic cross sectional view of the implantation of the layered structure. Converting the [0028] Si layer 100 and the bottom portion of the SiGe layer 110 into an amorphous state of material is the aim of the ion implantation, indicated by arrows 200. The implanted species is typically Si or Ge, however one skilled in the art would recognize other species can serve the purpose, as well. The implant energy and dose are chosen such that the bottom part of the SiGe buffer layer 110 and the SOI layer 100 become amorphized.
  • FIG. 4 shows a schematic cross sectional view of the layered structure with the Si and the bottom part of the SiGe relaxed layer amorphized. Following the [0029] implant 200, the bottom part of the SiGe buffer layer 110′ and the SOI layer 100′ are amorphized. The notations with the “prime” symbol added indicate that while these are the same layers as earlier, their material state changed, (for the SiGe layer only partially, since it is only partially amorphized). The extension of the amorphous semiconductor layers is shown by the curly brackets 410. In the SiGe layer the amorphous region goes from the interface with the Si layer, a first interface, up to a second interface which is between the amorphous and crystalline portions of the SiGe layer. The top portion of the SiGe layer is not amorphized and remains monocrystalline.
  • FIG. 5 shows a schematic cross sectional view of the initial stage of re-crystallization by solid phase epitaxy. As the structure is being annealed the amorphized portion of the [0030] layered structure 410 is shrinking. The re-crystallization growth, indicated by arrows 510, propagates downwards from its original seeding place on the second interface, where the SiGe crystalline layer had a second lattice constant which was larger than the first lattice constant of the Si layer. As shown in FIG. 5, part of the SiGe layer 110′ and Si layer 100′ are still amorphous. The crystalline top portion of the SiGe layer is now extending downwards. In the case of a graded SiGe film the crystalline SiGe layer is no longer relaxed, having taken on the lattice constant of the second interface. Hence the strained crystalline SiGe layer is annotated as layer 520.
  • FIG. 6 shows a schematic cross sectional view of the layered structure after re-crystallization, wherein the Si layer has acquired a tensile strain. The part of the SiGe layer which was amorphized is now strained, which is indicated with the [0031] new annotation 520 referring to the whole layer. In this figure the Si layer is being forced to comply with the second lattice constant, and is acquiring a tensile strain. It has become the tensilely strained silicon-on-insulator 600. With this, the method for introducing the tensile strain in the silicon-on-insulator layer is complete. To fabricate a silicon-on-insulator layer that can be used to house devices and circuits, one has to remove the SiGe layer from the top of the strained Si layer. Methods for such removal are known in the art, and are typically done by selective etching, such as with 1HF: 2H2O2: 3CH3COOH. The use of a selective SiGe etchant is especially useful with a relaxed SiGe film having a uniform Ge concentration that extends down to the SiGe/Si interface (which is typically the case when the relaxed SiGe layer is made by the implantation and anneal method). With graded SiGe buffer layers it is some time preferable to incorporate a stop-etch layer or marker at the SiGe/Si interface. For example a boron delta-doping is sometime used as a stop-etch layer for both wet and dry etching.
  • FIG. 7 shows a schematic cross sectional view of the silicon-on-insulator wafer with the strained Si layer. The [0032] strained SiGe layer 520 has been removed, leaving the strained Si layer 600 exposed on the surface. The Si layer 600 is adhered to the insulator layer 150, typically an SiO2 layer. The tensilely strained Si layer is of device quality with low defect densities, below 108/cm2, and preferably below 105/cm2.
  • This layered structure shows the effects of the [0033] ion implantation 200 used for amorphization. When the Si layer 100 was completely amorphized, then due to the natural spread of the implanted ions, some of the ions penetrated into the insulator layer. These ions stay in the insulator permanently. They have no detrimental electrical effects, but they can be shown to be in the insulator. Of several possible ways to show their existence, one is by the fact that the presence of the implanted species slightly alters the chemical composition of the insulator, which is typically SiO2. Due to the implanted ions the insulator layer contains at least one atomic species over a limit, which limit is the one that one can establish based on the known chemical composition of the insulator layer. For instance, if the insulator is SiO2 and the implanted species is also Si, then the SiO2 upon investigation would show some excess of Si, compared to the natural amount of Si expected on the basis or the SiO2 chemical formula.
  • The strained SOI layered structure created by the current invention strains the Si layer already present on the original SOI wafer, it does not involve a layer transfer. Consequently, the crystalline orientation of the original Si layer is preserved throughout the straining process. If the original Si layer had the same crystalline orientation as the substrate underneath the insulator, which is the case when the SIMOX process has been used to create the original SOI wafer, the final, strained Si layer too, will match the crystalline orientation of the underlying Si substrate. [0034]
  • Depending on circumstances, the method for tensilely straining an SOI layer can be executed in differing embodiments. In one such embodiment, aimed to gradually adjust the matching between the Si layer and the underlaying insulator one repeats the converting and the re-crystallizing steps at least once, but possibly several times. In this embodiment of the method, after reaching the state of the layered structure as shown in FIG. 6, one goes back to ion implantation of FIG. 3, followed by re-crystallization of FIG. 5. This is done in a manner that at each repetition a larger portion of the SiGe layer is turned into amorphous material. In this way at the second interface, which serves as the seeding surface for the solid phase re-crystallization, the second lattice constant is increasing with each repetition, whereby the tensile strain in the Si layer is also increasing with each repetition. When the tensile strain in the Si layers has reached a predetermined, or desired, value the repetitions are terminated and the SiGe relaxed layer is removed. [0035]
  • In another embodiment, aimed increasing strain without having to form unduly thick SiGe layers, and also for the sake of general flexibility, one repeats the forming, converting, re-crystallizing, and removing steps at least once, but possibly several times. With each removing step the SOI wafer is provided anew and it is ready to be taken again for processing. In this embodiment of the method, after reaching the state of the layered structure shown in FIG. 7 one goes back to forming the relaxed SiGe layer as shown on FIG. 2, following with the usual order of ion implantation of FIG. 3, by re-crystallization of FIG. 5, and again removal of the SiGe layer as on FIG. 7. At each of these repetition cycles the SiGe layer is formed on an ever more strained Si layer and a higher Ge concentration can be reached near, or at the top, of the SiGe relaxed layer, resulting in a larger lattice constant. Consequently, at the second interface the second lattice constant is increasing with each repetition, whereby the tensile strain in the Si layer also increases with each repetition. When the tensile strain in the Si layers has reached a predetermined, or desired, value the repetitions are terminated and no new SiGe relaxed layer is formed on top of the strained Si layer. [0036]
  • FIG. 8 schematically shows an electronic system containing the tensilely strained Si on insulator layer as its component. In the figure the electronic system is shown in a generic way as a [0037] sphere 800, comprising the strained Si on insulator layer 600. The strained Si on insulator layer 600 is hosting a variety of high performance devices and circuits. Electronic systems that can take advantage of the high performance devices afforded by the strained Si on insulator are of many kind. It is of special interest when such an electronic systems is a digital processor, such as a central electronic complex (CEC) of a computer.
  • The steps of the method for tensilely straining a Si layer on an insulator can be applied in general for modifying the strain state of any crystalline layer, or simply a first crystalline layer, having a first lattice constant, resting on a support platform. The support platform is preferably amorphous, whereby it does not influence the lattice constant of the first crystalline layer. One has to form an epitaxial relaxed monocrystalline second crystalline layer on top of the first crystalline layer, in such a way that near its top surface the second crystalline layer has a second lattice constant, which differs from the first lattice constant. Again the first layer and the bottom portion of the second layer, which is interfacing with the first layer are turned into amorphous state by ion implantation. Upon re-crystallization by solid phase epitaxy the first crystalline layer, by being forced to comply with the second lattice constant, has its strain state modified. This modification depends on the relation of the first lattice constant to the second lattice constant. If the second lattice constant is larger than the first lattice constant, as is the case for the Si layer and the SiGe relaxed layer, then the first layer's strain state will be modified in the tensile direction. In the opposite case, when the second lattice constant is smaller than the first lattice constant, then the first layer's strain state will be modified in the compressive direction. Removing the second layer will yield the modified strain state first layer on top of the original support structure. [0038]
  • Many modifications and variations of the present invention are possible in light of the above teachings, and could be apparent for those skilled in the art. The scope of the invention is defined by the appended claims. [0039]

Claims (31)

We claim:
1. A method for tensilely straining a Si layer on an insulator, comprising the steps of:
taking a silicon-on-insulator wafer, said wafer comprising a buried insulator layer sandwiched between a Si substrate and a monocrystalline Si layer, said Si layer having a first lattice constant;
forming an epitaxial relaxed SiGe layer on top of said Si layer;
converting said Si layer and a bottom portion of said SiGe layer into an amorphous state by an ion implantation, wherein said bottom portion of said SiGe layer is sharing a first interface with said Si layer; and
re-crystallizing said bottom portion of said SiGe layer and said Si layer by solid phase epitaxy, said solid phase epitaxy seeding from a second interface between a crystalline top portion of said SiGe layer and said amorphous bottom portion of said SiGe layer, wherein at said second interface said top portion of said SiGe layer having a second lattice constant, said second lattice constant being larger than said first lattice constant, whereby upon re-crystallization said Si layer is forced to comply with said second lattice constant and acquires a tensile strain.
2. The method of claim 1, wherein said Si layer is chosen to be between about 1 nm and 100 nm thick.
3. The method of claim 2, wherein said Si layer is chosen to be between about 2 nm and 50 nm thick.
4. The method of claim 1, wherein in said ion implantation an implanted species is chosen to be Si.
5. The method of claim 1, wherein in said ion implantation an implanted species is chosen to be Ge.
6. The method of claim 1, wherein said relaxed SiGe layer is formed to a thickness between about 20 nm and 3000 nm.
7. The method of claim 1, wherein said buried insulator layer is chosen to be SiO2.
8. A monocrystalline tensilely strained Si layer, wherein said strained Si layer is adhered onto an insulator layer, and wherein said insulator layer contains at least one atomic species over a limit, wherein said limit is based on a known chemical composition of said insulator layer, whereby indicating ion implantation of said insulator layer with said at least one atomic species.
9. The strained Si layer of claim 8, wherein said insulator layer is SiO2.
10. The strained Si layer of claim 8, wherein said strained Si layer is between about 1 nm and 100 nm thick.
11. The strained Si layer of claim 10, wherein said strained Si layer is between about 2 nm and 50 nm thick.
12. The strained Si layer of claim 10, wherein said strained Si layer has less than 108/cm2 defect density.
13. The strained Si layer of claim 12, wherein said strained Si layer has less than 105/cm2 defect density.
14. The strained Si layer of claim 8, wherein said insulator layer is sandwiched between said strained Si layer and a Si substrate.
15. The strained Si layer of claim 14, wherein a crystalline orientation of said strained Si layer matches that of said Si substrate.
16. A method for fabricating a tensilely strained Si layer on an insulator, comprising the steps of:
taking a silicon-on-insulator wafer, said wafer comprising a buried insulator layer sandwiched between a Si substrate and a monocrystalline Si layer, said Si layer having a first lattice constant;
forming an epitaxial relaxed SiGe layer on top of said Si layer;
converting said Si layer and a bottom portion of said SiGe layer into an amorphous state by an ion implantation, wherein said bottom portion of said SiGe layer is sharing a first interface with said Si layer;
re-crystallizing said bottom portion of said SiGe layer and said Si layer by solid phase epitaxy, said solid phase epitaxy seeding from a second interface between a crystalline top portion of said SiGe layer and said amorphous bottom portion of said SiGe layer, wherein at said second interface said top portion of said SiGe layer having a second lattice constant, said second lattice constant being larger than said first lattice constant, whereby upon re-crystallization said Si layer is forced to comply with said second lattice constant and acquires a tensile strain; and
removing said SiGe layer, whereby said silicon-on-insulator wafer has been transformed into a wafer comprising said tensilely strained Si layer.
17. The method of claim 16, wherein said Si layer is chosen to be between about 1 nm and 100 nm thick.
18. The method of claim 17, wherein said Si layer is chosen to be between about 2 nm and 50 nm thick.
19. The method of claim 16, wherein in said ion implantation an implanted species is chosen to be Si.
20. The method of claim 16, wherein in said ion implantation an implanted species is chosen to be Ge.
21. The method of claim 16, wherein said buried insulator layer is chosen to be SiO2.
22. The method of claim 16, wherein said relaxed SiGe layer is formed by a step grading process.
23. The method of claim 16, wherein said relaxed SiGe layer is formed by an implant and anneal process.
24. The method of claim 16, wherein said relaxed SiGe layer is formed to a thickness between about 20 nm and 3000 nm.
25. The method of claim 16, further comprising the steps of:
repeating at least once the converting and the re-crystallizing steps in a manner that with each repetition at said second interface said second lattice constant is increasing with each repetition, whereby said tensile strain in said Si layer also increases with each repetition; and
terminating said repeating when said tensile strain in said Si layer has reached a predetermined value.
26. A method for fabricating a tensilely strained Si layer on an insulator, comprising the steps of:
taking a silicon-on-insulator wafer, said wafer comprising a buried SiO2 layer sandwiched between a Si substrate and a monocrystalline Si layer, said Si layer having a first lattice constant;
forming an epitaxial relaxed SiGe layer on top of said Si layer;
converting said Si layer and a bottom portion of said SiGe layer into an amorphous state by an ion implantation, wherein said bottom portion of said SiGe layer is sharing a first interface with said Si layer;
re-crystallizing said bottom portion of said SiGe layer and said Si layer by solid phase epitaxy, said solid phase epitaxy seeding from a second interface between a crystalline top portion of said SiGe layer and said amorphous bottom portion of said SiGe layer, wherein at said second interface said top portion of said SiGe layer having a larger lattice constant than said first lattice constant, whereby upon re-crystallization said Si layer is forced to comply with said larger lattice constant and acquires a tensile strain;
removing said SiGe layer, whereby a silicon-on-insulator wafer has been provided anew, with said Si layer tensilely strained by having said first lattice constant increased;
repeating at least once the growing, converting, re-crystallizing and removing steps with said provided anew silicon-on-insulator wafer, in a manner that at said second interface said second lattice constant is increasing with each repetition, whereby said tensile strain in said Si layer also increases with each repetition; and
terminating said repeating when said tensile strain in said Si layer has reached a predetermined value.
27. An electronic system comprising a monocrystalline strained Si layer, wherein said strained Si layer is adhered onto an insulator layer, and wherein said insulator layer contains at least one atomic species over a limit, wherein said limit is based on a known chemical composition of said insulator layer, whereby indicating ion implantation of said insulator layer with said at least one atomic species.
28. The electronic system of claim 27, wherein said electronic system is a digital processor.
29. A method for modifying a strain state in a first crystalline layer on a support platform, comprising the steps of:
taking said first crystalline layer on said support platform, said first crystalline layer having a first lattice constant;
forming an epitaxial relaxed second crystalline layer on top of said first crystalline layer;
converting said first crystalline layer and a bottom portion of said second crystalline layer into an amorphous state by an ion implantation, wherein said bottom portion of said second crystalline layer is sharing a first interface with said first crystalline layer; and
re-crystallizing said bottom portion of said second crystalline layer and said first crystalline layer by solid phase epitaxy, said solid phase epitaxy seeding from a second interface between a crystalline top portion of said second crystalline layer and said amorphous bottom portion of said second crystalline layer, wherein at said second interface said top portion of said second crystalline layer having a second lattice constant, said second lattice constant differing from said first lattice constant, whereby upon re-crystallization said first crystalline layer by being forced to comply with said second lattice constant has its said strain state modified.
30. The method for modifying a strain state of claim 29, further comprising the step of:
removing said second crystalline layer from said first crystalline layer, following said re-crystallizing step.
31. The method for modifying a strain state of claim 29, wherein said support platform is comprising an amorphous material, wherein said amorphous material interfacing with said first crystalline layer.
US10/326,437 2002-12-19 2002-12-19 Strained silicon-on-insulator (SSOI) and method to form the same Expired - Lifetime US6774015B1 (en)

Priority Applications (12)

Application Number Priority Date Filing Date Title
US10/326,437 US6774015B1 (en) 2002-12-19 2002-12-19 Strained silicon-on-insulator (SSOI) and method to form the same
TW094145391A TWI283895B (en) 2002-12-19 2003-11-27 Strained silicon-on-insulator (SSOI) and method to form same
TW092133334A TWI264061B (en) 2002-12-19 2003-11-27 Strained silicon-on-insulator (SSOI) and method to form same
PCT/US2003/038334 WO2004061921A2 (en) 2002-12-19 2003-12-02 Strained silicon-on-insulator (ssoi) and method to form the same
AT03814644T ATE459098T1 (en) 2002-12-19 2003-12-02 STRESS SILICON ON INSULATOR (SSOI) AND PRODUCTION METHOD THEREOF
AU2003297627A AU2003297627A1 (en) 2002-12-19 2003-12-02 Strained silicon-on-insulator (ssoi) and method to form the same
JP2004565169A JP4716733B2 (en) 2002-12-19 2003-12-02 Method for forming strained silicon on insulator (SSOI)
KR1020057009099A KR100773007B1 (en) 2002-12-19 2003-12-02 Strained silicon-on-insulator and method to form the same
CNB2003801065060A CN100470724C (en) 2002-12-19 2003-12-02 Strained silicon-on-insulator (SSOI) and method to form the same
EP03814644A EP1573791B1 (en) 2002-12-19 2003-12-02 Strained silicon-on-insulator (ssoi) and method to form the same
DE60331473T DE60331473D1 (en) 2002-12-19 2003-12-02 PLACEMENT PROCEDURE THEREFOR
IL169141A IL169141A (en) 2002-12-19 2005-06-14 Strained-silicon-on-insulator (ssoi) and a method to form the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/326,437 US6774015B1 (en) 2002-12-19 2002-12-19 Strained silicon-on-insulator (SSOI) and method to form the same

Publications (2)

Publication Number Publication Date
US20040142541A1 true US20040142541A1 (en) 2004-07-22
US6774015B1 US6774015B1 (en) 2004-08-10

Family

ID=32710790

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/326,437 Expired - Lifetime US6774015B1 (en) 2002-12-19 2002-12-19 Strained silicon-on-insulator (SSOI) and method to form the same

Country Status (11)

Country Link
US (1) US6774015B1 (en)
EP (1) EP1573791B1 (en)
JP (1) JP4716733B2 (en)
KR (1) KR100773007B1 (en)
CN (1) CN100470724C (en)
AT (1) ATE459098T1 (en)
AU (1) AU2003297627A1 (en)
DE (1) DE60331473D1 (en)
IL (1) IL169141A (en)
TW (2) TWI264061B (en)
WO (1) WO2004061921A2 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040132267A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Patterned strained silicon for high performance circuits
US20040235274A1 (en) * 2003-05-19 2004-11-25 Toshiba Ceramics Co., Ltd. Manufacturing method for a silicon substrate having strained layer
US20040242006A1 (en) * 2003-05-30 2004-12-02 International Business Machines Corporation SiGe lattice engineering using a combination of oxidation, thinning and epitaxial regrowth
US20050070070A1 (en) * 2003-09-29 2005-03-31 International Business Machines Method of forming strained silicon on insulator
US20060001089A1 (en) * 2004-07-02 2006-01-05 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US20060030087A1 (en) * 2003-01-10 2006-02-09 S.O.I.Tec Silicon On Insulator Technologies, S.A. Compliant substrate for a heteroepitaxial structure and method for making same
US20060220127A1 (en) * 2003-04-22 2006-10-05 Forschungszentrum Julich Gmbh Method for producing a tensioned layer on a substrate, and a layer structure
US20070013002A1 (en) * 2003-12-23 2007-01-18 Klaus Schruefer Field effect transistor with a heterostructure and associated production method
US20070026650A1 (en) * 2006-06-09 2007-02-01 Xavier Hebras Method of limiting vacancy diffusion in a heterostructure
US20070281435A1 (en) * 2006-05-30 2007-12-06 Voon-Yew Thean Engineering strain in thick strained-soi substrates
WO2009017997A1 (en) * 2007-07-30 2009-02-05 Intel Corporation Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
US20120112242A1 (en) * 2010-09-21 2012-05-10 Infineon Technologies Austria Ag Semiconductor body with strained region
US8536028B1 (en) * 2012-08-17 2013-09-17 National Tsing Hua University Self alignment and assembly fabrication method for stacking multiple material layers
EP2782118A1 (en) * 2013-03-20 2014-09-24 STMicroelectronics (Crolles 2) SAS Method for forming a stressed silicon layer
US8846503B1 (en) * 2013-08-02 2014-09-30 National Tsing Hua University Self-aligned and lateral-assembly method for integrating heterogeneous material structures on the same plane
US20160351437A1 (en) * 2014-01-23 2016-12-01 Igor Peidous High resistivity soi wafers and a method of manufacturing thereof
US20170309483A1 (en) * 2016-04-26 2017-10-26 Commissariat A L'energie Atomique Et Aux Energies Alternatives Manufacturing of silicon strained in tension on insulator by amorphisation then recrystallisation

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
JP2004281764A (en) * 2003-03-17 2004-10-07 Seiko Epson Corp Semiconductor device and method for manufacturing it
US7029995B2 (en) * 2003-06-13 2006-04-18 Asm America, Inc. Methods for depositing amorphous materials and using them as templates for epitaxial films by solid phase epitaxy
JP2007505477A (en) * 2003-07-23 2007-03-08 エーエスエム アメリカ インコーポレイテッド Silicon-on-insulator structures and SiGe deposition on bulk substrates
US6972247B2 (en) * 2003-12-05 2005-12-06 International Business Machines Corporation Method of fabricating strained Si SOI wafers
WO2005059979A1 (en) * 2003-12-16 2005-06-30 Koninklijke Philips Electronics N.V. Method for forming a strained si-channel in a mosfet structure
WO2006012544A2 (en) * 2004-07-22 2006-02-02 The Board Of Trustees Of The Leland Stanford Junior University Germanium substrate-type materials and approach therefor
US7202124B2 (en) * 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
ATE384336T1 (en) * 2004-10-19 2008-02-15 Soitec Silicon On Insulator METHOD FOR PRODUCING A STRESSED SILICON LAYER ON A SUBSTRATE AND INTERMEDIATE PRODUCT
US7273800B2 (en) * 2004-11-01 2007-09-25 International Business Machines Corporation Hetero-integrated strained silicon n- and p-MOSFETs
KR100601976B1 (en) * 2004-12-08 2006-07-18 삼성전자주식회사 Strained silicon on insulator structure and the fabrication method of the same
US7488670B2 (en) * 2005-07-13 2009-02-10 Infineon Technologies Ag Direct channel stress
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US7655511B2 (en) * 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7656049B2 (en) 2005-12-22 2010-02-02 Micron Technology, Inc. CMOS device with asymmetric gate strain
US7863197B2 (en) 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7569499B2 (en) * 2006-03-28 2009-08-04 Texas Instruments Incorporated Semiconductor device made by multiple anneal of stress inducing layer
US7341902B2 (en) * 2006-04-21 2008-03-11 International Business Machines Corporation Finfet/trigate stress-memorization method
US7528056B2 (en) * 2007-01-12 2009-05-05 International Business Machines Corporation Low-cost strained SOI substrate for high-performance CMOS technology
US20100216295A1 (en) * 2009-02-24 2010-08-26 Alex Usenko Semiconductor on insulator made using improved defect healing process
CN101532179B (en) * 2009-02-27 2011-04-20 中国电子科技集团公司第四十八研究所 Method for manufacturing silicon wafer on insulator
US8536022B2 (en) * 2010-05-19 2013-09-17 Koninklijke Philips N.V. Method of growing composite substrate using a relaxed strained layer
US8486776B2 (en) * 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
US8536032B2 (en) 2011-06-08 2013-09-17 International Business Machines Corporation Formation of embedded stressor through ion implantation
US8617968B1 (en) 2012-06-18 2013-12-31 International Business Machines Corporation Strained silicon and strained silicon germanium on insulator metal oxide semiconductor field effect transistors (MOSFETs)
US9991399B2 (en) 2012-10-04 2018-06-05 Cree, Inc. Passivation structure for semiconductor devices
US9391198B2 (en) 2014-09-11 2016-07-12 Globalfoundries Inc. Strained semiconductor trampoline
KR102465536B1 (en) 2016-06-08 2022-11-14 삼성전자주식회사 Method of manufacturing semiconductor devices
FR3063571B1 (en) 2017-03-01 2021-04-30 Soitec Silicon On Insulator PROCESS FOR MANUFACTURING A DONOR SUBSTRATE FOR THE TRAINING OF OPTOELECTRONIC DEVICES, COLLECTION OF SUBSTRATES FROM THIS PROCESS

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4659392A (en) * 1985-03-21 1987-04-21 Hughes Aircraft Company Selective area double epitaxial process for fabricating silicon-on-insulator structures for use with MOS devices and integrated circuits
US4863877A (en) * 1987-11-13 1989-09-05 Kopin Corporation Ion implantation and annealing of compound semiconductor layers
CA2062134C (en) * 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH05259075A (en) * 1992-03-12 1993-10-08 Hitachi Ltd Manufacture of semiconductor device
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
AU6004101A (en) * 2000-04-24 2001-11-07 Beijing Normal University Method for fabricating silicon-on-insulator
DE10034942B4 (en) * 2000-07-12 2004-08-05 Infineon Technologies Ag Method for producing a semiconductor substrate with buried doping
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP3998408B2 (en) * 2000-09-29 2007-10-24 株式会社東芝 Semiconductor device and manufacturing method thereof
US6593641B1 (en) 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20030077882A1 (en) * 2001-07-26 2003-04-24 Taiwan Semiconductor Manfacturing Company Method of forming strained-silicon wafer for mobility-enhanced MOSFET device
US6793731B2 (en) * 2002-03-13 2004-09-21 Sharp Laboratories Of America, Inc. Method for recrystallizing an amorphized silicon germanium film overlying silicon
US6703293B2 (en) * 2002-07-11 2004-03-09 Sharp Laboratories Of America, Inc. Implantation at elevated temperatures for amorphization re-crystallization of Si1-xGex films on silicon substrates

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US20040132267A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Patterned strained silicon for high performance circuits
US20060030087A1 (en) * 2003-01-10 2006-02-09 S.O.I.Tec Silicon On Insulator Technologies, S.A. Compliant substrate for a heteroepitaxial structure and method for making same
US7615471B2 (en) * 2003-04-22 2009-11-10 Forschungszentrum Julich Gmbh Method for producing a tensioned layer on a substrate, and a layer structure
US20060220127A1 (en) * 2003-04-22 2006-10-05 Forschungszentrum Julich Gmbh Method for producing a tensioned layer on a substrate, and a layer structure
US20040235274A1 (en) * 2003-05-19 2004-11-25 Toshiba Ceramics Co., Ltd. Manufacturing method for a silicon substrate having strained layer
US7060597B2 (en) * 2003-05-19 2006-06-13 Toshiba Ceramics Co., Ltd. Manufacturing method for a silicon substrate having strained layer
US7026249B2 (en) * 2003-05-30 2006-04-11 International Business Machines Corporation SiGe lattice engineering using a combination of oxidation, thinning and epitaxial regrowth
US20040242006A1 (en) * 2003-05-30 2004-12-02 International Business Machines Corporation SiGe lattice engineering using a combination of oxidation, thinning and epitaxial regrowth
US20050070070A1 (en) * 2003-09-29 2005-03-31 International Business Machines Method of forming strained silicon on insulator
US7491612B2 (en) * 2003-12-23 2009-02-17 Infineon Technologies Ag Field effect transistor with a heterostructure and associated production method
US20110031530A1 (en) * 2003-12-23 2011-02-10 Infineon Technologies Ag Field effect transistor with a heterostructure
US8106424B2 (en) 2003-12-23 2012-01-31 Infineon Technologies Ag Field effect transistor with a heterostructure
US20070013002A1 (en) * 2003-12-23 2007-01-18 Klaus Schruefer Field effect transistor with a heterostructure and associated production method
US7804110B2 (en) 2003-12-23 2010-09-28 Infineon Technologies Ag Field effect transistor with a heterostructure
US20090121289A1 (en) * 2003-12-23 2009-05-14 Infineon Technologies Ag. Field effect transistor with a heterostructure and associated production method
US20060081837A1 (en) * 2004-07-02 2006-04-20 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7442993B2 (en) 2004-07-02 2008-10-28 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US20060001089A1 (en) * 2004-07-02 2006-01-05 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7468313B2 (en) 2006-05-30 2008-12-23 Freescale Semiconductor, Inc. Engineering strain in thick strained-SOI substrates
US20070281435A1 (en) * 2006-05-30 2007-12-06 Voon-Yew Thean Engineering strain in thick strained-soi substrates
US20070026650A1 (en) * 2006-06-09 2007-02-01 Xavier Hebras Method of limiting vacancy diffusion in a heterostructure
WO2009017997A1 (en) * 2007-07-30 2009-02-05 Intel Corporation Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
US9245943B2 (en) 2010-09-21 2016-01-26 Infineon Technologies Austria Ag Semiconductor body with strained monocrystalline region
US20120112242A1 (en) * 2010-09-21 2012-05-10 Infineon Technologies Austria Ag Semiconductor body with strained region
US8889531B2 (en) * 2010-09-21 2014-11-18 Infineon Technologies Austria Ag Semiconductor device having two monocrystalline semiconductor regions with a different lattice constant and a strained semiconductor region between
TWI476817B (en) * 2012-08-17 2015-03-11 Nat Univ Tsing Hua Self alignment and assembly fabrication method for multiple material layers
US8536028B1 (en) * 2012-08-17 2013-09-17 National Tsing Hua University Self alignment and assembly fabrication method for stacking multiple material layers
EP2782118A1 (en) * 2013-03-20 2014-09-24 STMicroelectronics (Crolles 2) SAS Method for forming a stressed silicon layer
FR3003686A1 (en) * 2013-03-20 2014-09-26 St Microelectronics Crolles 2 METHOD FOR FORMING A CONTRAINTED SILICON LAYER
US9460923B2 (en) 2013-03-20 2016-10-04 Stmicroelectronics (Crolles 2) Sas Method of forming a strained silicon layer
TWI509659B (en) * 2013-08-02 2015-11-21 Nat Univ Tsing Hua Self-alignment and horizon-assembly method for fabricating heterogeneous materials
US8846503B1 (en) * 2013-08-02 2014-09-30 National Tsing Hua University Self-aligned and lateral-assembly method for integrating heterogeneous material structures on the same plane
US20160351437A1 (en) * 2014-01-23 2016-12-01 Igor Peidous High resistivity soi wafers and a method of manufacturing thereof
US10079170B2 (en) * 2014-01-23 2018-09-18 Globalwafers Co., Ltd. High resistivity SOI wafers and a method of manufacturing thereof
TWI692060B (en) * 2014-01-23 2020-04-21 環球晶圓股份有限公司 High resistivity soi wafers and a method of manufacturing thereof
US20170309483A1 (en) * 2016-04-26 2017-10-26 Commissariat A L'energie Atomique Et Aux Energies Alternatives Manufacturing of silicon strained in tension on insulator by amorphisation then recrystallisation
US10115590B2 (en) * 2016-04-26 2018-10-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Manufacturing of silicon strained in tension on insulator by amorphisation then recrystallisation

Also Published As

Publication number Publication date
AU2003297627A8 (en) 2004-07-29
TW200625414A (en) 2006-07-16
IL169141A (en) 2010-04-29
AU2003297627A1 (en) 2004-07-29
ATE459098T1 (en) 2010-03-15
TW200425281A (en) 2004-11-16
DE60331473D1 (en) 2010-04-08
CN1726581A (en) 2006-01-25
WO2004061921A8 (en) 2004-11-25
JP2007521628A (en) 2007-08-02
EP1573791B1 (en) 2010-02-24
KR100773007B1 (en) 2007-11-05
KR20050083925A (en) 2005-08-26
TWI264061B (en) 2006-10-11
TWI283895B (en) 2007-07-11
JP4716733B2 (en) 2011-07-06
CN100470724C (en) 2009-03-18
WO2004061921A2 (en) 2004-07-22
EP1573791A2 (en) 2005-09-14
IL169141A0 (en) 2007-07-04
US6774015B1 (en) 2004-08-10
WO2004061921A3 (en) 2004-10-14

Similar Documents

Publication Publication Date Title
US6774015B1 (en) Strained silicon-on-insulator (SSOI) and method to form the same
JP4582487B2 (en) SiGe on insulator substrate material
KR100521708B1 (en) Method for fabricating semiconductor substrate
KR100690421B1 (en) Strained si based layer made by uhv-cvd, and devices therein
US6972247B2 (en) Method of fabricating strained Si SOI wafers
US7915148B2 (en) Method of producing a tensioned layer on a substrate
US7226504B2 (en) Method to form thick relaxed SiGe layer with trench structure
US6946373B2 (en) Relaxed, low-defect SGOI for strained Si CMOS applications
US7485539B2 (en) Strained semiconductor-on-insulator (sSOI) by a simox method
US20060131606A1 (en) Lattice-mismatched semiconductor structures employing seed layers and related fabrication methods
JP2004014856A (en) Method for manufacturing semiconductor substrate and semiconductor device
JP2005516395A (en) Strain-relieved SiGe-on-insulator and method for manufacturing the same
JP2006524426A5 (en)
JP2005260070A (en) Semiconductor wafer and method for manufacturing the same
Ghyselen et al. Strained Silicon on Insulator wafers made by the Smart Cut™ technology

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSNIESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COHEN, GUY M.;CHRISTIANSEN, SILKE H.;REEL/FRAME:013613/0187;SIGNING DATES FROM 20021217 TO 20021218

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 8

SULP Surcharge for late payment

Year of fee payment: 7

FPAY Fee payment

Year of fee payment: 12