US20040110391A1 - Atomic layer deposited Zr-Sn-Ti-O films - Google Patents

Atomic layer deposited Zr-Sn-Ti-O films Download PDF

Info

Publication number
US20040110391A1
US20040110391A1 US10/309,935 US30993502A US2004110391A1 US 20040110391 A1 US20040110391 A1 US 20040110391A1 US 30993502 A US30993502 A US 30993502A US 2004110391 A1 US2004110391 A1 US 2004110391A1
Authority
US
United States
Prior art keywords
atomic layer
dielectric film
dielectric
forming
layer deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/309,935
Other versions
US7101813B2 (en
Inventor
Kie Ahn
Leonard Forbes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FORBES, LEONARD, AHN, KIE Y.
Priority to US10/309,935 priority Critical patent/US7101813B2/en
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of US20040110391A1 publication Critical patent/US20040110391A1/en
Priority to US11/084,968 priority patent/US7611959B2/en
Publication of US7101813B2 publication Critical patent/US7101813B2/en
Application granted granted Critical
Priority to US12/609,897 priority patent/US8445952B2/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/49Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates containing also titanium oxides or titanates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3293Tin oxides, stannates or oxide forming salts thereof, e.g. indium tin oxide [ITO]
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/44Metal salt constituents or additives chosen for the nature of the anions, e.g. hydrides or acetylacetonate
    • C04B2235/441Alkoxides, e.g. methoxide, tert-butoxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/44Metal salt constituents or additives chosen for the nature of the anions, e.g. hydrides or acetylacetonate
    • C04B2235/444Halide containing anions, e.g. bromide, iodate, chlorite
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Definitions

  • the invention relates to semiconductor devices and device fabrication. Specifically, the invention relates to dielectric layers and their method of fabrication.
  • the semiconductor device industry has a market driven need to improve speed performance, improve its low static (off-state) power requirements, and adapt to a wide range of power supply and output voltage requirements for it silicon based microelectronic products.
  • transistors there is continuous pressure to reduce the size of devices such as transistors.
  • the ultimate goal is to fabricate increasingly smaller and more reliable integrated circuits (ICs) for use in products such as processor chips, mobile telephones, and memory devices such as dynamic random access memories (DRAMs).
  • ICs integrated circuits
  • DRAMs dynamic random access memories
  • the smaller devices are frequently powered by batteries, where there is also pressure to reduce the size of the batteries, and to extend the time between battery charges. This forces the industry to not only design smaller transistors, but to design them to operate reliably with lower power supplies.
  • FIG. 1 A common configuration of such a transistor is shown in FIG. 1. While the following discussion uses FIG. 1 to illustrate a transistor from the prior art, one skilled in the art will recognize that the present invention could be incorporated into the transistor shown in FIG. 1 to form a novel transistor according to the invention.
  • a transistor 100 is fabricated in a substrate 110 that is typically silicon, but could be fabricated from other semiconductor materials as well. Transistor 100 has a source region 120 and a drain region 130 .
  • a body region 132 is located between source region 120 and drain region 130 , where body region 132 defines a channel of the transistor with a channel length 134 .
  • a gate dielectric 140 is located on body region 132 with a gate 150 located over gate dielectric 140 .
  • gate dielectric 140 may be formed from materials other than oxides, gate dielectric 140 is typically an oxide, and is commonly referred to as a gate oxide.
  • Gate 150 may be fabricated from polycrystalline silicon (polysilicon), or other conducting materials such as metal may be used.
  • gate dielectric 140 In fabricating transistors to be smaller in size and reliably operate on lower power supplies, one important design criteria is gate dielectric 140 .
  • the mainstay for forming the gate dielectric has been silicon dioxide, SiO 2 .
  • a thermally grown amorphous SiO 2 layer provides an electrically and thermodynamically stable material, where the interface of the SiO 2 layer with underlying Si provides a high quality interface as well as superior electrical isolation properties.
  • use of SiO 2 on Si has provided defect charge densities on the order of 10 10 /cm 2 , midgap interface state densities of approximately 10 10 /cm 2 eV, and breakdown voltages in the range of 15 MV/cm. With such qualities, there would be no apparent need to use a material other than SiO 2 , but increased scaling and other requirements for gate dielectrics create the need to find other dielectric materials to be used for a gate dielectric.
  • a method of forming a dielectric film may include the formation of Zr—Sn—Ti—O by atomic layer deposition (ALD). Depositing titanium and oxygen onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, and depositing tin and oxygen onto the substrate surface by atomic layer deposition forms a Zr—Sn—Ti—O dielectric layer.
  • the Zr—Sn—Ti—O layer thickness is controlled by processing a total number of ALD cycles to produce the desired thickness.
  • a dielectric film containing Zr—Sn—Ti—O has a larger dielectric constant than silicon dioxide, a relatively small leakage current, and good stability with respect to a silicon based substrate.
  • Embodiments include methods for forming capacitors, transistors, memory devices, and electronic systems having dielectric layers containing atomic layer deposited Zr—Sn—Ti—O.
  • Other embodiments include structures for capacitors, transistors, memory devices, and electronic systems with dielectric films containing atomic layer deposited Zr—Sn—Ti—O Such dielectric films provide a significantly thinner equivalent oxide thickness compared with a silicon oxide layer having the same physical thickness. Alternatively, such dielectric films provide a significantly thicker physical thickness than a silicon oxide layer having the same equivalent oxide thickness.
  • FIG. 1 shows a common configuration of a transistor in which an embodiment of a gate dielectric containing atomic layer deposited Zr—Sn—Ti—O may be formed according to the teachings of the present invention.
  • FIG. 2A shows an embodiment of an atomic layer deposition system for processing a dielectric film containing Zr—Sn—Ti—O, according to the teachings of the present invention.
  • FIG. 2B shows an embodiment of a gas-distribution fixture of an atomic layer deposition system for processing a dielectric film containing Zr—Sn—Ti—O, according to the teachings of the present invention.
  • FIG. 3 illustrates a flow diagram of elements for an embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition, according to the teachings of the present invention.
  • FIG. 4 illustrates a flow diagram of elements for another embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition, according to the teachings of the present invention.
  • FIG. 5 shows an embodiment of a configuration of a transistor having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention.
  • FIG. 6 shows an embodiment of a personal computer incorporating devices having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention.
  • FIG. 7 illustrates a schematic view of an embodiment of a central processing unit incorporating devices having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention.
  • FIG. 8 illustrates a schematic view of an embodiment of a DRAM memory device having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention.
  • substrate is understood to include semiconductor wafers.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to include semiconductors, and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • the term “horizontal” as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate.
  • the term “vertical” refers to a direction perpendicular to the horizontal as defined above. Prepositions, such as “on”, “side” (as in “sidewall”), “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate.
  • a gate dielectric 140 of FIG. 1 when operating in a transistor, has both a physical gate dielectric thickness and an equivalent oxide thickness (t eq ).
  • the equivalent oxide thickness quantifies the electrical properties, such as capacitance, of a gate dielectric 140 in terms of a representative physical thickness.
  • t eq is defined as the thickness of a theoretical SiO 2 layer that would be required to have the same capacitance density as a given dielectric, ignoring leakage current and reliability considerations.
  • a SiO 2 layer of thickness, t, deposited on a Si surface as a gate dielectric will have a t eq larger than its thickness, t.
  • This t eq results from the capacitance in the surface channel on which the SiO 2 is deposited due to the formation of a depletion/inversion region.
  • This depletion/inversion region may result in t eq being from 3 to 6 Angstroms ( ⁇ ) larger than the SiO 2 thickness, t.
  • the gate dielectric equivalent oxide thickness to under 10 ⁇
  • the physical thickness requirement for a SiO 2 layer used for a gate dielectric would be need to be approximately 4 to 7 ⁇ .
  • SiO 2 layer Additional requirements on a SiO 2 layer would depend on the gate electrode used in conjunction with the SiO 2 gate dielectric. Using a conventional polysilicon gate would result in an additional increase in t eq for the SiO 2 layer. This additional thickness could be eliminated by using a metal gate electrode, though metal gates are not currently used in typical complementary metal-oxide-semiconductor field effect transistor (CMOS) technology. Thus, future devices would be designed towards a physical SiO 2 gate dielectric layer of about 5 ⁇ or less. Such a small thickness requirement for a SiO 2 oxide layer creates additional problems.
  • CMOS complementary metal-oxide-semiconductor field effect transistor
  • Silicon dioxide is used as a gate dielectric, in part, due to its electrical isolation properties in a SiO 2 —Si based structure. This electrical isolation is due to the relatively large band gap of SiO 2 (8.9 eV) making it a good insulator from electrical conduction. Signification reductions in its band gap would eliminate it as a material for a gate dielectric. As the thickness of a SiO 2 layer decreases, the number of atomic layers, or monolayers of the material in the thickness decreases. At a certain thickness, the number of monolayers will be sufficiently small that the SiO 2 layer will not have a complete arrangement of atoms as in a larger or bulk layer.
  • a thin SiO 2 layer of only one or two monolayers will not form a full band gap.
  • the lack of a full band gap in a SiO 2 gate dielectric could cause an effective short between an underlying Si channel and an overlying polysilicon gate.
  • This undesirable property sets a limit on the physical thickness to which a SiO 2 layer may be scaled.
  • the minimum thickness due to this monolayer effect is thought to be about 7-8 ⁇ . Therefore, for future devices to have a t eq less than about 10 ⁇ , dielectrics other than SiO 2 need to be considered for use as a gate dielectric.
  • materials with a dielectric constant greater than that of SiO 2 , 3.9 will have a physical thickness that may be considerably larger than a desired t eq , while providing the desired equivalent oxide thickness.
  • an alternate dielectric material with a dielectric constant of 10 could have a thickness of about 25.6 ⁇ to provide a t eq of 10 ⁇ , not including any depletion/inversion layer effects.
  • a reduced equivalent oxide thickness for transistors may be realized by using dielectric materials with higher dielectric constants than SiO 2 .
  • the thinner equivalent oxide thickness required for lower transistor operating voltages and smaller transistor dimensions may be realized by a significant number of materials, but additional fabricating requirements makes determining a suitable replacement for SiO 2 difficult.
  • the current view for the microelectronics industry is still for Si based devices. This requires that the gate dielectric employed be grown on a silicon substrate or silicon layer, which places significant restraints on the substitute dielectric material. During the formation of the dielectric on the silicon layer, there exists the possibility that a small layer of SiO 2 could be formed in addition to the desired dielectric. The result would effectively be a dielectric layer consisting of two sublayers in parallel with each other and the silicon layer on which the dielectric is formed.
  • the resulting capacitance would be that of two dielectrics in series.
  • the t eq of the dielectric layer would be the sum of the SiO 2 thickness and a multiplicative factor of the thickness of the dielectric being formed, written as
  • the t eq is again limited by a SiO 2 layer.
  • the t eq would be limited by the layer with the lowest dielectric constant.
  • the layer interfacing with the silicon layer must provide a high quality interface to maintain a high channel carrier mobility.
  • the dielectric constant of Al 2 O 3 is only 9, where thin layers may have a dielectric constant of about 8 to about 10. Though the dielectric constant of Al 2 O 3 is in an improvement over SiO 2 , a higher dielectric constant for a gate dielectric is desirable.
  • Other dielectrics and their properties discussed by Wilk include Dielectric Constant Band gap Material ( ⁇ ) E g (eV) Crystal Structure(s) SiO 2 3.9 8.9 Amorphous Si 3 N 4 7 5.1 Amorphous Al 2 O 3 9 8.7 Amorphous Y 2 O 3 15 5.6 Cubic La 2 O 3 30 4.3 Hexagonal, Cubic Ta 2 O 5 26 4.5 Orthorhombic TiO 2 80 3.5 Tetrag. (rutile, anatase) HfO 2 25 5.7 Mono., Tetrag., Cubic ZrO 2 25 7.8 Mono., Tetrag., Cubic
  • SiO 2 as a gate dielectric
  • Having an amorphous structure for a gate dielectric is advantageous because grain boundaries in polycrystalline gate dielectrics provide high leakage paths. Additionally, grain size and orientation changes throughout a polycrystalline gate dielectric may cause variations in the film's dielectric constant.
  • the abovementioned material properties including crystal structure are for the materials in a bulk form.
  • the materials having the advantage of a high dielectric constant relative to SiO 2 also have the disadvantage of a crystalline form, at least in a bulk configuration.
  • the best candidates for replacing SiO 2 as a gate dielectric are those with high dielectric constant, which may be fabricated as a thin layer with an amorphous form.
  • titanium oxide TiO 2
  • TiO 2 does not provide the electrical properties generally desired for integrated circuits, such as, high electric field breakdown and low leakage current.
  • Other possible replacements for amorphous SiO 2 include layers of TaO x , Ta 2 O 5 , TiO x , and (Ba, Sr)TiO 3 . Each of these replacements has advantages and disadvantages.
  • Additional candidates for replacing amorphous SiO 2 include sputter deposited amorphous Ti-rich Zr—Sn—Ti—O, pulsed laser deposited Zr 1-x Sn x TiO 4 , sputter deposited crystalline films of Zr y Ti 1-y O 4 and Zr y Sn x Ti 1-x-y O 4 with 0.3 ⁇ y ⁇ 0.7 and 0 ⁇ x ⁇ 0.2, and reactive sputtered Zr 0.2 Sn 0.2 Ti 0.6 O 2 .
  • the pulsed laser deposited Zr 1-x Sn x TiO 4 thin films were found to have a dielectric constant of about 36.
  • the sputtered deposited crystalline films of Zr y Ti 1-y O 4 and Zr y Sn x Ti 1-x-y O 4 with 0.3 ⁇ y ⁇ 0.7 and 0 ⁇ x ⁇ 0.2 were found to have dielectric constant of about 33 for 450 ⁇ thick films, while reactive sputtered amorphous Zr 0.2 Sn 0.2 Ti 0.6 O 2 thin films were found to have a dielectric constant ranging from about 50 to about 70. See, O. Nakagawara et al., Journal of Applied Physics , vol. 80, no. 1, pp. 388-392 (1998), E. S. Ramakrishnan et al., Journal of Electrochemical Society , vol. 145, no. 1, pp. 358-362 (1998), and R. B. Dover et al., IEEE Electron Device Letters , vol. 19, no. 9, pp. 329-331 (1998).
  • particles of the material to be deposited bombard the surface at a high energy. When a particle hits the surface, some particles adhere, and other particles cause damage. High energy impacts remove body region particles creating pits.
  • the surface of such a deposited layer may have a rough contour due to the rough interface at the body region.
  • a Zr—Sn—Ti—O dielectric film having a substantially smooth surface relative to other processing techniques may be formed using atomic layer deposition (ALD). Further, forming a dielectric film using atomic layer deposition may provide for controlling transitions between material layers. Thus, atomic layer deposited Zr—Sn— Ti—O dielectric films may have an engineered transition with a substrate surface that has a substantially reduced or no interfacial SiO 2 layer. Further, the ALD deposited Zr—Sn—Ti—O dielectric films may provide conformal coverage on the surfaces on which they are deposited.
  • ALD atomic layer deposition
  • ALD also known as atomic layer epitaxy (ALE)
  • ALE atomic layer epitaxy
  • CVD chemical vapor deposition
  • ALD gaseous precursors are introduced one at a time to the substrate surface mounted within a reaction chamber (or reactor). This introduction of the gaseous precursors takes the form of pulses of each gaseous precursor. Between the pulses, the reaction chamber is purged with a gas, which in many cases is an inert gas, or evacuated.
  • CS-ALD chemisorption-saturated ALD
  • the second pulsing phase introduces another precursor on the substrate where the growth reaction of the desired film takes place. Subsequent to the film growth reaction, reaction byproducts and precursor excess are purged from the reaction chamber.
  • precursor pulse times range from about 0.5 sec to about 2 to 3 seconds.
  • ALD In ALD, the saturation of all the reaction and purging phases makes the growth self-limiting. This self-limiting growth results in large area uniformity and conformality, which has important applications for such cases as planar substrates, deep trenches, and in the processing of porous silicon and high surface area silica and alumina powders. Significantly, ALD provides for controlling film thickness in a straightforward manner by controlling the number of growth cycles.
  • ALD was originally developed to manufacture luminescent and dielectric films needed in electroluminescent displays. Significant efforts have been made to apply ALD to the growth of doped zinc sulfide and alkaline earth metal sulfide films. Additionally, ALD has been studied for the growth of different epitaxial II-V and II-VI films, nonepitaxial crystalline or amorphous oxide and nitride films and multilayer structures of these. There also has been considerable interest towards the ALD growth of silicon and germanium films, but due to the difficult precursor chemistry, this has not been very successful.
  • the precursors used in an ALD process may be gaseous, liquid or solid. However, liquid or solid precursors must be volatile. The vapor pressure must be high enough for effective mass transportation. Also, solid and some liquid precursors need to be heated inside the reaction chamber and introduced through heated tubes to the substrates. The necessary vapor pressure must be reached at a temperature below the substrate temperature to avoid the condensation of the precursors on the substrate. Due to the self-limiting growth mechanisms of ALD, relatively low vapor pressure solid precursors may be used though evaporation rates may somewhat vary during the process because of changes in their surface area.
  • precursors used in ALD there are several other requirements for precursors used in ALD.
  • the precursors must be thermally stable at the substrate temperature because their decomposition would destroy the surface control and accordingly the advantages of the ALD method that relies on the reaction of the precursor at the substrate surface. A slight decomposition, if slow compared to the ALD growth, may be tolerated.
  • the precursors have to chemisorb on or react with the surface, though the interaction between the precursor and the surface as well as the mechanism for the adsorption is different for different precursors.
  • the molecules at the substrate surface must react aggressively with the second precursor to form the desired solid film. Additionally, precursors should not react with the film to cause etching, and precursors should not dissolve in the film. Using highly reactive precursors in ALD contrasts with the selection of precursors for conventional CVD.
  • the by-products in the reaction must be gaseous in order to allow their easy removal from the reaction chamber. Further, the by-products should not react or adsorb on the surface.
  • RS-ALD reaction sequence ALD
  • the self-limiting process sequence involves sequential surface chemical reactions.
  • RS-ALD relies on chemistry between a reactive surface and a reactive molecular precursor.
  • molecular precursors are pulsed into the ALD reaction chamber separately.
  • the metal precursor reaction at the substrate is typically followed by an inert gas pulse to remove excess precursor and by-products from the reaction chamber prior to pulsing the next precursor of the fabrication sequence.
  • RS-ALD films can be layered in equal metered sequences that are all identical in chemical kinetics, deposition per cycle, composition, and thickness.
  • RS-ALD sequences generally deposit less than a full layer per cycle.
  • a deposition or growth rate of about 0.25 to about 2.00 ⁇ per RS-ALD cycle may be realized.
  • RS-ALD The advantages of RS-ALD include continuity at an interface, conformality over a substrate, use of low temperature and mildly oxidizing processes, freedom from first wafer effects and chamber dependence, growth thickness dependent solely on the number of cycles performed, and ability to engineer multilayer laminate films with resolution of one to two monolayers.
  • RS-ALD allows for deposition control on the order on monolayers and the ability to deposit monolayers of amorphous films.
  • a sequence refers to the ALD material formation based on an ALD reaction of one precursor with its reactant precursor.
  • forming titanium oxide from a TiCl 4 precursor and H 2 O 2 forms an embodiment of a titanium/oxygen sequence, which may also be referred to as titanium sequence.
  • a cycle of a sequence may include pulsing a precursor, pulsing a purging gas for the precursor, pulsing a reactant precursor, and pulsing the reactant's purging gas.
  • an ALD cycle for forming a particular material may consist of several cycles, each of the several cycles associated with a different sequence.
  • a Zr—Sn—Ti—O cycle may include a titanium/oxygen sequence, a zirconium/oxygen sequence, and a tin/oxygen sequence.
  • a layer of Zr—Sn—Ti—O is formed on a substrate mounted in a reaction chamber using ALD in a repetitive sequence using precursor gases individually pulsed into the reaction chamber.
  • solid or liquid precursors may be used in an appropriately designed reaction chamber.
  • ALD formation of other materials is disclosed in co-pending, commonly assigned U.S. patent application: entitled “Atomic Layer Deposition and Conversion,” attorney docket no. 303.802US1, Ser. No. 10/137,058, and “Methods, Systems, and Apparatus for Atomic-Layer Deposition of Aluminum Oxides in Integrated Circuits,” attorney docket no. 1303.048US1, Ser. No. 10/137,168.
  • FIG. 2A shows an embodiment of an atomic layer deposition system 200 for processing a dielectric film containing Zr—Sn—Ti—O.
  • the elements depicted are those elements necessary for discussion of the present invention such that those skilled in the art may practice the present invention without undue experimentation.
  • a further discussion of the ALD reaction chamber can be found in co-pending, commonly assigned U.S. patent application: entitled “Methods, Systems, and Apparatus for Uniform Chemical-Vapor Depositions,” attorney docket no. 303.717US1, Ser. No. 09/797,324, incorporated herein by reference.
  • a substrate 210 is located inside a reaction chamber 220 of ALD system 200 . Also located within reaction chamber 220 is a heating element 230 , which is thermally coupled to substrate 210 to control the substrate temperature.
  • a gas-distribution fixture 240 introduces precursor gases to the substrate 210 .
  • Each precursor gas originates from individual gas sources 251 - 254 whose flow is controlled by mass-flow controllers 256 - 259 , respectively.
  • Gas sources 251 - 254 provide a precursor gas either by storing the precursor as a gas or by providing a location and apparatus for evaporating a solid or liquid material to form the selected precursor gas.
  • additional gas sources may be included, one for each metal precursor employed and one for each reactant precursor associated with each metal precursor.
  • purging gas sources 261 , 262 are also included in the ALD system, each of which is coupled to mass-flow controllers 266 , 267 , respectively. Furthermore, additional purging gas sources may be constructed in ALD system 200 , one purging gas source for each precursor gas. For a process that uses the same purging gas for multiple precursor gases less purging gas sources are required for ALD system 200 .
  • Gas sources 251 - 254 and purging gas sources 261 - 262 are coupled by their associated mass-flow controllers to a common gas line or conduit 270 , which is coupled to the gas-distribution fixture 240 inside reaction chamber 220 .
  • Gas conduit 270 is also coupled to vacuum pump, or exhaust pump, 281 by mass-flow controller 286 to remove excess precursor gases, purging gases, and by-product gases at the end of a purging sequence from the gas conduit.
  • Vacuum pump, or exhaust pump, 282 is coupled by mass-flow controller 287 to remove excess precursor gases, purging gases, and by-product gases at the end of a purging sequence from reaction chamber 220 .
  • mass-flow controller 287 to remove excess precursor gases, purging gases, and by-product gases at the end of a purging sequence from reaction chamber 220 .
  • control displays, mounting apparatus, temperature sensing devices, substrate maneuvering apparatus, and necessary electrical connections as are known to those skilled in the art are not shown in FIG. 2A.
  • FIG. 2B shows an embodiment of a gas-distribution fixture 240 of atomic layer deposition system 200 for processing a dielectric film containing Zr—Sn—Ti—O.
  • Gas-distribution fixture 240 includes a gas-distribution member 242 , and a gas inlet 244 .
  • Gas inlet 244 couples gas-distribution member 242 to gas conduit 270 of FIG. 2A.
  • Gas-distribution member 242 includes gas-distribution holes, or orifices, 246 and gas-distribution channels 248 .
  • holes 246 are substantially circular with a common diameter in the range of 15-20 microns
  • gas-distribution channels 248 have a common width in the range of 20-45 microns.
  • the surface 249 of gas distribution member 242 having gas-distribution holes 246 is substantially planar and parallel to substrate 210 of FIG. 2A.
  • other embodiments use other surface forms as well as shapes and sizes of holes and channels.
  • the distribution and size of holes may also affect deposition thickness and thus might be used to assist thickness control.
  • Holes 246 are coupled through gas-distribution channels 248 to gas inlet 244 .
  • ALD system 200 is well suited for practicing the present invention, other ALD systems commercially available may be used.
  • reaction chambers for deposition of films are understood by those of ordinary skill in the art of semiconductor fabrication.
  • the present invention may be practiced on a variety of such reaction chambers without undue experimentation.
  • one of ordinary skill in the art will comprehend the necessary detection, measurement, and control techniques in the art of semiconductor fabrication upon reading the disclosure.
  • the elements of ALD system 200 may be controlled by a computer. To focus on the use of ALD system 200 in the various embodiments of the present invention, the computer is not shown. Those skilled in the art can appreciate that the individual elements such as pressure control, temperature control, and gas flow within ALD system 200 may be under computer control.
  • a computer to accurately control the integrated functioning of the elements of ALD system 200 to form a dielectric film containing Zr—Sn—Ti—O executes instructions stored in a computer readable medium.
  • a method of forming a dielectric film may include forming a Zr—Sn—Ti—O film on a substrate surface by atomic layer deposition. In another embodiment, the method may further include controlling the atomic layer deposition to form the dielectric film as an amorphous Ti-rich Zr—Sn—Ti—O film.
  • a Ti-rich Zr—Sn—Ti—O film is a Zr—Sn—Ti—O film in which Ti is present as 50% or more of the total metal atoms in the Zr—Sn—Ti—O.
  • the method may further include controlling the atomic layer deposition to form the dielectric film having a composition substantially of Zr y Sn x Ti 1-x-y O 4 with 0.3 ⁇ y ⁇ 0.7 and 0 ⁇ x ⁇ 0.2.
  • the method may further include controlling the atomic layer deposition to form the dielectric film having a composition substantially of Zr 0.2 Sn 0.2 Ti 0.6 O 2 .
  • each of a titanium sequence, a zirconium sequence, and a tin sequence may include using precursors that form would metal oxides for each metal sequence.
  • FIG. 3 illustrates a flow diagram of elements for an embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition.
  • This embodiment for forming a Zr—Sn—Ti—O dielectric film by atomic layer deposition may include depositing titanium and oxygen onto a substrate surface by atomic layer deposition, at block 310 , depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, at block 320 , and depositing tin and oxygen onto the substrate surface by atomic layer deposition, at block 330 .
  • performing a titanium sequence, a zirconium sequence, and a tin sequence constitutes one cycle.
  • the substrate surface becomes the original substrate surface with a layer of Zr—Sn—Ti—O formed on it.
  • the thickness of the Zr—Sn—Ti—O varies with the number of cycles performed.
  • the substrate surface is the substrate surface of the previous cycle with additional material formed corresponding to the completed sequences within the given cycle.
  • depositing titanium and oxygen onto a substrate surface may include forming TiO 2 onto the substrate surface by atomic layer deposition. Subsequent ALD processing of a zirconium sequence and a tin sequence forms a dielectric film containing Zr—Sn—Ti—O.
  • forming a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition may include pulsing a TiCl 4 precursor, pulsing a ZrCl 4 precursor, pulsing a SnCl 4 precursor, and pulsing a water vapor precursor. Each pulsing delivers the associated precursor onto the substrate surface, where the substrate surface includes the previous precursor chemisorbed or reacted.
  • Performing each atomic layer deposition includes pulsing a plurality of precursors into a reaction chamber for a predetermined period.
  • the predetermined period is individually controlled for each precursor pulsed into the reaction chamber.
  • the substrate is maintained at a selected temperature for each pulsing of a precursor, where the selected temperature is set independently for pulsing each precursor.
  • each precursor may be pulsed into the reaction under separate environmental conditions. Appropriate temperatures and pressures are maintained dependent on the nature of the precursor, whether the precursor is a single precursor or a mixture of precursors.
  • the pulsing of the precursor gases is separated by purging the reaction chamber with a purging gas following each pulsing of a precursor.
  • nitrogen gas is used as the purging gas following the pulsing of each precursor used in a cycle to form a layer of Zr—Sn—Ti—O.
  • the reaction chamber may also be purged by evacuating the reaction chamber.
  • FIG. 4 illustrates a flow diagram of elements for another embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition. This embodiment may be implemented with the atomic layer deposition system 200 of FIGS. 2A,B.
  • substrate 210 is prepared.
  • the substrate used for forming a transistor is typically a silicon or silicon containing material.
  • germanium, gallium arsenide, silicon-on-sapphire substrates, or other suitable substrates may be used.
  • This preparation process may include cleaning of substrate 210 and forming layers and regions of the substrate, such as drains and sources of a metal oxide semiconductor (MOS) transistor, prior to forming a gate dielectric.
  • MOS metal oxide semiconductor
  • the sequencing of the formation of the regions of the transistor being processed follows typical sequencing that is generally performed in the fabrication of a MOS transistor as is well known to those skilled in the art.
  • the unmasked region may include a body region of a transistor, however one skilled in the art will recognize that other semiconductor device structures may utilize this process.
  • substrate 210 in its ready for processing form is conveyed into a position in reaction chamber 220 for ALD processing.
  • a titanium containing precursor is pulsed into reaction chamber 220 .
  • TiCl 4 is used as a precursor.
  • the TiCl 4 precursor is pulsed into reaction chamber 220 through the gas-distribution fixture 240 onto substrate 210 .
  • Mass-flow controller 256 regulates the flow of the TiCl 4 from gas source 251 , where the TiCl 4 is about 99.9% pure with an evaporation temperature of about 8° C.
  • the substrate temperature is maintained between about 120° C. and about 365° C.
  • the TiCl 4 reacts with the surface of the substrate 210 in the desired region defined by the unmasked areas of the substrate 210 .
  • a titanium containing precursor is selected from a group consisting of Ti(OC 2 H 5 ) 4 , and Ti(OC 3 H 7 ) 4 .
  • a first purging gas is pulsed into reaction chamber 220 .
  • nitrogen with a purity of about 99.999% is used as a purging gas and a carrier gas at a flow rate of about 80 sccm and a pressure of about 10 mbar.
  • Mass-flow controller 266 regulates the nitrogen flow from the purging gas source 261 into the gas conduit 270 . Using the pure nitrogen purge avoids overlap of the precursor pulses and possible gas phase reactions.
  • a first oxygen containing precursor is pulsed into reaction chamber 220 , at block 420 .
  • water vapor is selected as the precursor acting as a reactant to form Ti and 0 on the substrate 210 .
  • H 2 O 2 may be used as the oxygen containing precursor.
  • Mass-flow controller 257 regulates the water vapor pulsing into reaction chamber 220 through gas conduit 270 from gas source 252 where the water vapor is held at about 10° C. The water vapor aggressively reacts at the surface of substrate 210 .
  • a second purging gas is injected into reaction chamber 220 , at block 425 .
  • Nitrogen gas is used to purge the reaction chamber after pulsing each precursor gas in the titanium/oxygen sequence. Excess precursor gas, and reaction by-products are removed from the system by the purge gas in conjunction with the exhausting of reaction chamber 220 using vacuum pump 282 through mass-flow controller 287 , and exhausting of the gas conduit 270 by the vacuum pump 281 through mass-flow controller 286 .
  • the substrate is held between about 120° C. and about 365° C. by the heating element 230 .
  • the TiCl 4 pulse time may range from about 0.2 sec to about 2 sec.
  • the titanium sequence continues with a purge pulse followed by a water vapor pulse followed by a purge pulse.
  • the water vapor pulse time may range from about 0.2 sec to about 2 sec, and the first and second purging pulse times are each at about 5 secs and 10 sees, respectively.
  • the titanium/oxygen sequence may include a 0.2 sec TiCl 4 pulse, a 5 sec nitrogen pulse, a 0.2 sec water vapor pulse, and a 10 sec nitrogen pulse.
  • a zirconium containing precursor is pulsed into reaction chamber 220 .
  • ZrCl 4 is used as the zirconium containing precursor.
  • the ZrCl 4 precursor having a purity of about 99.9% is evaporated from a containment area held at about 165° C. in gas source 253 .
  • Mass-flow controller 258 regulates the pulsing of the ZrCl 4 precursor to the surface of the substrate 210 through gas-distribution fixture 240 from gas source 253 .
  • the substrate temperature is maintained between about 300° C. and about 500° C.
  • a third purging gas is introduced into the system.
  • Nitrogen gas may also be used as a purging and carrier gas.
  • the nitrogen flow is controlled by mass-flow controller 267 from the purging gas source 262 into the gas conduit 270 and subsequently into reaction chamber 220 .
  • argon gas may be used as the purging gas.
  • a second oxygen containing precursor is pulsed into reaction chamber 220 , at block 440 .
  • the second oxygen containing precursor is water vapor.
  • Mass-flow controller 257 regulates the water vapor pulsing into reaction chamber 220 through gas conduit 270 from gas source 252 . The water vapor aggressively reacts at the surface of substrate 210 .
  • a fourth purging gas is injected into reaction chamber 220 , at block 445 .
  • Nitrogen gas may be used to purge the reaction chamber after pulsing each precursor gas in the zirconium/oxygen sequence.
  • argon gas may be used as the purging gas. Excess precursor gas, and reaction by-products are removed from the system by the purge gas in conjunction with the exhausting of reaction chamber 220 using vacuum pump 282 through mass-flow controller 287 , and exhausting of the gas conduit 270 by the vacuum pump 281 through mass-flow controller 286 .
  • a tin containing precursor is pulsed into reaction chamber 220 .
  • SnCl 4 is used as the tin containing precursor.
  • the SnCl 4 precursor having a purity of about 99.9% is pulsed from gas source 254 that is held at about 8° C.
  • the SnCl 4 is held in gas source 254 at a temperature ranging from about ⁇ 1° C. to about 22° C.
  • Mass-flow controller 259 regulates the pulsing of the SnCl 4 precursor to the surface of substrate 210 through gas-distribution fixture 240 from gas source 254 .
  • the substrate temperature is maintained between about 430° C. and about 545° C.
  • a fifth purging gas is introduced into the system.
  • Pure nitrogen gas may also be used as a purging and carrier gas.
  • the nitrogen flow is controlled by mass-flow controller 267 from the purging gas source 262 into the gas conduit 270 and subsequently into reaction chamber 220 .
  • a third oxygen containing precursor is pulsed into reaction chamber 220 , at block 460 .
  • the third oxygen containing precursor is water vapor.
  • the water vapor is raised to about 24° C. in gas source 252 .
  • Mass-flow controller 257 regulates the water vapor pulsing into reaction chamber 220 through gas conduit 270 from gas source 252 .
  • the water vapor aggressively reacts at the surface of substrate 210 .
  • a sixth purging gas is injected into reaction chamber 220 , at block 465 .
  • Pure nitrogen gas may be used to purge the reaction chamber after pulsing each precursor gas in the tin/oxygen sequence.
  • argon gas may be used as the purging gas. Excess precursor gas, and reaction by-products are removed from the system by the purge gas in conjunction with the exhausting of reaction chamber 220 using vacuum pump 282 through mass-flow controller 287 , and exhausting of the gas conduit 270 by the vacuum pump 281 through mass-flow controller 286 .
  • the substrate is held between about 430° C. and about 545° C. by the heating element 230 . Alternately, the substrate is held at a temperature in the range of about 300° C. to about 600° C. at a pressure of about 2 mbar.
  • the SnCl 4 pulse time ranges from about 0.2 sec to about 10 sec.
  • the tin sequence continues with a purge pulse followed by a water vapor pulse followed by a purge pulse.
  • the water vapor pulse time may range from about 0.6 sees to about 30 secs, and the SnCl 4 and the water vapor purging pulse times are each between about 3 secs and 90 secs.
  • the predetermined number corresponds to a predetermined thickness for the ALD Zr—Sn—Ti—O dielectric film. If the number of completed cycles is less than the predetermined number, the titanium containing precursor is pulsed into reaction chamber 220 , at block 410 , and the process continues. If the total number of cycles to form the desired thickness has been completed, the dielectric film containing Zr—Sn—Ti—O may be annealed. To avoid the diffusion of oxygen to the semiconductor substrate surface, any annealing may be performed in an oxygen-free environment for short periods of time.
  • An embodiment of an annealing environment may include a nitrogen atmosphere.
  • the relatively low temperatures employed by atomic layer deposition of a Zr—Sn—Ti—O dielectric layer allows for the formation of an amorphous Zr—Sn—Ti—O dielectric layer.
  • the thickness of a Zr—Sn—Ti—O film is determined by a fixed growth rate for the pulsing periods and precursors used, set at a value such as N nm/cycle.
  • t For a desired Zr—Sn—Ti—O film thickness, t, in an application such as forming a gate dielectric of a MOS transistor, the ALD process is repeated for t/N total cycles.
  • processing the device having the dielectric layer containing Zr—Sn—Ti—O is completed.
  • completing the device may include completing the formation of a transistor.
  • completing the device may include completing the formation of a capacitor.
  • completing the process may include completing the construction of a memory device having a array with access transistors formed with gate dielectrics containing atomic layer deposited Zr—Sn—Ti—O.
  • completing the process may include the formation of an electronic system including an information handling device that uses electronic devices with transistors formed with dielectric films containing atomic layer deposited Zr—Sn—Ti—O.
  • information handling devices such as computers include many memory devices, having many access transistors.
  • the elements of a method for forming an atomic layer deposited Zr—Sn—Ti—O film in the embodiment of FIG. 4 may be performed under various other environmental conditions and pulse periods depending on the Zr—Sn—Ti—O film to be formed for a given application and the system used to fabricate the Zr—Sn—Ti—O film. Determination of the environmental conditions, precursors used, purging gases employed, and pulse periods for the precursors and purging gases may be made without undue experimentation.
  • the elements of a method for forming an atomic layer deposited Zr—Sn—Ti—O film in the embodiment of FIG. 4 may be performed with various permutations of the three sequences used to form the Zr—Sn—Ti—O dielectric film.
  • the zirconium/oxygen sequence is performed first.
  • the tin/oxygen sequence is performed first.
  • any one sequence may be performed multiple times with respect to the other sequences.
  • a Zr—Sn—Ti—O cycle may include three titanium/oxygen sequences, one zirconium/oxygen sequence, and one tin/oxygen sequence.
  • a number of cycles for a titanium/oxygen sequence is performed along with a number of cycles for a zirconium/oxygen sequence and a number of cycles for a tin/oxygen sequence such that a Zr—Sn—Ti—O layer is formed having a composition as a solid solution of TiO 2 —ZrO 2 —SnO 2 .
  • a solid solution of TiO x —ZrO x —SnO x is formed as a dielectric film.
  • ALD processing of a Zr—Sn—Ti—O layer provides for engineering of the composition of the Zr—Sn—Ti—O dielectric film.
  • ALD processing of a Zr—Sn—Ti—O dielectric layer may include pulsing metal halides as precursors for each metal in the Zr—Sn—Ti—O layer. Additionally, water vapor may be used as the oxygen containing precursor for each sequence in an ALD cycle for forming a Zr—Sn—Ti—O layer. Other oxygen containing precursors may include H 2 O 2 or a H 2 O—H 2 O 2 mixture. Alternately, other metal containing precursors and oxygen containing precursors may be used in the ALD formation of a Zr—Sn—Ti—O layer. These alternate metal containing precursors should chemisorb or react with the substrate surface without causing the resulting layer to form SiO 2 upon reaction with the oxygen containing precursors.
  • ALD processing provides a method for controlling the formation of the dielectric film such that the dielectric film is an amorphous Ti-rich Zr—Sn—Ti—O film.
  • ALD processing may include controlling the atomic layer deposition to form the Zr—Sn—Ti—O dielectric film having a composition substantially of Zr y Sn x Ti 1-x-y O 4 with 0.3 ⁇ y ⁇ 0.7 and 0 ⁇ x ⁇ 0.2.
  • ALD processing may include controlling the atomic layer deposition to form the Zr—Sn—Ti—O dielectric film having a composition substantially of Zr 0.2 Sn 0.2 Ti 0.6 O 2 .
  • ALD processing provides for the engineering of a dielectric film containing Zr—Sn—Ti—O having a dielectric constant in the range from about 33 to about 70, or alternately from about 50 to about 70. In another embodiment, ALD processing provides for the engineering of a dielectric film containing Zr—Sn—Ti—O having a dielectric constant in the range from about 33 to about 37.
  • Atomic layer deposition of a Zr—Sn—Ti—O dielectric layer may be processed in an atomic layer deposition system such as ALD system 200 under computer control to perform various embodiments, in accordance with the teachings of the current invention, and operated under computer-executable instructions to perform these embodiments.
  • a computerized method and the computer-executable instructions for a method for forming a dielectric film may include forming a Zr—Sn—Ti—O dielectric film by atomic layer deposition.
  • a computerized method and the computer-executable instructions for a method for forming a dielectric film may include depositing titanium and oxygen onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, and depositing tin and oxygen onto the substrate surface by atomic layer deposition.
  • a computerized method and the computer-executable instructions for a method for forming a dielectric film may further include forming the Zr—Sn—Ti—O dielectric film by atomic layer deposition, where a plurality of precursors are pulsed into a reaction chamber for a predetermined period. The predetermined period is individually controlled for each precursor pulsed into the reaction chamber. Further, the substrate may be maintained at a selected temperature for each pulsing of a precursor, where the selected temperature is set independently for pulsing each precursor. In addition, each pulsing of a precursor is followed by purging the reaction chamber with a purging gas.
  • a computerized method and the computer-executable instructions for a method for forming a dielectric film may further include regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 33 to about 70, or alternately from about 50 to about 70. Further, the computerized method and the computer-executable instructions may include regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 33 to about 37.
  • a computerized method and the computer-executable instructions for a method for forming a dielectric film may include forming TiO 2 onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, and depositing tin and oxygen onto the substrate surface by atomic layer deposition. Further, depositing TiO 2 onto a substrate surface by atomic layer deposition may include pulsing a TiCl 4 precursor.
  • a computerized method and the computer-executable instructions for a method for forming a dielectric film may further include controlling an environment of a reaction chamber. Additionally, the computerized method controls the pulsing of purging gases, one for each precursor gas and pulsing each purging gas after pulsing the associated precursor gas.
  • Using a computer to control parameters for growing the dielectric film provides for processing the dielectric film over a wide range of parameters allowing for the determination of an optimum parameter set for the ALD system used.
  • the computer-executable instructions may be provided in any computer-readable medium. Such computer-readable medium may include, but is not limited to, floppy disks, diskettes, hard disks, CD-ROMS, flash ROMS, nonvolatile ROM, and RAM.
  • An embodiment of this method may be realized using ALD system 200 of FIG. 2A, where the controls for the individual elements of ALD system 200 are coupled to a computer, not shown in FIG. 2A.
  • the computer provides control of the operation for processing a Zr—Sn—Ti—O dielectric layer by regulating the flow of precursor gases into reaction chamber 220 .
  • the computer may control the flow rate of precursor gases and the pulsing periods for these gases by controlling mass-flow controllers 256 - 259 . Additionally, the computer may control the temperature of gas sources 251 - 254 . Further, the pulse period and flow of purging gases from purging gas sources 261 , 262 may be regulated through computer control of mass-flow controllers 266 , 267 , respectively.
  • the computer may also regulate the environment of reactor chamber 220 in which a dielectric film is being formed on substrate 210 .
  • the computer regulates the pressure in reaction chamber 220 within a predetermined pressure range by controlling vacuum pumps 281 , 282 through mass-flow controllers 286 , 287 , respectively.
  • the computer also regulates the temperature range for substrate 210 within a predetermined range by controlling heater 230 .
  • FIG. 2A For convenience, the individual control lines to elements of ALD 200 , as well as a computer, are not shown in FIG. 2A.
  • the above description of the computer control in conjunction with FIG. 2A provides information for those skilled in the art to practice embodiments for forming a dielectric layer containing Zr—Sn—Ti—O using a computerized method as described herein.
  • the embodiments described herein provide a process for growing a dielectric film having a wide range of useful equivalent oxide thickness, t eq , associated with a dielectric constant in the range from about 33 to about 70.
  • t eq useful equivalent oxide thickness
  • the dielectric film composition approaches that of TiO x , where TiO 2 has a dielectric constant of about 80, and a relatively low breakdown electric field.
  • the dielectric film composition approaches that of ZrO x , where ZrO 2 has a dielectric constant of about 25, and a relatively higher breakdown electric field.
  • tin in the Zr—Sn—Ti—O layer aids in the production of a dielectric layer with increased electric field breakdown and reduced leakage current.
  • ALD processing of amorphous Ti-rich Zr—Sn—Ti—O dielectric films allows for selecting a dielectric film with a composition having good electric field breakdown and leakage current properties while maintaining a relatively high dielectric constant.
  • a 40-50 nm thick film of Zr 0.2 Sn 0.2 Ti 0.6 O 2 can have a dielectric constant in the range of about 50 to about 70 with a breakdown electric field about 3 to about 5 MV/cm and a leakage current in the range of about 10 ⁇ 9 to about 10 ⁇ 7 A/cm 2 at 1.0 MV/cm.
  • the relatively large dielectric constant for material layers of Zr—Sn—Ti—O allows for the engineering of dielectric films having a physical thickness in the 100 nm (1000 ⁇ ) range, while achieving a t eq of less than 120 ⁇ . From above, it is apparent that a film containing Zr—Sn—Ti—O may be attained with a t eq ranging from about 2.5 ⁇ to about 6 ⁇ . Further, an atomic layer deposited Zr—Sn—Ti—O film may provide a t eq significantly less than 2 or 3 ⁇ , even less than 1.5 ⁇ .
  • Attainment of a t eq in the monolayer thickness range requires that an interfacial layer between a semiconductor substrate surface and the Zr—Sn—Ti—O dielectric layer be exceptionally small or composed of a material having a dielectric constant approaching that of the Zr—Sn—Ti—O value.
  • the formation of a SiO 2 interfacial layer should be avoided.
  • the preparation of the semiconductor substrate surface prior to the first pulse of the first sequence of the ALD process should include removing any SiO 2 layer that may exist and preventing the formation of a SiO 2 prior to the beginning of the ALD process. During, the ALD process, selection of appropriate precursors may prevent the formation of a SiO 2 layer.
  • the deposition of the first precursor, typically a non-oxygen containing precursor in various embodiments, on the semiconductor surface should be uniform across the substrate surface. This uniform distribution may aid in avoiding a reaction of the second precursor, an oxygen containing precursor, with the substrate surface rather than with the first precursor.
  • any micro-roughness associated with thin films of Zr—Sn—Ti—O may be due to partial monolayer formation of the dielectric layer across the substrate surface. With some areas of the dielectric layer forming a monolayer in two or three cycles, while another area or region of the layer forms a monolayer in one or two cycles, the surface of the Zr—Sn—Ti—O dielectric layer may exhibit some micro-roughness. Uniform distribution across the substrate surface of each precursor in a sequence may help to alleviate the occurrence of such micro-roughness of the dielectric layer.
  • dielectric films of Zr—Sn—Ti—O formed by atomic layer deposition may provide not only ultra thin t eq films, but also films with relatively low leakage current.
  • ALD processing provides for dielectric films that provide conformal layering onto selected substrate surfaces.
  • novel processes described above for performing atomic layer deposition of Zr—Sn—Ti—O may precisely control the thickness of the dielectric layer formed, where, in addition to providing an ultra thin t eq , the atomic layer deposition process provides for relatively smooth surfaces and limited interfacial layer formation.
  • these embodiments for ALD processing of Zr—Sn—Ti—O dielectric films may be implemented to form transistors, capacitors, memory devices, and other electronic systems including information handling devices. With careful preparation and engineering of the Zr—Sn—Ti—O layer, limiting the size of interfacial regions, a teq of about 5 ⁇ to about 3 ⁇ or lower for these devices is anticipated.
  • a dielectric layer may include a film containing atomic layer deposited Zr—Sn—Ti—O.
  • the film contains an amorphous Ti— rich Zr—Sn—Ti—O film.
  • the film may include Zr—Sn—Ti—O having a composition substantially of Zr y Sn x Ti 1-x-y O 4 with 0.3 ⁇ y ⁇ 0.7 and 0 ⁇ x ⁇ 0.2.
  • the film may include Zr—Sn—Ti—O having a composition substantially of Zr 0.2 Sn 0.2 Ti 0.6 O 2 .
  • Such a dielectric layer may have applications in a wide variety of electronic systems. With a relatively high dielectric constant, a dielectric layer including a film containing atomic layer deposited Zr—Sn—Ti—O may be used in electro-optic devices, microwave devices, transistors, memories, information handling devices, and other electronic systems.
  • a transistor 100 as depicted in FIG. 1 may be formed by forming a source region 120 and a drain region 130 in a silicon based substrate 110 where source and drain regions 120 , 130 are separated by a body region 132 .
  • Body region 132 defines a channel having a channel length 134 .
  • a dielectric film is disposed on substrate 110 formed as a layer containing Zr—Sn—Ti—O on substrate 110 by atomic layer deposition. The resulting Zr—Sn—Ti—O dielectric layer forms gate dielectric 140 .
  • a gate 150 is formed over gate dielectric 140 .
  • forming gate 150 may include forming a polysilicon layer, though a metal gate may be formed in an alternative process. Forming the substrate, the source and drain regions, and the gate is performed using standard processes known to those skilled in the art. Additionally, the sequencing of the various elements of the process for forming a transistor is conducted with standard fabrication processes, also as known to those skilled in the art.
  • FIG. 5 shows an embodiment of a configuration of a transistor 500 having an atomic layer deposited Zr—Sn—Ti—O dielectric film.
  • Transistor 500 may include a silicon based substrate 510 with a source 520 and a drain 530 separated by a body region 532 .
  • Body region 532 between source 520 and drain 530 defines a channel region having a channel length 534 .
  • a stack 555 including a gate dielectric 540 , a floating gate 552 , a floating gate dielectric 542 , and a control gate 550 .
  • Gate dielectric 540 may be formed containing atomic layer deposited Zr—Sn—Ti—O as described above with the remaining elements of the transistor 500 formed using processes known to those skilled in the art. Alternately, both gate dielectric 540 and floating gate dielectric 542 may be formed as dielectric layers containing Zr—Sn—Ti—O in various embodiments as described herein.
  • a method may include forming a first conductive layer, forming a dielectric film containing Zr—Sn— Ti—O on the first conductive layer by atomic layer deposition, and forming a second conductive layer on the dielectric film.
  • ALD formation of the Zr—Sn—Ti—O dielectric film allows the dielectric film to be engineered within a predetermined composition providing a desired dielectric constant.
  • forming a conductive layer on a substrate, forming a dielectric film containing Zr—Sn—Ti—O using any of the embodiments described herein, and forming another conductive layer on the dielectric film can construct a capacitor.
  • Transistors, capacitors, and other devices having dielectric films containing atomic layer deposited Zr—Sn—Ti—O formed by the methods described above may be implemented into memory devices and electronic systems including information handling devices.
  • Such information devices may include wireless systems, telecommunication systems, and computers.
  • An embodiment of a computer having a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O is shown in FIGS. 6 - 8 and described below. While specific types of memory devices and computing devices are shown below, it will be recognized by one skilled in the art that several types of memory devices and electronic systems including information handling devices utilize the invention.
  • a personal computer may include a monitor 600 , keyboard input 602 and a central processing unit 604 .
  • Central processor unit 604 typically may include microprocessor 706 , memory bus circuit 708 having a plurality of memory slots 712 ( a - n ), and other peripheral circuitry 710 .
  • Peripheral circuitry 710 permits various peripheral devices 724 to interface processor-memory bus 720 over input/output (I/O) bus 722 .
  • the personal computer shown in FIGS. 6 and 7 also may include at least one transistor having a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O according an embodiment of the present invention.
  • Microprocessor 706 produces control and address signals to control the exchange of data between memory bus circuit 708 and microprocessor 706 and between memory bus circuit 708 and peripheral circuitry 710 . This exchange of data is accomplished over high speed memory bus 720 and over high speed I/O bus 722 .
  • Coupled to memory bus 720 are a plurality of memory slots 712 ( a - n ), which receive memory devices well known to those skilled in the art.
  • memory slots 712 a - n
  • SIMMs single in-line memory modules
  • DIMMs dual in-line memory modules
  • Page mode operations in a DRAM are defined by the method of accessing a row of a memory cell arrays and randomly accessing different columns of the array. Data stored at the row and column intersection may be read and output while that column is accessed. Page mode DRAMs require access steps, which limit the communication speed of memory circuit 708 .
  • EDO extended data output
  • SRAM Secure Digital Random Access Memory
  • FIG. 8 illustrates a schematic view of an embodiment of a DRAM memory device 800 having an atomic layer deposited Zr—Sn—Ti—O dielectric film.
  • Illustrative DRAM memory device 800 is compatible with memory slots 712 ( a - n ).
  • the description of DRAM memory device 800 has been simplified for purposes of illustrating a DRAM memory device and is not intended to be a complete description of all the features of a DRAM. Those skilled in the art will recognize that a wide variety of memory devices may be used in the implementation of embodiments of the present invention.
  • the embodiment of a DRAM memory device shown in FIG. 8 may include at least one transistor having a gate dielectric containing atomic layer deposited Zr—Sn—Ti—O according to the teachings of the present invention.
  • Control, address and data information provided over memory bus 720 is further represented by individual inputs to DRAM 800 , as shown in FIG. 8. These individual representations are illustrated by data lines 802 , address lines 804 and various discrete lines directed to control logic 806 .
  • DRAM 800 may include memory array 810 , which in turn comprises rows and columns of addressable memory cells. Each memory cell in a row is coupled to a common word line. The word line is coupled to gates of individual transistors, where at least one transistor has a gate coupled to a gate dielectric containing atomic layer deposited Zr—Sn—Ti—O in accordance with the method and structure previously described above. Additionally, each memory cell in a column is coupled to a common bit line. Each cell in memory array 810 may include a storage capacitor and an access transistor as is conventional in the art.
  • DRAM 800 interfaces with, for example, microprocessor 706 through address lines 804 and data lines 802 .
  • DRAM 800 may interface with a DRAM controller, a micro-controller, a chip set or other electronic system.
  • Microprocessor 706 also provides a number of control signals to DRAM 800 , including but not limited to, row and column address strobe signals RAS and CAS, write enable signal WE, an output enable signal OE and other conventional control signals.
  • Row address buffer 812 and row decoder 814 receive and decode row addresses from row address signals provided on address lines 804 by microprocessor 706 . Each unique row address corresponds to a row of cells in memory array 810 .
  • Row decoder 814 may include a word line driver, an address decoder tree, and circuitry which translates a given row address received from row address buffers 812 and selectively activates the appropriate word line of memory array 810 via the word line drivers.
  • Column address buffer 816 and column decoder 818 receive and decode column address signals provided on address lines 804 .
  • Column decoder 818 also determines when a column is defective and the address of a replacement column.
  • Column decoder 818 is coupled to sense amplifiers 820 .
  • Sense amplifiers 820 are coupled to complementary pairs of bit lines of memory array 810 .
  • Sense amplifiers 820 are coupled to data-in buffer 822 and data-out buffer 824 .
  • Data-in buffers 822 and data-out buffers 824 are coupled to data lines 802 .
  • data lines 802 provide data to data-in buffer 822 .
  • Sense amplifier 820 receives data from data-in buffer 822 and stores the data in memory array 810 as a charge on a capacitor of a cell at an address specified on address lines 804 .
  • DRAM 800 transfers data to microprocessor 706 from memory array 810 .
  • Complementary bit lines for the accessed cell are equilibrated during a precharge operation to a reference voltage provided by an equilibration circuit and a reference voltage supply.
  • the charge stored in the accessed cell is then shared with the associated bit lines.
  • a sense amplifier of sense amplifiers 820 detects and amplifies a difference in voltage between the complementary bit lines.
  • the sense amplifier passes the amplified voltage to data-out buffer 824 .
  • Control logic 806 is used to control the many available functions of DRAM 800 .
  • various control circuits and signals not detailed herein initiate and synchronize DRAM 800 operation as known to those skilled in the art.
  • the description of DRAM 800 has been simplified for purposes of illustrating an embodiment of the present invention and is not intended to be a complete description of all the features of a DRAM.
  • memory devices including but not limited to, SDRAMs, SLDRAMs, RDRAMs and other DRAMs and SRAMs, VRAMs and EEPROMs, may be used in the implementation of embodiments of the present invention.
  • the DRAM implementation described herein is illustrative only and not intended to be exclusive or limiting.
  • a dielectric film containing atomic layer deposited Zr—Sn—Ti—O and a method of fabricating such a dielectric film produce a reliable dielectric film having an equivalent oxide thickness thinner than attainable using SiO 2 .
  • Dielectric films containing atomic layer deposited Zr—Sn—Ti—O formed using the methods described herein are thermodynamically stable such that the dielectric films formed will have minimal reactions with a silicon substrate or other structures during processing.
  • Zr—Sn—Ti—O films formed by atomic layer deposition may be amorphous and conformally layered on a substrate surface.
  • Engineering the composition of the Zr—Sn—Ti—O films may provide for selecting a dielectric film with increased breakdown electric fields and decreased leakage currents with relatively high dielectric constant relative to a Zr—Sn—Ti—O film with higher dielectric constant but lower breakdown electric fields and decreased leakage current.
  • the ALD formation of a Zr— Sn—Ti—O dielectric film provides for enhanced dielectric and electrical properties relative to those attained with an amorphous SiO x film.
  • Capacitors, transistors, higher level ICs or devices, and electronic systems are constructed utilizing the novel process for forming a dielectric film having an ultra thin equivalent oxide thickness, t eq .
  • Gate dielectric layers or films containing atomic layer deposited Zr—Sn—Ti—O are formed having a dielectric constant substantially higher than that of silicon oxide, where the dielectric films are capable of a t eq thinner than 10 ⁇ , thinner than the expected limit for SiO 2 gate dielectrics.
  • the physical thickness of the atomic layer deposited Zr—Sn—Ti—O dielectric film is much larger than the SiO 2 thickness associated with the t eq limit of SiO 2 .
  • a Zr—Sn—Ti—O film processed in relatively low temperatures allowed by atomic layer deposition may provide amorphous dielectric films having relatively low leakage current for use as dielectric layers in electronic devices and systems.

Abstract

A dielectric film containing atomic layer deposited Zr—Sn—Ti—O and a method of fabricating such a dielectric film produce a reliable dielectric layer having an equivalent oxide thickness thinner than attainable using SiO2. Depositing titanium and oxygen onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto a substrate surface by atomic layer deposition, and depositing tin and oxygen onto a substrate surface by atomic layer deposition form the Zr—Sn—Ti—O dielectric layer. Dielectric films containing atomic layer deposited Zr—Sn—Ti—O are thermodynamically stable such that the Zr—Sn—Ti—O will have minimal reactions with a silicon substrate or other structures during processing.

Description

    RELATED APPLICATIONS
  • This application is related to the following, co-pending, commonly assigned applications, incorporated herein by reference: [0001]
  • U.S. application Ser. No. 10/137,058, attorney docket no. 303.802US1 entitled: “Atomic Layer Deposition and Conversion,”[0002]
  • U.S. application Ser. No. 10/137,168, attorney docket no. 1303.048US1 entitled: “Methods, Systems, and Apparatus for Atomic Layer Deposition of Aluminum Oxides in Integrated Circuits,”[0003]
  • U.S. application Ser. No. 09/797,324, attorney docket no. 303.717US1 entitled: “Methods, Systems, and Apparatus for Uniform Chemical-Vapor Depositions,” and [0004]
  • U.S. Application Serial No. ______, attorney docket no. 1303.082US1 entitled: “Atomic Layer Deposited Zr—Sn—Ti—O Films Using TiI[0005] 4.”
  • FIELD OF THE INVENTION
  • The invention relates to semiconductor devices and device fabrication. Specifically, the invention relates to dielectric layers and their method of fabrication. [0006]
  • BACKGROUND OF THE INVENTION
  • The semiconductor device industry has a market driven need to improve speed performance, improve its low static (off-state) power requirements, and adapt to a wide range of power supply and output voltage requirements for it silicon based microelectronic products. In particular, in the fabrication of transistors, there is continuous pressure to reduce the size of devices such as transistors. The ultimate goal is to fabricate increasingly smaller and more reliable integrated circuits (ICs) for use in products such as processor chips, mobile telephones, and memory devices such as dynamic random access memories (DRAMs). The smaller devices are frequently powered by batteries, where there is also pressure to reduce the size of the batteries, and to extend the time between battery charges. This forces the industry to not only design smaller transistors, but to design them to operate reliably with lower power supplies. [0007]
  • Currently, the semiconductor industry relies on the ability to reduce or scale the dimensions of its basic devices, primarily, the silicon based metal-oxide-semiconductor field effect transistor (MOSFET). A common configuration of such a transistor is shown in FIG. 1. While the following discussion uses FIG. 1 to illustrate a transistor from the prior art, one skilled in the art will recognize that the present invention could be incorporated into the transistor shown in FIG. 1 to form a novel transistor according to the invention. A [0008] transistor 100 is fabricated in a substrate 110 that is typically silicon, but could be fabricated from other semiconductor materials as well. Transistor 100 has a source region 120 and a drain region 130. A body region 132 is located between source region 120 and drain region 130, where body region 132 defines a channel of the transistor with a channel length 134. A gate dielectric 140 is located on body region 132 with a gate 150 located over gate dielectric 140. Although gate dielectric 140 may be formed from materials other than oxides, gate dielectric 140 is typically an oxide, and is commonly referred to as a gate oxide. Gate 150 may be fabricated from polycrystalline silicon (polysilicon), or other conducting materials such as metal may be used.
  • In fabricating transistors to be smaller in size and reliably operate on lower power supplies, one important design criteria is gate dielectric [0009] 140. The mainstay for forming the gate dielectric has been silicon dioxide, SiO2. A thermally grown amorphous SiO2 layer provides an electrically and thermodynamically stable material, where the interface of the SiO2 layer with underlying Si provides a high quality interface as well as superior electrical isolation properties. In typical processing, use of SiO2 on Si has provided defect charge densities on the order of 1010/cm2, midgap interface state densities of approximately 1010/cm2 eV, and breakdown voltages in the range of 15 MV/cm. With such qualities, there would be no apparent need to use a material other than SiO2, but increased scaling and other requirements for gate dielectrics create the need to find other dielectric materials to be used for a gate dielectric.
  • SUMMARY OF THE INVENTION
  • A solution to the problems as discussed above is addressed in embodiments according to the teachings of the present invention. In an embodiment, a method of forming a dielectric film may include the formation of Zr—Sn—Ti—O by atomic layer deposition (ALD). Depositing titanium and oxygen onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, and depositing tin and oxygen onto the substrate surface by atomic layer deposition forms a Zr—Sn—Ti—O dielectric layer. The Zr—Sn—Ti—O layer thickness is controlled by processing a total number of ALD cycles to produce the desired thickness. [0010]
  • A dielectric film containing Zr—Sn—Ti—O has a larger dielectric constant than silicon dioxide, a relatively small leakage current, and good stability with respect to a silicon based substrate. Embodiments include methods for forming capacitors, transistors, memory devices, and electronic systems having dielectric layers containing atomic layer deposited Zr—Sn—Ti—O. [0011]
  • Other embodiments include structures for capacitors, transistors, memory devices, and electronic systems with dielectric films containing atomic layer deposited Zr—Sn—Ti—O Such dielectric films provide a significantly thinner equivalent oxide thickness compared with a silicon oxide layer having the same physical thickness. Alternatively, such dielectric films provide a significantly thicker physical thickness than a silicon oxide layer having the same equivalent oxide thickness. [0012]
  • These and other embodiments, aspects, advantages, and features of the present invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art by reference to the following description of the invention and referenced drawings or by practice of the invention. The aspects, advantages, and features of the invention are realized and attained by means of the instrumentalities, procedures, and combinations particularly pointed out in the appended claims.[0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a common configuration of a transistor in which an embodiment of a gate dielectric containing atomic layer deposited Zr—Sn—Ti—O may be formed according to the teachings of the present invention. [0014]
  • FIG. 2A shows an embodiment of an atomic layer deposition system for processing a dielectric film containing Zr—Sn—Ti—O, according to the teachings of the present invention. [0015]
  • FIG. 2B shows an embodiment of a gas-distribution fixture of an atomic layer deposition system for processing a dielectric film containing Zr—Sn—Ti—O, according to the teachings of the present invention. [0016]
  • FIG. 3 illustrates a flow diagram of elements for an embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition, according to the teachings of the present invention. [0017]
  • FIG. 4 illustrates a flow diagram of elements for another embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition, according to the teachings of the present invention. [0018]
  • FIG. 5 shows an embodiment of a configuration of a transistor having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention. [0019]
  • FIG. 6 shows an embodiment of a personal computer incorporating devices having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention. [0020]
  • FIG. 7 illustrates a schematic view of an embodiment of a central processing unit incorporating devices having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention. [0021]
  • FIG. 8 illustrates a schematic view of an embodiment of a DRAM memory device having an atomic layer deposited Zr—Sn—Ti—O dielectric film, according to the teachings of the present invention. [0022]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In the following detailed description of the invention, reference is made to the accompanying drawings that form a part hereof, and in which is shown, by way of illustration, specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. [0023]
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to include semiconductors, and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors. [0024]
  • The term “horizontal” as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate. The term “vertical” refers to a direction perpendicular to the horizontal as defined above. Prepositions, such as “on”, “side” (as in “sidewall”), “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled. [0025]
  • A [0026] gate dielectric 140 of FIG. 1, when operating in a transistor, has both a physical gate dielectric thickness and an equivalent oxide thickness (teq). The equivalent oxide thickness quantifies the electrical properties, such as capacitance, of a gate dielectric 140 in terms of a representative physical thickness. teq is defined as the thickness of a theoretical SiO2 layer that would be required to have the same capacitance density as a given dielectric, ignoring leakage current and reliability considerations.
  • A SiO[0027] 2 layer of thickness, t, deposited on a Si surface as a gate dielectric will have a teq larger than its thickness, t. This teq results from the capacitance in the surface channel on which the SiO2 is deposited due to the formation of a depletion/inversion region. This depletion/inversion region may result in teq being from 3 to 6 Angstroms (Å) larger than the SiO2 thickness, t. Thus, with the semiconductor industry driving to someday scale the gate dielectric equivalent oxide thickness to under 10 Å, the physical thickness requirement for a SiO2 layer used for a gate dielectric would be need to be approximately 4 to 7 Å.
  • Additional requirements on a SiO[0028] 2 layer would depend on the gate electrode used in conjunction with the SiO2 gate dielectric. Using a conventional polysilicon gate would result in an additional increase in teq for the SiO2 layer. This additional thickness could be eliminated by using a metal gate electrode, though metal gates are not currently used in typical complementary metal-oxide-semiconductor field effect transistor (CMOS) technology. Thus, future devices would be designed towards a physical SiO2 gate dielectric layer of about 5 Å or less. Such a small thickness requirement for a SiO2 oxide layer creates additional problems.
  • Silicon dioxide is used as a gate dielectric, in part, due to its electrical isolation properties in a SiO[0029] 2—Si based structure. This electrical isolation is due to the relatively large band gap of SiO2 (8.9 eV) making it a good insulator from electrical conduction. Signification reductions in its band gap would eliminate it as a material for a gate dielectric. As the thickness of a SiO2 layer decreases, the number of atomic layers, or monolayers of the material in the thickness decreases. At a certain thickness, the number of monolayers will be sufficiently small that the SiO2 layer will not have a complete arrangement of atoms as in a larger or bulk layer. As a result of incomplete formation relative to a bulk structure, a thin SiO2 layer of only one or two monolayers will not form a full band gap. The lack of a full band gap in a SiO2 gate dielectric could cause an effective short between an underlying Si channel and an overlying polysilicon gate. This undesirable property sets a limit on the physical thickness to which a SiO2 layer may be scaled. The minimum thickness due to this monolayer effect is thought to be about 7-8 Å. Therefore, for future devices to have a teq less than about 10 Å, dielectrics other than SiO2 need to be considered for use as a gate dielectric.
  • For a typical dielectric layer used as a gate dielectric, the capacitance is determined as one for a parallel plate capacitance: C=κε[0030] 0A/t, where κ is the dielectric constant, ε0 is the permittivity of free space, A is the area of the capacitor, and t is the thickness of the dielectric. The thickness, t, of a material is related to its teq for a given capacitance, with SiO2 having a dielectric constant κox=3.9, as
  • t=(κ/κox)t eq=(κ/3.9) t eq.
  • Thus, materials with a dielectric constant greater than that of SiO[0031] 2, 3.9, will have a physical thickness that may be considerably larger than a desired teq, while providing the desired equivalent oxide thickness. For example, an alternate dielectric material with a dielectric constant of 10 could have a thickness of about 25.6 Å to provide a teq of 10 Å, not including any depletion/inversion layer effects. Thus, a reduced equivalent oxide thickness for transistors may be realized by using dielectric materials with higher dielectric constants than SiO2.
  • The thinner equivalent oxide thickness required for lower transistor operating voltages and smaller transistor dimensions may be realized by a significant number of materials, but additional fabricating requirements makes determining a suitable replacement for SiO[0032] 2 difficult. The current view for the microelectronics industry is still for Si based devices. This requires that the gate dielectric employed be grown on a silicon substrate or silicon layer, which places significant restraints on the substitute dielectric material. During the formation of the dielectric on the silicon layer, there exists the possibility that a small layer of SiO2 could be formed in addition to the desired dielectric. The result would effectively be a dielectric layer consisting of two sublayers in parallel with each other and the silicon layer on which the dielectric is formed. In such a case, the resulting capacitance would be that of two dielectrics in series. As a result, the teq of the dielectric layer would be the sum of the SiO2 thickness and a multiplicative factor of the thickness of the dielectric being formed, written as
  • t eq =t SiO2+(κox/κ)t.
  • Thus, if a SiO[0033] 2 layer is formed in the process, the teq is again limited by a SiO2 layer. In the event that a barrier layer is formed between the silicon layer and the desired dielectric in which the barrier layer prevents the formation of a SiO2 layer, the teq would be limited by the layer with the lowest dielectric constant. However, whether a single dielectric layer with a high dielectric constant or a barrier layer with a higher dielectric constant than SiO2 is employed, the layer interfacing with the silicon layer must provide a high quality interface to maintain a high channel carrier mobility.
  • In a recent article by G. D. Wilk et al., [0034] Journal of Applied Physics, vol. 89, no. 10, pp. 5243-5275 (2001), material properties of high dielectric materials for gate dielectrics were discussed. Among the information disclosed was the viability of Al2O3 as a substitute for SiO2. Al2O3 was disclosed has having favourable properties for use as a gate dielectric such as high band gap, thermodynamic stability on Si up to high temperatures, and an amorphous structure. In addition, Wilk disclosed that forming a layer of Al2O3 on silicon does not result in a SiO2 interfacial layer. However, the dielectric constant of Al2O3 is only 9, where thin layers may have a dielectric constant of about 8 to about 10. Though the dielectric constant of Al2O3 is in an improvement over SiO2, a higher dielectric constant for a gate dielectric is desirable. Other dielectrics and their properties discussed by Wilk include
    Dielectric Constant Band gap
    Material (κ) Eg (eV) Crystal Structure(s)
    SiO2 3.9 8.9 Amorphous
    Si3N4 7 5.1 Amorphous
    Al2O3 9 8.7 Amorphous
    Y2O3 15 5.6 Cubic
    La2O3 30 4.3 Hexagonal, Cubic
    Ta2O5 26 4.5 Orthorhombic
    TiO2 80 3.5 Tetrag. (rutile, anatase)
    HfO2 25 5.7 Mono., Tetrag., Cubic
    ZrO2 25 7.8 Mono., Tetrag., Cubic
  • One of the advantages using SiO[0035] 2 as a gate dielectric has been that the formation of the SiO2 layer results in an amorphous gate dielectric. Having an amorphous structure for a gate dielectric is advantageous because grain boundaries in polycrystalline gate dielectrics provide high leakage paths. Additionally, grain size and orientation changes throughout a polycrystalline gate dielectric may cause variations in the film's dielectric constant. The abovementioned material properties including crystal structure are for the materials in a bulk form. The materials having the advantage of a high dielectric constant relative to SiO2 also have the disadvantage of a crystalline form, at least in a bulk configuration. Thus, the best candidates for replacing SiO2 as a gate dielectric are those with high dielectric constant, which may be fabricated as a thin layer with an amorphous form.
  • Based solely on the size of the dielectric constant, titanium oxide, TiO[0036] 2, appears to be an excellent candidate for replacing SiO2. However, TiO2 does not provide the electrical properties generally desired for integrated circuits, such as, high electric field breakdown and low leakage current. Other possible replacements for amorphous SiO2 include layers of TaOx, Ta2O5, TiOx, and (Ba, Sr)TiO3. Each of these replacements has advantages and disadvantages. Additional candidates for replacing amorphous SiO2 include sputter deposited amorphous Ti-rich Zr—Sn—Ti—O, pulsed laser deposited Zr1-xSnxTiO4, sputter deposited crystalline films of ZryTi1-yO4 and ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2, and reactive sputtered Zr0.2Sn0.2Ti0.6O2. The pulsed laser deposited Zr1-xSnxTiO4 thin films were found to have a dielectric constant of about 36. Additionally, the sputtered deposited crystalline films of ZryTi1-yO4 and ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2 were found to have dielectric constant of about 33 for 450 Å thick films, while reactive sputtered amorphous Zr0.2Sn0.2Ti0.6O2 thin films were found to have a dielectric constant ranging from about 50 to about 70. See, O. Nakagawara et al., Journal of Applied Physics, vol. 80, no. 1, pp. 388-392 (1998), E. S. Ramakrishnan et al., Journal of Electrochemical Society, vol. 145, no. 1, pp. 358-362 (1998), and R. B. Dover et al., IEEE Electron Device Letters, vol. 19, no. 9, pp. 329-331 (1998).
  • However, other considerations for selecting the material and method for forming a dielectric film for use in electronic devices and systems concern the suitability of the material for applications requiring that the dielectric film have a ultra-thin equivalent oxide thickness, form conformally on a substrate, and/or be engineered to specific thickness and elemental concentrations. Another consideration concerns the roughness of the dielectric film on a substrate. Surface roughness of the dielectric film has a significant effect on the electrical properties of the gate oxide, and the resulting operating characteristics of the transistor. The leakage current through a physical 1.0 nm gate oxide increases by a factor of [0037] 10 for every 0.1 increase in the root-mean-square (RMS) roughness.
  • During a conventional sputtering deposition process stage, particles of the material to be deposited bombard the surface at a high energy. When a particle hits the surface, some particles adhere, and other particles cause damage. High energy impacts remove body region particles creating pits. The surface of such a deposited layer may have a rough contour due to the rough interface at the body region. [0038]
  • In an embodiment according to the teachings of the present invention, a Zr—Sn—Ti—O dielectric film having a substantially smooth surface relative to other processing techniques may be formed using atomic layer deposition (ALD). Further, forming a dielectric film using atomic layer deposition may provide for controlling transitions between material layers. Thus, atomic layer deposited Zr—Sn— Ti—O dielectric films may have an engineered transition with a substrate surface that has a substantially reduced or no interfacial SiO[0039] 2 layer. Further, the ALD deposited Zr—Sn—Ti—O dielectric films may provide conformal coverage on the surfaces on which they are deposited.
  • ALD, also known as atomic layer epitaxy (ALE), was developed in the early [0040] 1970's as a modification of chemical vapor deposition (CVD) and is also called “alternatively pulsed-CVD.” In ALD, gaseous precursors are introduced one at a time to the substrate surface mounted within a reaction chamber (or reactor). This introduction of the gaseous precursors takes the form of pulses of each gaseous precursor. Between the pulses, the reaction chamber is purged with a gas, which in many cases is an inert gas, or evacuated.
  • In a chemisorption-saturated ALD (CS-ALD) process, during the first pulsing phase, reaction with the substrate occurs with the precursor saturatively chemisorbed at the substrate surface. Subsequent pulsing with a purging gas removes precursor excess from the reaction chamber. [0041]
  • The second pulsing phase introduces another precursor on the substrate where the growth reaction of the desired film takes place. Subsequent to the film growth reaction, reaction byproducts and precursor excess are purged from the reaction chamber. With favourable precursor chemistry where the precursors adsorb and react with each other on the substrate aggressively, one ALD cycle may be preformed in less than one second in properly designed flow type reaction chambers. Typically, precursor pulse times range from about 0.5 sec to about 2 to 3 seconds. [0042]
  • In ALD, the saturation of all the reaction and purging phases makes the growth self-limiting. This self-limiting growth results in large area uniformity and conformality, which has important applications for such cases as planar substrates, deep trenches, and in the processing of porous silicon and high surface area silica and alumina powders. Significantly, ALD provides for controlling film thickness in a straightforward manner by controlling the number of growth cycles. [0043]
  • ALD was originally developed to manufacture luminescent and dielectric films needed in electroluminescent displays. Significant efforts have been made to apply ALD to the growth of doped zinc sulfide and alkaline earth metal sulfide films. Additionally, ALD has been studied for the growth of different epitaxial II-V and II-VI films, nonepitaxial crystalline or amorphous oxide and nitride films and multilayer structures of these. There also has been considerable interest towards the ALD growth of silicon and germanium films, but due to the difficult precursor chemistry, this has not been very successful. [0044]
  • The precursors used in an ALD process may be gaseous, liquid or solid. However, liquid or solid precursors must be volatile. The vapor pressure must be high enough for effective mass transportation. Also, solid and some liquid precursors need to be heated inside the reaction chamber and introduced through heated tubes to the substrates. The necessary vapor pressure must be reached at a temperature below the substrate temperature to avoid the condensation of the precursors on the substrate. Due to the self-limiting growth mechanisms of ALD, relatively low vapor pressure solid precursors may be used though evaporation rates may somewhat vary during the process because of changes in their surface area. [0045]
  • There are several other requirements for precursors used in ALD. The precursors must be thermally stable at the substrate temperature because their decomposition would destroy the surface control and accordingly the advantages of the ALD method that relies on the reaction of the precursor at the substrate surface. A slight decomposition, if slow compared to the ALD growth, may be tolerated. [0046]
  • The precursors have to chemisorb on or react with the surface, though the interaction between the precursor and the surface as well as the mechanism for the adsorption is different for different precursors. The molecules at the substrate surface must react aggressively with the second precursor to form the desired solid film. Additionally, precursors should not react with the film to cause etching, and precursors should not dissolve in the film. Using highly reactive precursors in ALD contrasts with the selection of precursors for conventional CVD. [0047]
  • The by-products in the reaction must be gaseous in order to allow their easy removal from the reaction chamber. Further, the by-products should not react or adsorb on the surface. [0048]
  • In a reaction sequence ALD (RS-ALD) process, the self-limiting process sequence involves sequential surface chemical reactions. RS-ALD relies on chemistry between a reactive surface and a reactive molecular precursor. In an RS-ALD process, molecular precursors are pulsed into the ALD reaction chamber separately. The metal precursor reaction at the substrate is typically followed by an inert gas pulse to remove excess precursor and by-products from the reaction chamber prior to pulsing the next precursor of the fabrication sequence. [0049]
  • By RS-ALD, films can be layered in equal metered sequences that are all identical in chemical kinetics, deposition per cycle, composition, and thickness. RS-ALD sequences generally deposit less than a full layer per cycle. Typically, a deposition or growth rate of about 0.25 to about 2.00 Å per RS-ALD cycle may be realized. [0050]
  • The advantages of RS-ALD include continuity at an interface, conformality over a substrate, use of low temperature and mildly oxidizing processes, freedom from first wafer effects and chamber dependence, growth thickness dependent solely on the number of cycles performed, and ability to engineer multilayer laminate films with resolution of one to two monolayers. RS-ALD allows for deposition control on the order on monolayers and the ability to deposit monolayers of amorphous films. [0051]
  • Herein, a sequence refers to the ALD material formation based on an ALD reaction of one precursor with its reactant precursor. For example, forming titanium oxide from a TiCl[0052] 4 precursor and H2O2, as its reactant precursor, forms an embodiment of a titanium/oxygen sequence, which may also be referred to as titanium sequence. A cycle of a sequence may include pulsing a precursor, pulsing a purging gas for the precursor, pulsing a reactant precursor, and pulsing the reactant's purging gas. Alternately, an ALD cycle for forming a particular material may consist of several cycles, each of the several cycles associated with a different sequence. In an embodiment, a Zr—Sn—Ti—O cycle may include a titanium/oxygen sequence, a zirconium/oxygen sequence, and a tin/oxygen sequence.
  • In an embodiment, a layer of Zr—Sn—Ti—O is formed on a substrate mounted in a reaction chamber using ALD in a repetitive sequence using precursor gases individually pulsed into the reaction chamber. Alternately, solid or liquid precursors may be used in an appropriately designed reaction chamber. ALD formation of other materials is disclosed in co-pending, commonly assigned U.S. patent application: entitled “Atomic Layer Deposition and Conversion,” attorney docket no. 303.802US1, Ser. No. 10/137,058, and “Methods, Systems, and Apparatus for Atomic-Layer Deposition of Aluminum Oxides in Integrated Circuits,” attorney docket no. 1303.048US1, Ser. No. 10/137,168. [0053]
  • FIG. 2A shows an embodiment of an atomic [0054] layer deposition system 200 for processing a dielectric film containing Zr—Sn—Ti—O. The elements depicted are those elements necessary for discussion of the present invention such that those skilled in the art may practice the present invention without undue experimentation. A further discussion of the ALD reaction chamber can be found in co-pending, commonly assigned U.S. patent application: entitled “Methods, Systems, and Apparatus for Uniform Chemical-Vapor Depositions,” attorney docket no. 303.717US1, Ser. No. 09/797,324, incorporated herein by reference.
  • In FIG. 2A, a substrate [0055] 210 is located inside a reaction chamber 220 of ALD system 200. Also located within reaction chamber 220 is a heating element 230, which is thermally coupled to substrate 210 to control the substrate temperature. A gas-distribution fixture 240 introduces precursor gases to the substrate 210. Each precursor gas originates from individual gas sources 251-254 whose flow is controlled by mass-flow controllers 256-259, respectively. Gas sources 251-254 provide a precursor gas either by storing the precursor as a gas or by providing a location and apparatus for evaporating a solid or liquid material to form the selected precursor gas. Furthermore, additional gas sources may be included, one for each metal precursor employed and one for each reactant precursor associated with each metal precursor.
  • Also included in the ALD system are purging [0056] gas sources 261, 262, each of which is coupled to mass- flow controllers 266, 267, respectively. Furthermore, additional purging gas sources may be constructed in ALD system 200, one purging gas source for each precursor gas. For a process that uses the same purging gas for multiple precursor gases less purging gas sources are required for ALD system 200. Gas sources 251-254 and purging gas sources 261-262 are coupled by their associated mass-flow controllers to a common gas line or conduit 270, which is coupled to the gas-distribution fixture 240 inside reaction chamber 220. Gas conduit 270 is also coupled to vacuum pump, or exhaust pump, 281 by mass-flow controller 286 to remove excess precursor gases, purging gases, and by-product gases at the end of a purging sequence from the gas conduit.
  • Vacuum pump, or exhaust pump, [0057] 282 is coupled by mass-flow controller 287 to remove excess precursor gases, purging gases, and by-product gases at the end of a purging sequence from reaction chamber 220. For convenience, control displays, mounting apparatus, temperature sensing devices, substrate maneuvering apparatus, and necessary electrical connections as are known to those skilled in the art are not shown in FIG. 2A.
  • FIG. 2B shows an embodiment of a gas-[0058] distribution fixture 240 of atomic layer deposition system 200 for processing a dielectric film containing Zr—Sn—Ti—O. Gas-distribution fixture 240 includes a gas-distribution member 242, and a gas inlet 244. Gas inlet 244 couples gas-distribution member 242 to gas conduit 270 of FIG. 2A. Gas-distribution member 242 includes gas-distribution holes, or orifices, 246 and gas-distribution channels 248. In the exemplary embodiment, holes 246 are substantially circular with a common diameter in the range of 15-20 microns, gas-distribution channels 248 have a common width in the range of 20-45 microns. The surface 249 of gas distribution member 242 having gas-distribution holes 246 is substantially planar and parallel to substrate 210 of FIG. 2A. However, other embodiments use other surface forms as well as shapes and sizes of holes and channels. The distribution and size of holes may also affect deposition thickness and thus might be used to assist thickness control. Holes 246 are coupled through gas-distribution channels 248 to gas inlet 244. Though ALD system 200 is well suited for practicing the present invention, other ALD systems commercially available may be used.
  • The use, construction and fundamental operation of reaction chambers for deposition of films are understood by those of ordinary skill in the art of semiconductor fabrication. The present invention may be practiced on a variety of such reaction chambers without undue experimentation. Furthermore, one of ordinary skill in the art will comprehend the necessary detection, measurement, and control techniques in the art of semiconductor fabrication upon reading the disclosure. [0059]
  • The elements of [0060] ALD system 200 may be controlled by a computer. To focus on the use of ALD system 200 in the various embodiments of the present invention, the computer is not shown. Those skilled in the art can appreciate that the individual elements such as pressure control, temperature control, and gas flow within ALD system 200 may be under computer control. In an embodiment, a computer to accurately control the integrated functioning of the elements of ALD system 200 to form a dielectric film containing Zr—Sn—Ti—O executes instructions stored in a computer readable medium.
  • In an embodiment, a method of forming a dielectric film may include forming a Zr—Sn—Ti—O film on a substrate surface by atomic layer deposition. In another embodiment, the method may further include controlling the atomic layer deposition to form the dielectric film as an amorphous Ti-rich Zr—Sn—Ti—O film. A Ti-rich Zr—Sn—Ti—O film is a Zr—Sn—Ti—O film in which Ti is present as 50% or more of the total metal atoms in the Zr—Sn—Ti—O. In another embodiment, the method may further include controlling the atomic layer deposition to form the dielectric film having a composition substantially of Zr[0061] ySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2. Alternately, the method may further include controlling the atomic layer deposition to form the dielectric film having a composition substantially of Zr0.2Sn0.2Ti0.6O2. In an embodiment, each of a titanium sequence, a zirconium sequence, and a tin sequence may include using precursors that form would metal oxides for each metal sequence.
  • FIG. 3 illustrates a flow diagram of elements for an embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition. This embodiment for forming a Zr—Sn—Ti—O dielectric film by atomic layer deposition may include depositing titanium and oxygen onto a substrate surface by atomic layer deposition, at [0062] block 310, depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, at block 320, and depositing tin and oxygen onto the substrate surface by atomic layer deposition, at block 330. In an embodiment, performing a titanium sequence, a zirconium sequence, and a tin sequence constitutes one cycle. As multiple cycles are performed, the substrate surface becomes the original substrate surface with a layer of Zr—Sn—Ti—O formed on it. The thickness of the Zr—Sn—Ti—O varies with the number of cycles performed. Within a given cycle, the substrate surface is the substrate surface of the previous cycle with additional material formed corresponding to the completed sequences within the given cycle.
  • In an embodiment, depositing titanium and oxygen onto a substrate surface may include forming TiO[0063] 2 onto the substrate surface by atomic layer deposition. Subsequent ALD processing of a zirconium sequence and a tin sequence forms a dielectric film containing Zr—Sn—Ti—O. In an embodiment, forming a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition may include pulsing a TiCl4 precursor, pulsing a ZrCl4 precursor, pulsing a SnCl4 precursor, and pulsing a water vapor precursor. Each pulsing delivers the associated precursor onto the substrate surface, where the substrate surface includes the previous precursor chemisorbed or reacted.
  • Performing each atomic layer deposition includes pulsing a plurality of precursors into a reaction chamber for a predetermined period. The predetermined period is individually controlled for each precursor pulsed into the reaction chamber. Further the substrate is maintained at a selected temperature for each pulsing of a precursor, where the selected temperature is set independently for pulsing each precursor. Additionally, each precursor may be pulsed into the reaction under separate environmental conditions. Appropriate temperatures and pressures are maintained dependent on the nature of the precursor, whether the precursor is a single precursor or a mixture of precursors. [0064]
  • Using atomic layer deposition, the pulsing of the precursor gases is separated by purging the reaction chamber with a purging gas following each pulsing of a precursor. In an embodiment, nitrogen gas is used as the purging gas following the pulsing of each precursor used in a cycle to form a layer of Zr—Sn—Ti—O. Additionally, the reaction chamber may also be purged by evacuating the reaction chamber. [0065]
  • FIG. 4 illustrates a flow diagram of elements for another embodiment of a method to process a dielectric film containing Zr—Sn—Ti—O by atomic layer deposition. This embodiment may be implemented with the atomic [0066] layer deposition system 200 of FIGS. 2A,B.
  • At [0067] block 405, substrate 210 is prepared. The substrate used for forming a transistor is typically a silicon or silicon containing material. In other embodiments, germanium, gallium arsenide, silicon-on-sapphire substrates, or other suitable substrates may be used. This preparation process may include cleaning of substrate 210 and forming layers and regions of the substrate, such as drains and sources of a metal oxide semiconductor (MOS) transistor, prior to forming a gate dielectric. The sequencing of the formation of the regions of the transistor being processed follows typical sequencing that is generally performed in the fabrication of a MOS transistor as is well known to those skilled in the art. Included in the processing prior to forming a gate dielectric is the masking of substrate regions to be protected during the gate dielectric formation, as is typically performed in MOS fabrication. In this embodiment, the unmasked region may include a body region of a transistor, however one skilled in the art will recognize that other semiconductor device structures may utilize this process. Additionally, substrate 210 in its ready for processing form is conveyed into a position in reaction chamber 220 for ALD processing.
  • At [0068] block 410, a titanium containing precursor is pulsed into reaction chamber 220. In an embodiment, TiCl4 is used as a precursor. The TiCl4 precursor is pulsed into reaction chamber 220 through the gas-distribution fixture 240 onto substrate 210. Mass-flow controller 256 regulates the flow of the TiCl4 from gas source 251, where the TiCl4 is about 99.9% pure with an evaporation temperature of about 8° C. In an embodiment, the substrate temperature is maintained between about 120° C. and about 365° C. The TiCl4 reacts with the surface of the substrate 210 in the desired region defined by the unmasked areas of the substrate 210. In other embodiments, a titanium containing precursor is selected from a group consisting of Ti(OC2H5)4, and Ti(OC3H7)4. At block 415, a first purging gas is pulsed into reaction chamber 220. In particular, nitrogen with a purity of about 99.999% is used as a purging gas and a carrier gas at a flow rate of about 80 sccm and a pressure of about 10 mbar. Mass-flow controller 266 regulates the nitrogen flow from the purging gas source 261 into the gas conduit 270. Using the pure nitrogen purge avoids overlap of the precursor pulses and possible gas phase reactions. Following the purge, a first oxygen containing precursor is pulsed into reaction chamber 220, at block 420.
  • For the titanium sequence using TiCl[0069] 4 as the precursor, water vapor is selected as the precursor acting as a reactant to form Ti and 0 on the substrate 210. Alternately, H2O2 may be used as the oxygen containing precursor. Mass-flow controller 257 regulates the water vapor pulsing into reaction chamber 220 through gas conduit 270 from gas source 252 where the water vapor is held at about 10° C. The water vapor aggressively reacts at the surface of substrate 210.
  • Following the pulsing of an oxygen containing precursor, a second purging gas is injected into [0070] reaction chamber 220, at block 425. Nitrogen gas is used to purge the reaction chamber after pulsing each precursor gas in the titanium/oxygen sequence. Excess precursor gas, and reaction by-products are removed from the system by the purge gas in conjunction with the exhausting of reaction chamber 220 using vacuum pump 282 through mass-flow controller 287, and exhausting of the gas conduit 270 by the vacuum pump 281 through mass-flow controller 286.
  • During a TiCl[0071] 4/water vapor sequence, the substrate is held between about 120° C. and about 365° C. by the heating element 230. The TiCl4 pulse time may range from about 0.2 sec to about 2 sec. After the TiCl4 pulse, the titanium sequence continues with a purge pulse followed by a water vapor pulse followed by a purge pulse. In an embodiment, the water vapor pulse time may range from about 0.2 sec to about 2 sec, and the first and second purging pulse times are each at about 5 secs and 10 sees, respectively. In an embodiment, the titanium/oxygen sequence may include a 0.2 sec TiCl4 pulse, a 5 sec nitrogen pulse, a 0.2 sec water vapor pulse, and a 10 sec nitrogen pulse.
  • At [0072] block 430, a zirconium containing precursor is pulsed into reaction chamber 220. In an embodiment, ZrCl4 is used as the zirconium containing precursor. The ZrCl4 precursor having a purity of about 99.9% is evaporated from a containment area held at about 165° C. in gas source 253. Mass-flow controller 258 regulates the pulsing of the ZrCl4 precursor to the surface of the substrate 210 through gas-distribution fixture 240 from gas source 253. In an embodiment, the substrate temperature is maintained between about 300° C. and about 500° C.
  • At [0073] block 435, a third purging gas is introduced into the system. Nitrogen gas may also be used as a purging and carrier gas. The nitrogen flow is controlled by mass-flow controller 267 from the purging gas source 262 into the gas conduit 270 and subsequently into reaction chamber 220. In another embodiment, argon gas may be used as the purging gas. Following the pulsing of the third purging gas, a second oxygen containing precursor is pulsed into reaction chamber 220, at block 440. In an embodiment the second oxygen containing precursor is water vapor. Mass-flow controller 257 regulates the water vapor pulsing into reaction chamber 220 through gas conduit 270 from gas source 252. The water vapor aggressively reacts at the surface of substrate 210.
  • Following the pulsing of the second oxygen containing precursor, a fourth purging gas is injected into [0074] reaction chamber 220, at block 445. Nitrogen gas may be used to purge the reaction chamber after pulsing each precursor gas in the zirconium/oxygen sequence. In another embodiment, argon gas may be used as the purging gas. Excess precursor gas, and reaction by-products are removed from the system by the purge gas in conjunction with the exhausting of reaction chamber 220 using vacuum pump 282 through mass-flow controller 287, and exhausting of the gas conduit 270 by the vacuum pump 281 through mass-flow controller 286.
  • At [0075] block 450, a tin containing precursor is pulsed into reaction chamber 220. In an embodiment, SnCl4 is used as the tin containing precursor. The SnCl4 precursor having a purity of about 99.9% is pulsed from gas source 254 that is held at about 8° C. Alternately, the SnCl4 is held in gas source 254 at a temperature ranging from about −1° C. to about 22° C. Mass-flow controller 259 regulates the pulsing of the SnCl4 precursor to the surface of substrate 210 through gas-distribution fixture 240 from gas source 254. In an embodiment, the substrate temperature is maintained between about 430° C. and about 545° C.
  • At [0076] block 455, a fifth purging gas is introduced into the system. Pure nitrogen gas may also be used as a purging and carrier gas. The nitrogen flow is controlled by mass-flow controller 267 from the purging gas source 262 into the gas conduit 270 and subsequently into reaction chamber 220.
  • Following the pulsing of the fifth purging gas, a third oxygen containing precursor is pulsed into [0077] reaction chamber 220, at block 460. In an embodiment, the third oxygen containing precursor is water vapor. The water vapor is raised to about 24° C. in gas source 252. Mass-flow controller 257 regulates the water vapor pulsing into reaction chamber 220 through gas conduit 270 from gas source 252. The water vapor aggressively reacts at the surface of substrate 210.
  • Following the pulsing of the third oxygen containing precursor, a sixth purging gas is injected into [0078] reaction chamber 220, at block 465. Pure nitrogen gas may be used to purge the reaction chamber after pulsing each precursor gas in the tin/oxygen sequence. In another embodiment, argon gas may be used as the purging gas. Excess precursor gas, and reaction by-products are removed from the system by the purge gas in conjunction with the exhausting of reaction chamber 220 using vacuum pump 282 through mass-flow controller 287, and exhausting of the gas conduit 270 by the vacuum pump 281 through mass-flow controller 286.
  • During a SnCl[0079] 4/water vapor sequence, the substrate is held between about 430° C. and about 545° C. by the heating element 230. Alternately, the substrate is held at a temperature in the range of about 300° C. to about 600° C. at a pressure of about 2 mbar. The SnCl4 pulse time ranges from about 0.2 sec to about 10 sec. After the SnCl4 pulse, the tin sequence continues with a purge pulse followed by a water vapor pulse followed by a purge pulse. In an embodiment, the water vapor pulse time may range from about 0.6 sees to about 30 secs, and the SnCl4 and the water vapor purging pulse times are each between about 3 secs and 90 secs.
  • At [0080] block 470, a determination is made as to whether a desired number of cycles has been performed, that is, whether the number of completed cycles is equal to a predetermined number. The predetermined number corresponds to a predetermined thickness for the ALD Zr—Sn—Ti—O dielectric film. If the number of completed cycles is less than the predetermined number, the titanium containing precursor is pulsed into reaction chamber 220, at block 410, and the process continues. If the total number of cycles to form the desired thickness has been completed, the dielectric film containing Zr—Sn—Ti—O may be annealed. To avoid the diffusion of oxygen to the semiconductor substrate surface, any annealing may be performed in an oxygen-free environment for short periods of time. An embodiment of an annealing environment may include a nitrogen atmosphere. In addition to avoiding oxygen diffusion to the semiconductor substrate, the relatively low temperatures employed by atomic layer deposition of a Zr—Sn—Ti—O dielectric layer allows for the formation of an amorphous Zr—Sn—Ti—O dielectric layer.
  • The thickness of a Zr—Sn—Ti—O film is determined by a fixed growth rate for the pulsing periods and precursors used, set at a value such as N nm/cycle. For a desired Zr—Sn—Ti—O film thickness, t, in an application such as forming a gate dielectric of a MOS transistor, the ALD process is repeated for t/N total cycles. [0081]
  • Once the t/N cycles have completed, no further ALD processing for Zr—Sn—Ti—O is required. [0082]
  • At [0083] block 475, after forming the Zr—Sn—Ti—O, processing the device having the dielectric layer containing Zr—Sn—Ti—O is completed. In an embodiment, completing the device may include completing the formation of a transistor. In another embodiment, completing the device may include completing the formation of a capacitor. Alternately, completing the process may include completing the construction of a memory device having a array with access transistors formed with gate dielectrics containing atomic layer deposited Zr—Sn—Ti—O. Further, in another embodiment, completing the process may include the formation of an electronic system including an information handling device that uses electronic devices with transistors formed with dielectric films containing atomic layer deposited Zr—Sn—Ti—O. Typically, information handling devices such as computers include many memory devices, having many access transistors.
  • It can be appreciated by those skilled in the art that the elements of a method for forming an atomic layer deposited Zr—Sn—Ti—O film in the embodiment of FIG. 4 may be performed under various other environmental conditions and pulse periods depending on the Zr—Sn—Ti—O film to be formed for a given application and the system used to fabricate the Zr—Sn—Ti—O film. Determination of the environmental conditions, precursors used, purging gases employed, and pulse periods for the precursors and purging gases may be made without undue experimentation. [0084]
  • Further, it can also be appreciated by those skilled in the art that the elements of a method for forming an atomic layer deposited Zr—Sn—Ti—O film in the embodiment of FIG. 4 may be performed with various permutations of the three sequences used to form the Zr—Sn—Ti—O dielectric film. In an embodiment, the zirconium/oxygen sequence is performed first. In another embodiment, the tin/oxygen sequence is performed first. Further, for a given cycle, any one sequence may be performed multiple times with respect to the other sequences. For example, a Zr—Sn—Ti—O cycle may include three titanium/oxygen sequences, one zirconium/oxygen sequence, and one tin/oxygen sequence. In an embodiment, a number of cycles for a titanium/oxygen sequence is performed along with a number of cycles for a zirconium/oxygen sequence and a number of cycles for a tin/oxygen sequence such that a Zr—Sn—Ti—O layer is formed having a composition as a solid solution of TiO[0085] 2—ZrO2—SnO2. Alternately, a solid solution of TiOx—ZrOx—SnOx is formed as a dielectric film. Thus, ALD processing of a Zr—Sn—Ti—O layer provides for engineering of the composition of the Zr—Sn—Ti—O dielectric film.
  • In an embodiment, ALD processing of a Zr—Sn—Ti—O dielectric layer may include pulsing metal halides as precursors for each metal in the Zr—Sn—Ti—O layer. Additionally, water vapor may be used as the oxygen containing precursor for each sequence in an ALD cycle for forming a Zr—Sn—Ti—O layer. Other oxygen containing precursors may include H[0086] 2O2 or a H2O—H2O2 mixture. Alternately, other metal containing precursors and oxygen containing precursors may be used in the ALD formation of a Zr—Sn—Ti—O layer. These alternate metal containing precursors should chemisorb or react with the substrate surface without causing the resulting layer to form SiO2 upon reaction with the oxygen containing precursors.
  • In an embodiment, ALD processing provides a method for controlling the formation of the dielectric film such that the dielectric film is an amorphous Ti-rich Zr—Sn—Ti—O film. In another embodiment, ALD processing may include controlling the atomic layer deposition to form the Zr—Sn—Ti—O dielectric film having a composition substantially of Zr[0087] ySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2. Alternately, ALD processing may include controlling the atomic layer deposition to form the Zr—Sn—Ti—O dielectric film having a composition substantially of Zr0.2Sn0.2Ti0.6O2.
  • In an embodiment, ALD processing provides for the engineering of a dielectric film containing Zr—Sn—Ti—O having a dielectric constant in the range from about 33 to about 70, or alternately from about 50 to about 70. In another embodiment, ALD processing provides for the engineering of a dielectric film containing Zr—Sn—Ti—O having a dielectric constant in the range from about 33 to about 37. [0088]
  • Atomic layer deposition of a Zr—Sn—Ti—O dielectric layer may be processed in an atomic layer deposition system such as [0089] ALD system 200 under computer control to perform various embodiments, in accordance with the teachings of the current invention, and operated under computer-executable instructions to perform these embodiments. In an embodiment, a computerized method and the computer-executable instructions for a method for forming a dielectric film may include forming a Zr—Sn—Ti—O dielectric film by atomic layer deposition. In another embodiment, a computerized method and the computer-executable instructions for a method for forming a dielectric film may include depositing titanium and oxygen onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, and depositing tin and oxygen onto the substrate surface by atomic layer deposition.
  • In an embodiment, a computerized method and the computer-executable instructions for a method for forming a dielectric film may further include forming the Zr—Sn—Ti—O dielectric film by atomic layer deposition, where a plurality of precursors are pulsed into a reaction chamber for a predetermined period. The predetermined period is individually controlled for each precursor pulsed into the reaction chamber. Further, the substrate may be maintained at a selected temperature for each pulsing of a precursor, where the selected temperature is set independently for pulsing each precursor. In addition, each pulsing of a precursor is followed by purging the reaction chamber with a purging gas. [0090]
  • In an embodiment, a computerized method and the computer-executable instructions for a method for forming a dielectric film may further include regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 33 to about 70, or alternately from about 50 to about 70. Further, the computerized method and the computer-executable instructions may include regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 33 to about 37. [0091]
  • In another embodiment, a computerized method and the computer-executable instructions for a method for forming a dielectric film may include forming TiO[0092] 2 onto a substrate surface by atomic layer deposition, depositing zirconium and oxygen onto the substrate surface by atomic layer deposition, and depositing tin and oxygen onto the substrate surface by atomic layer deposition. Further, depositing TiO2 onto a substrate surface by atomic layer deposition may include pulsing a TiCl4 precursor.
  • In another embodiment, a computerized method and the computer-executable instructions for a method for forming a dielectric film may further include controlling an environment of a reaction chamber. Additionally, the computerized method controls the pulsing of purging gases, one for each precursor gas and pulsing each purging gas after pulsing the associated precursor gas. Using a computer to control parameters for growing the dielectric film provides for processing the dielectric film over a wide range of parameters allowing for the determination of an optimum parameter set for the ALD system used. The computer-executable instructions may be provided in any computer-readable medium. Such computer-readable medium may include, but is not limited to, floppy disks, diskettes, hard disks, CD-ROMS, flash ROMS, nonvolatile ROM, and RAM. [0093]
  • An embodiment of this method may be realized using [0094] ALD system 200 of FIG. 2A, where the controls for the individual elements of ALD system 200 are coupled to a computer, not shown in FIG. 2A. The computer provides control of the operation for processing a Zr—Sn—Ti—O dielectric layer by regulating the flow of precursor gases into reaction chamber 220. The computer may control the flow rate of precursor gases and the pulsing periods for these gases by controlling mass-flow controllers 256-259. Additionally, the computer may control the temperature of gas sources 251-254. Further, the pulse period and flow of purging gases from purging gas sources 261, 262 may be regulated through computer control of mass- flow controllers 266, 267, respectively.
  • The computer may also regulate the environment of [0095] reactor chamber 220 in which a dielectric film is being formed on substrate 210. The computer regulates the pressure in reaction chamber 220 within a predetermined pressure range by controlling vacuum pumps 281, 282 through mass- flow controllers 286, 287, respectively. The computer also regulates the temperature range for substrate 210 within a predetermined range by controlling heater 230.
  • For convenience, the individual control lines to elements of [0096] ALD 200, as well as a computer, are not shown in FIG. 2A. The above description of the computer control in conjunction with FIG. 2A provides information for those skilled in the art to practice embodiments for forming a dielectric layer containing Zr—Sn—Ti—O using a computerized method as described herein.
  • The embodiments described herein provide a process for growing a dielectric film having a wide range of useful equivalent oxide thickness, t[0097] eq, associated with a dielectric constant in the range from about 33 to about 70. With increased percentage of Ti and decreased percentage of Zr and Sn in a Zr—Sn—Ti—O dielectric film, the dielectric film composition approaches that of TiOx, where TiO2 has a dielectric constant of about 80, and a relatively low breakdown electric field. With increased percentage of Zr and decreased percentage of Ti and Sn in a Zr—Sn— Ti—O dielectric film, the dielectric film composition approaches that of ZrOx, where ZrO2 has a dielectric constant of about 25, and a relatively higher breakdown electric field. Inclusion of tin in the Zr—Sn—Ti—O layer aids in the production of a dielectric layer with increased electric field breakdown and reduced leakage current. Further, ALD processing of amorphous Ti-rich Zr—Sn—Ti—O dielectric films allows for selecting a dielectric film with a composition having good electric field breakdown and leakage current properties while maintaining a relatively high dielectric constant. For example, a 40-50 nm thick film of Zr0.2Sn0.2Ti0.6O2 can have a dielectric constant in the range of about 50 to about 70 with a breakdown electric field about 3 to about 5 MV/cm and a leakage current in the range of about 10−9 to about 10−7 A/cm2 at 1.0 MV/cm.
  • The t[0098] eq range in accordance with embodiments of the present invention are shown the following
    Physical Physical Physical Physical
    Thickness Thickness Thickness Thickness
    t = 1.0 nm t = 5.0 nm t = 100.0 nm t = 450 nm
    (1.0 × 101 Å) (5.0 × 101 Å) (1 × 103 Å) (4.5 × l03 Å)
    κ teq (Å) teq (Å) teq (Å) teq (Å)
    33 1.18 5.91 118.18 531.82
    37 1.05 5.27 105.41 474.32
    50 0.78 3.90 78.00 351.00
    70 0.56 2.79 55.71 250.71
  • The relatively large dielectric constant for material layers of Zr—Sn—Ti—O allows for the engineering of dielectric films having a physical thickness in the 100 nm (1000 Å) range, while achieving a t[0099] eq of less than 120 Å. From above, it is apparent that a film containing Zr—Sn—Ti—O may be attained with a teq ranging from about 2.5 Å to about 6 Å. Further, an atomic layer deposited Zr—Sn—Ti—O film may provide a teq significantly less than 2 or 3 Å, even less than 1.5 Å.
  • Attainment of a t[0100] eq in the monolayer thickness range requires that an interfacial layer between a semiconductor substrate surface and the Zr—Sn—Ti—O dielectric layer be exceptionally small or composed of a material having a dielectric constant approaching that of the Zr—Sn—Ti—O value. The formation of a SiO2 interfacial layer should be avoided. Thus, the preparation of the semiconductor substrate surface prior to the first pulse of the first sequence of the ALD process should include removing any SiO2 layer that may exist and preventing the formation of a SiO2 prior to the beginning of the ALD process. During, the ALD process, selection of appropriate precursors may prevent the formation of a SiO2 layer. Further, to assist in the reduction or elimination of a SiO2 interfacial layer, the deposition of the first precursor, typically a non-oxygen containing precursor in various embodiments, on the semiconductor surface should be uniform across the substrate surface. This uniform distribution may aid in avoiding a reaction of the second precursor, an oxygen containing precursor, with the substrate surface rather than with the first precursor.
  • Any micro-roughness associated with thin films of Zr—Sn—Ti—O may be due to partial monolayer formation of the dielectric layer across the substrate surface. With some areas of the dielectric layer forming a monolayer in two or three cycles, while another area or region of the layer forms a monolayer in one or two cycles, the surface of the Zr—Sn—Ti—O dielectric layer may exhibit some micro-roughness. Uniform distribution across the substrate surface of each precursor in a sequence may help to alleviate the occurrence of such micro-roughness of the dielectric layer. As can be understood by those skilled in the art, particular growth rates and processing conditions for providing a Zr—Sn—Ti—O dielectric layer with reduction or substantially eliminated micro-roughness may be determined during normal initial testing of the ALD system for processing a Zr—Sn—Ti—O dielectric film for a given application without undue experimentation. [0101]
  • Further, dielectric films of Zr—Sn—Ti—O formed by atomic layer deposition may provide not only ultra thin t[0102] eq films, but also films with relatively low leakage current. In addition to using ALD to provide precisely engineered film thicknesses with engineered dielectric constants, good breakdown electric field properties, and relatively low leakage currents, ALD processing provides for dielectric films that provide conformal layering onto selected substrate surfaces.
  • The novel processes described above for performing atomic layer deposition of Zr—Sn—Ti—O may precisely control the thickness of the dielectric layer formed, where, in addition to providing an ultra thin t[0103] eq, the atomic layer deposition process provides for relatively smooth surfaces and limited interfacial layer formation. Additionally, these embodiments for ALD processing of Zr—Sn—Ti—O dielectric films may be implemented to form transistors, capacitors, memory devices, and other electronic systems including information handling devices. With careful preparation and engineering of the Zr—Sn—Ti—O layer, limiting the size of interfacial regions, a teq of about 5 Å to about 3 Å or lower for these devices is anticipated.
  • In an embodiment, a dielectric layer may include a film containing atomic layer deposited Zr—Sn—Ti—O. In an embodiment, the film contains an amorphous Ti— rich Zr—Sn—Ti—O film. In another embodiment, the film may include Zr—Sn—Ti—O having a composition substantially of Zr[0104] ySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2. In another embodiment, the film may include Zr—Sn—Ti—O having a composition substantially of Zr0.2Sn0.2Ti0.6O2. Such a dielectric layer may have applications in a wide variety of electronic systems. With a relatively high dielectric constant, a dielectric layer including a film containing atomic layer deposited Zr—Sn—Ti—O may be used in electro-optic devices, microwave devices, transistors, memories, information handling devices, and other electronic systems.
  • A [0105] transistor 100 as depicted in FIG. 1 may be formed by forming a source region 120 and a drain region 130 in a silicon based substrate 110 where source and drain regions 120, 130 are separated by a body region 132. Body region 132 defines a channel having a channel length 134. A dielectric film is disposed on substrate 110 formed as a layer containing Zr—Sn—Ti—O on substrate 110 by atomic layer deposition. The resulting Zr—Sn—Ti—O dielectric layer forms gate dielectric 140.
  • A [0106] gate 150 is formed over gate dielectric 140. Typically, forming gate 150 may include forming a polysilicon layer, though a metal gate may be formed in an alternative process. Forming the substrate, the source and drain regions, and the gate is performed using standard processes known to those skilled in the art. Additionally, the sequencing of the various elements of the process for forming a transistor is conducted with standard fabrication processes, also as known to those skilled in the art.
  • The method for forming an atomic layer deposited Zr—Sn—Ti—O in various embodiments may be applied to other transistor structures having dielectric layers. FIG. 5 shows an embodiment of a configuration of a [0107] transistor 500 having an atomic layer deposited Zr—Sn—Ti—O dielectric film. Transistor 500 may include a silicon based substrate 510 with a source 520 and a drain 530 separated by a body region 532. Body region 532 between source 520 and drain 530 defines a channel region having a channel length 534. Located above body region 532 is a stack 555 including a gate dielectric 540, a floating gate 552, a floating gate dielectric 542, and a control gate 550. Gate dielectric 540 may be formed containing atomic layer deposited Zr—Sn—Ti—O as described above with the remaining elements of the transistor 500 formed using processes known to those skilled in the art. Alternately, both gate dielectric 540 and floating gate dielectric 542 may be formed as dielectric layers containing Zr—Sn—Ti—O in various embodiments as described herein.
  • The embodiments of methods for forming Zr—Sn—Ti—O dielectric films may also be applied to forming capacitors in various integrated circuits, memory devices, and electronic systems. In an embodiment for forming a capacitor, a method may include forming a first conductive layer, forming a dielectric film containing Zr—Sn— Ti—O on the first conductive layer by atomic layer deposition, and forming a second conductive layer on the dielectric film. ALD formation of the Zr—Sn—Ti—O dielectric film allows the dielectric film to be engineered within a predetermined composition providing a desired dielectric constant. Alternately, forming a conductive layer on a substrate, forming a dielectric film containing Zr—Sn—Ti—O using any of the embodiments described herein, and forming another conductive layer on the dielectric film can construct a capacitor. [0108]
  • Transistors, capacitors, and other devices having dielectric films containing atomic layer deposited Zr—Sn—Ti—O formed by the methods described above may be implemented into memory devices and electronic systems including information handling devices. Such information devices may include wireless systems, telecommunication systems, and computers. An embodiment of a computer having a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O is shown in FIGS. [0109] 6-8 and described below. While specific types of memory devices and computing devices are shown below, it will be recognized by one skilled in the art that several types of memory devices and electronic systems including information handling devices utilize the invention.
  • A personal computer, as shown in FIGS. 6 and 7, may include a [0110] monitor 600, keyboard input 602 and a central processing unit 604. Central processor unit 604 typically may include microprocessor 706, memory bus circuit 708 having a plurality of memory slots 712(a-n), and other peripheral circuitry 710. Peripheral circuitry 710 permits various peripheral devices 724 to interface processor-memory bus 720 over input/output (I/O) bus 722. The personal computer shown in FIGS. 6 and 7 also may include at least one transistor having a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O according an embodiment of the present invention.
  • [0111] Microprocessor 706 produces control and address signals to control the exchange of data between memory bus circuit 708 and microprocessor 706 and between memory bus circuit 708 and peripheral circuitry 710. This exchange of data is accomplished over high speed memory bus 720 and over high speed I/O bus 722.
  • Coupled to [0112] memory bus 720 are a plurality of memory slots 712(a-n), which receive memory devices well known to those skilled in the art. For example, single in-line memory modules (SIMMs) and dual in-line memory modules (DIMMs) may be used in the implementation of embodiment of the present invention.
  • These memory devices may be produced in a variety of designs that provide different methods of reading from and writing to the dynamic memory cells of [0113] memory slots 712. One such method is the page mode operation. Page mode operations in a DRAM are defined by the method of accessing a row of a memory cell arrays and randomly accessing different columns of the array. Data stored at the row and column intersection may be read and output while that column is accessed. Page mode DRAMs require access steps, which limit the communication speed of memory circuit 708.
  • An alternate type of device is the extended data output (EDO) memory, which allows data stored at a memory array address to be available as output after the addressed column has been closed. This memory may increase some communication speeds by allowing shorter access signals without reducing the time in which memory output data is available on [0114] memory bus 720. Other alternative types of devices include SDRAM, DDR SDRAM, SLDRAM and Direct RDRAM as well as others such as SRAM or Flash memories.
  • FIG. 8 illustrates a schematic view of an embodiment of a [0115] DRAM memory device 800 having an atomic layer deposited Zr—Sn—Ti—O dielectric film. Illustrative DRAM memory device 800 is compatible with memory slots 712(a-n). The description of DRAM memory device 800 has been simplified for purposes of illustrating a DRAM memory device and is not intended to be a complete description of all the features of a DRAM. Those skilled in the art will recognize that a wide variety of memory devices may be used in the implementation of embodiments of the present invention. The embodiment of a DRAM memory device shown in FIG. 8 may include at least one transistor having a gate dielectric containing atomic layer deposited Zr—Sn—Ti—O according to the teachings of the present invention.
  • Control, address and data information provided over [0116] memory bus 720 is further represented by individual inputs to DRAM 800, as shown in FIG. 8. These individual representations are illustrated by data lines 802, address lines 804 and various discrete lines directed to control logic 806.
  • As is well known in the art, [0117] DRAM 800 may include memory array 810, which in turn comprises rows and columns of addressable memory cells. Each memory cell in a row is coupled to a common word line. The word line is coupled to gates of individual transistors, where at least one transistor has a gate coupled to a gate dielectric containing atomic layer deposited Zr—Sn—Ti—O in accordance with the method and structure previously described above. Additionally, each memory cell in a column is coupled to a common bit line. Each cell in memory array 810 may include a storage capacitor and an access transistor as is conventional in the art.
  • [0118] DRAM 800 interfaces with, for example, microprocessor 706 through address lines 804 and data lines 802. Alternatively, DRAM 800 may interface with a DRAM controller, a micro-controller, a chip set or other electronic system. Microprocessor 706 also provides a number of control signals to DRAM 800, including but not limited to, row and column address strobe signals RAS and CAS, write enable signal WE, an output enable signal OE and other conventional control signals.
  • [0119] Row address buffer 812 and row decoder 814 receive and decode row addresses from row address signals provided on address lines 804 by microprocessor 706. Each unique row address corresponds to a row of cells in memory array 810. Row decoder 814 may include a word line driver, an address decoder tree, and circuitry which translates a given row address received from row address buffers 812 and selectively activates the appropriate word line of memory array 810 via the word line drivers.
  • [0120] Column address buffer 816 and column decoder 818 receive and decode column address signals provided on address lines 804. Column decoder 818 also determines when a column is defective and the address of a replacement column. Column decoder 818 is coupled to sense amplifiers 820. Sense amplifiers 820 are coupled to complementary pairs of bit lines of memory array 810.
  • [0121] Sense amplifiers 820 are coupled to data-in buffer 822 and data-out buffer 824. Data-in buffers 822 and data-out buffers 824 are coupled to data lines 802. During a write operation, data lines 802 provide data to data-in buffer 822. Sense amplifier 820 receives data from data-in buffer 822 and stores the data in memory array 810 as a charge on a capacitor of a cell at an address specified on address lines 804.
  • During a read operation, [0122] DRAM 800 transfers data to microprocessor 706 from memory array 810. Complementary bit lines for the accessed cell are equilibrated during a precharge operation to a reference voltage provided by an equilibration circuit and a reference voltage supply. The charge stored in the accessed cell is then shared with the associated bit lines. A sense amplifier of sense amplifiers 820 detects and amplifies a difference in voltage between the complementary bit lines. The sense amplifier passes the amplified voltage to data-out buffer 824.
  • [0123] Control logic 806 is used to control the many available functions of DRAM 800. In addition, various control circuits and signals not detailed herein initiate and synchronize DRAM 800 operation as known to those skilled in the art. As stated above, the description of DRAM 800 has been simplified for purposes of illustrating an embodiment of the present invention and is not intended to be a complete description of all the features of a DRAM. Those skilled in the art will recognize that a wide variety of memory devices, including but not limited to, SDRAMs, SLDRAMs, RDRAMs and other DRAMs and SRAMs, VRAMs and EEPROMs, may be used in the implementation of embodiments of the present invention. The DRAM implementation described herein is illustrative only and not intended to be exclusive or limiting.
  • CONCLUSION
  • A dielectric film containing atomic layer deposited Zr—Sn—Ti—O and a method of fabricating such a dielectric film produce a reliable dielectric film having an equivalent oxide thickness thinner than attainable using SiO[0124] 2. Dielectric films containing atomic layer deposited Zr—Sn—Ti—O formed using the methods described herein are thermodynamically stable such that the dielectric films formed will have minimal reactions with a silicon substrate or other structures during processing.
  • Zr—Sn—Ti—O films formed by atomic layer deposition may be amorphous and conformally layered on a substrate surface. Engineering the composition of the Zr—Sn—Ti—O films may provide for selecting a dielectric film with increased breakdown electric fields and decreased leakage currents with relatively high dielectric constant relative to a Zr—Sn—Ti—O film with higher dielectric constant but lower breakdown electric fields and decreased leakage current. Further, the ALD formation of a Zr— Sn—Ti—O dielectric film provides for enhanced dielectric and electrical properties relative to those attained with an amorphous SiO[0125] x film. These properties of layers containing atomic layer deposited Zr—Sn—Ti—O films allow for application as dielectric layers in numerous electronic devices and systems.
  • Capacitors, transistors, higher level ICs or devices, and electronic systems are constructed utilizing the novel process for forming a dielectric film having an ultra thin equivalent oxide thickness, t[0126] eq. Gate dielectric layers or films containing atomic layer deposited Zr—Sn—Ti—O are formed having a dielectric constant substantially higher than that of silicon oxide, where the dielectric films are capable of a teq thinner than 10 Å, thinner than the expected limit for SiO2 gate dielectrics. At the same time, the physical thickness of the atomic layer deposited Zr—Sn—Ti—O dielectric film is much larger than the SiO2 thickness associated with the teq limit of SiO2. Forming the relatively larger thickness provides advantages in processing gate dielectrics and other dielectric layers. Further, a Zr—Sn—Ti—O film processed in relatively low temperatures allowed by atomic layer deposition may provide amorphous dielectric films having relatively low leakage current for use as dielectric layers in electronic devices and systems.
  • Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiment shown. This application is intended to cover any adaptations or variations of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive. Combinations of the above embodiments, and other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention includes any other applications in which the above structures and fabrication methods are used. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled. [0127]

Claims (69)

What is claimed is:
1. A method of forming a dielectric film comprising forming a Zr—Sn—Ti—O film on a surface by atomic layer deposition.
2. The method of claim 1, wherein the method further includes controlling the atomic layer deposition to form the dielectric film as an amorphous Ti-rich Zr—Sn— Ti—O film.
3. The method of claim 1, wherein the method further includes controlling the atomic layer deposition to form the dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
4. The method of claim 1, wherein the method further includes controlling the atomic layer deposition to form the dielectric film having a composition substantially of Zr0.2Sn0.2Ti0.6O2.
5. The method of claim 1, wherein atomic layer deposition includes pulsing metal chloride precursors for each metal in the Zr—Sn—Ti—O.
6. A method of forming a dielectric film comprising:
depositing titanium and oxygen onto a surface by atomic layer deposition;
depositing zirconium and oxygen onto the surface by atomic layer deposition; and
depositing tin and oxygen onto the surface by atomic layer deposition.
7. The method of claim 6, wherein depositing titanium and oxygen onto a surface by atomic layer deposition includes pulsing a TiCl4 precursor.
8. The method of claim 6, wherein the method further includes controlling the formation of the dielectric film such that the dielectric film is an amorphous Ti-rich Zr—Sn—Ti—O film.
9. The method of claim 6, wherein the method further includes controlling the atomic layer deposition to form the dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
10. The method of claim 6, wherein the method further includes controlling the atomic layer deposition to form the dielectric film having a composition substantially of Zr0.2Sn0.2Ti0.6O2.
11. A method of forming a dielectric film comprising:
forming TiO2 onto a surface by atomic layer deposition;
depositing zirconium and oxygen onto the surface by atomic layer deposition; and
depositing tin and oxygen onto the surface by atomic layer deposition.
12. The method claim 11, wherein depositing TiO2 onto a surface by atomic layer deposition includes pulsing a TiCl4 precursor.
13. The method claim 11, wherein depositing zirconium and oxygen onto the surface by atomic layer deposition includes pulsing a ZrCl4 precursor.
14. The method claim 11, wherein depositing tin and oxygen onto the surface by atomic layer deposition includes pulsing a SnCl4 precursor.
15. The method of claim 11, wherein the method further includes controlling the formation of the dielectric film such that the dielectric film has a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
16. The method of claim 11, wherein the method further includes controlling the formation of the dielectric film such that the dielectric film has a composition substantially of Zr0.2Sn0.2Ti0.6O2.
17. A method of forming a capacitor, comprising:
forming a first conductive layer on a substrate;
forming a dielectric film containing Zr—Sn—Ti—O on the first conductive layer by atomic layer deposition; and
forming a second conductive layer on the dielectric film.
18. The method of claim 17, wherein forming a dielectric film containing Zr—Sn— Ti—O on the first conductive layer by atomic layer deposition includes forming an amorphous Ti-rich Zr—Sn—Ti—O film.
19. The method of claim 17, wherein forming a dielectric film containing Zr—Sn— Ti—O on the first conductive layer by atomic layer deposition includes forming a dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
20. The method of claim 17, wherein forming a dielectric film containing Zr—Sn—Ti—O on the first conductive layer by atomic layer deposition includes regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 50 to about 70.
21. The method of claim 17, wherein forming a dielectric film containing Zr—Sn— Ti—O on the first conductive layer by atomic layer deposition includes regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 33 to about 37.
22. The method of claim 17, wherein forming a dielectric film containing Zr—Sn—Ti—O on the first conductive layer includes:
depositing titanium and oxygen onto the first conductive layer by atomic layer deposition;
depositing zirconium and oxygen onto the first conductive layer by atomic layer deposition; and
depositing tin and oxygen onto the first conductive layer by atomic layer deposition.
23. The method of claim 22, wherein depositing titanium and oxygen onto the first conductive layer by atomic layer deposition includes forming TiO2 onto the first conductive layer by atomic layer deposition.
24. The method of claim 22, wherein forming a dielectric film containing Zr—Sn—Ti—O on the first conductive layer includes pulsing a TiCl4 precursor, pulsing a ZrCl4 precursor, and pulsing a SnCl4 precursor.
25. A method of forming a transistor comprising:
forming a source region and a drain region in a substrate, the source region and the drain region separated by a body region;
forming a dielectric film containing Zr—Sn—Ti—O on the body region between the source and drain regions by atomic layer deposition; and
coupling a gate to the dielectric film.
26. The method of claim 25, wherein forming a dielectric film containing Zr—Sn— Ti—O on the body region includes controlling the atomic layer deposition to form the dielectric film as an amorphous Ti-rich Zr—Sn—Ti—O film.
27. The method of claim 25, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes controlling the atomic layer deposition to form the dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
28. The method of claim 25, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes controlling the atomic layer deposition to form the dielectric film having a composition substantially of Zr0.2Sn0.2Ti0.6O2.
29. The method of claim 25, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 50 to about 70.
30. The method of claim 25, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 33 to about 37.
31. The method of claim 25, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region between the source and drain regions includes:
depositing titanium and oxygen onto the body region by atomic layer deposition;
depositing zirconium and oxygen onto the body region by atomic layer deposition; and
depositing tin and oxygen onto the body region by atomic layer deposition.
32. The method claim 31, wherein depositing titanium and oxygen onto the body region by atomic layer deposition includes pulsing a TiCl4 precursor onto the body region.
33. The method claim 31, wherein depositing zirconium and oxygen onto the body region by atomic layer deposition includes pulsing a ZrCl4 precursor onto the body region.
34. The method claim 31, wherein depositing tin and oxygen onto the body region by atomic layer deposition includes pulsing a SnCl4 precursor onto the body region.
35. A method of forming a memory array comprising:
forming a number of access transistors, at least one of the access transistors including a dielectric film containing Zr—Sn—Ti—O on a body region between a source region and a drain region, the dielectric film containing Zr—Sn—Ti—O formed by atomic layer deposition;
forming a number of word lines coupled to a number of the gates of the number of access transistors;
forming a number of source lines coupled to a number of the source regions of the number of access transistors; and
forming a number of bit lines coupled to a number of the drain regions of the number of access transistors.
36. The method of claim 35, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes forming an amorphous Ti-rich Zr—Sn—Ti—O film.
37. The method of claim 35, wherein forming a dielectric film containing Zr—Sn— Ti—O on the body region includes forming a dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
38. The method of claim 35, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes:
depositing titanium and oxygen onto the body region by atomic layer deposition;
depositing zirconium and oxygen onto the body region by atomic layer deposition; and
depositing tin and oxygen onto the body region by atomic layer deposition.
39. The method of claim 38, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes pulsing a TiCl4 precursor, pulsing a ZrCl4 precursor, and pulsing a SnCl4 precursor onto the body region.
40. A method of forming an electronic system comprising:
providing a processor;
coupling a memory array to the processor, wherein the memory array includes at least one access transistor having a dielectric film containing Zr—Sn—Ti—O on a body region between a source region and a drain region, the dielectric film containing Zr—Sn—Ti—O formed by atomic layer deposition.
41. The method of claim 40, wherein forming a dielectric film containing Zr—Sn— Ti—O on the body region includes forming an amorphous Ti-rich Zr—Sn—Ti—O film.
42. The method of claim 40, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes forming a dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
43. The method of claim 40, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes:
depositing titanium and oxygen onto the body region by atomic layer deposition;
depositing zirconium and oxygen onto the body region by atomic layer deposition; and
depositing tin and oxygen onto the body region by atomic layer deposition.
44. The method claim 43, wherein forming a dielectric film containing Zr—Sn—Ti—O on the body region includes pulsing a TiCl4 precursor, pulsing a ZrCl4 precursor, and pulsing a SnCl4 precursor onto the body region.
45. A computer-readable medium having computer-executable instructions for performing a method of forming a dielectric film comprising forming a Zr—Sn—Ti—O film by atomic layer deposition.
46. The computer-readable medium of claim 45, wherein forming a Zr—Sn—Ti—O film by atomic layer deposition includes:
depositing titanium and oxygen onto a surface by atomic layer deposition;
depositing zirconium and oxygen onto the surface by atomic layer deposition; and
depositing tin and oxygen onto the surface by atomic layer deposition.
47. The computer-readable medium of claim 46, wherein depositing titanium onto a surface by atomic layer deposition includes pulsing a TiCl4 precursor.
48. The computer-readable medium of claim 45, wherein forming a dielectric film containing Zr—Sn—Ti—O includes regulating the deposition of zirconium, tin, titanium, and oxygen to form a dielectric film having a dielectric constant in the range from about 33 to about 70.
49. A dielectric layer comprising a film containing atomic layer deposited Zr—Sn—Ti—O.
50. The dielectric layer of claim 49, wherein the film containing Zr—Sn—Ti—O includes an amorphous Ti-rich Zr—Sn—Ti—O film.
51. The dielectric layer of claim 49, wherein the film containing Zr—Sn—Ti—O includes a dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
52. The dielectric layer of claim 49, wherein the film containing Zr—Sn—Ti—O includes a dielectric film having a composition substantially of Zr0.2Sn0.2Ti0.6O2.
53. A capacitor, comprising:
a first conductive layer;
a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O, the dielectric layer disposed on the first conductive layer; and
a second conductive layer disposed on the dielectric layer.
54. The capacitor of claim 53, wherein the dielectric layer containing Zr—Sn—Ti—O includes an amorphous Ti-rich Zr—Sn—Ti—O film.
55. The capacitor of claim 53, wherein the dielectric layer exhibits a dielectric constant in the range from about 50 to about 70.
56. A transistor comprising:
a body region between a source region and a drain region;
a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O disposed on the body region between the source region and the drain region; and
a gate coupled to the dielectric film.
57. The transistor of claim 56, wherein the dielectric layer exhibits a dielectric constant in the range from about 50 to about 70.
58. The transistor of claim 56, wherein the dielectric layer exhibits an equivalent oxide thickness (teq) less than about 10 Angstroms.
59. The transistor of claim 56, wherein the dielectric layer exhibits an equivalent oxide thickness (teq) of less than about 3 Angstroms.
60. The transistor of claim 56, wherein the dielectric layer includes an amorphous Ti-rich Zr—Sn—Ti—O film.
61. A memory array comprising:
a number of access transistors, at least one access transistor including a gate coupled to a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O, the dielectric layer disposed on a body region between a source region and a drain region;
a number of word lines coupled to a number of the gates of the number of access transistors;
a number of source lines coupled to a number of the source regions of the number of access transistors; and
a number of bit lines coupled to a number of the drain regions of the number of access transistors.
62. The memory array of claim 61, wherein the dielectric layer has a dielectric constant in the range from about 50 to about 70.
63. The memory array of claim 61, wherein the dielectric layer has an equivalent oxide thickness (teq) less than about 10 Angstroms.
64. An electronic system comprising a dielectric layer containing atomic layer deposited Zr—Sn—Ti—O.
65. The electronic system of claim 64, wherein the dielectric layer includes an amorphous Ti-rich Zr—Sn—Ti—O film.
66. The electronic system of claim 64, wherein the dielectric layer includes a dielectric film having a composition substantially of ZrySnxTi1-x-yO4 with 0.3<y<0.7 and 0<x<0.2.
67. An electronic system comprising:
a processor;
a system bus; and
a memory array coupled to the processor by the system bus, the memory array including:
a number of access transistors, at least one access transistors having a gate coupled to a dielectric film containing atomic layer deposited Zr—Sn—Ti—O, the dielectric film disposed on a body region between a source region and a drain region;
a number of word lines coupled to a number of the gates of the number of access transistors;
a number of source lines coupled to a number of the source region of the number of access transistors; and
a number of bit lines coupled to a number of the drain region of the number of access transistors.
68. The electronic system of claim 67, wherein the dielectric film has a dielectric constant in the range from about 50 to about 70.
69. The electronic system of claim 67, wherein the film exhibits an equivalent oxide thickness (teq) less than about 10 Angstroms.
US10/309,935 2002-12-04 2002-12-04 Atomic layer deposited Zr-Sn-Ti-O films Expired - Fee Related US7101813B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/309,935 US7101813B2 (en) 2002-12-04 2002-12-04 Atomic layer deposited Zr-Sn-Ti-O films
US11/084,968 US7611959B2 (en) 2002-12-04 2005-03-21 Zr-Sn-Ti-O films
US12/609,897 US8445952B2 (en) 2002-12-04 2009-10-30 Zr-Sn-Ti-O films

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/309,935 US7101813B2 (en) 2002-12-04 2002-12-04 Atomic layer deposited Zr-Sn-Ti-O films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/084,968 Continuation US7611959B2 (en) 2002-12-04 2005-03-21 Zr-Sn-Ti-O films

Publications (2)

Publication Number Publication Date
US20040110391A1 true US20040110391A1 (en) 2004-06-10
US7101813B2 US7101813B2 (en) 2006-09-05

Family

ID=32467949

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/309,935 Expired - Fee Related US7101813B2 (en) 2002-12-04 2002-12-04 Atomic layer deposited Zr-Sn-Ti-O films
US11/084,968 Active 2025-11-03 US7611959B2 (en) 2002-12-04 2005-03-21 Zr-Sn-Ti-O films
US12/609,897 Expired - Lifetime US8445952B2 (en) 2002-12-04 2009-10-30 Zr-Sn-Ti-O films

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/084,968 Active 2025-11-03 US7611959B2 (en) 2002-12-04 2005-03-21 Zr-Sn-Ti-O films
US12/609,897 Expired - Lifetime US8445952B2 (en) 2002-12-04 2009-10-30 Zr-Sn-Ti-O films

Country Status (1)

Country Link
US (3) US7101813B2 (en)

Cited By (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030043637A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc Flash memory with low tunnel barrier interpoly insulators
US20030228747A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US20040185654A1 (en) * 2001-12-20 2004-09-23 Micron Technology, Inc. Low-temperature growth high-quality ultra-thin praseodymium gate dielectrics
US20040233010A1 (en) * 2003-05-22 2004-11-25 Salman Akram Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US20040262700A1 (en) * 2003-06-24 2004-12-30 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US20050020017A1 (en) * 2003-06-24 2005-01-27 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20050023627A1 (en) * 2002-08-15 2005-02-03 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20050032292A1 (en) * 2001-08-30 2005-02-10 Micron Technology, Inc. Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3
US20050054165A1 (en) * 2003-03-31 2005-03-10 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers
WO2006026716A1 (en) * 2004-08-31 2006-03-09 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US20060131702A1 (en) * 1999-07-30 2006-06-22 Micron Technology, Inc. Novel transmission lines for CMOS integrated circuits
US20060176645A1 (en) * 2005-02-08 2006-08-10 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060177975A1 (en) * 2005-02-10 2006-08-10 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US20060183272A1 (en) * 2005-02-15 2006-08-17 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US20060244100A1 (en) * 2005-04-28 2006-11-02 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US20060281330A1 (en) * 2005-06-14 2006-12-14 Micron Technology, Inc. Iridium / zirconium oxide structure
US20070007560A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Metal-substituted transistor gates
US20070037415A1 (en) * 2004-12-13 2007-02-15 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US20070045752A1 (en) * 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
US20070049054A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20070117407A1 (en) * 2005-11-24 2007-05-24 National Tsing Hua University Method for forming substrates for MOS transistor components and its products
US20070234949A1 (en) * 2006-04-07 2007-10-11 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US20070236867A1 (en) * 2006-03-31 2007-10-11 Joachim Hossick-Schott Capacitor Electrodes Produced with Atomic Layer Deposition for Use in Implantable Medical Devices
US20080004670A1 (en) * 2006-06-29 2008-01-03 Mcvenes Rick D Implantable medical device having a conformal coating and method for manufacture
US20080029790A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. ALD of silicon films on germanium
US20080032424A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. ALD of Zr-substituted BaTiO3 films as gate dielectrics
US20080054330A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20080067000A1 (en) * 2006-09-19 2008-03-20 Integrated Dynamics Engineering Gmbh Environmental noise shielding apparatus
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20080274625A1 (en) * 2002-12-04 2008-11-06 Micron Technology, Inc. METHODS OF FORMING ELECTRONIC DEVICES CONTAINING Zr-Sn-Ti-O FILMS
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7719065B2 (en) 2004-08-26 2010-05-18 Micron Technology, Inc. Ruthenium layer for a dielectric layer containing a lanthanide oxide
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7863667B2 (en) 2003-04-22 2011-01-04 Micron Technology, Inc. Zirconium titanium oxide films
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8125038B2 (en) 2002-07-30 2012-02-28 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
WO2013138069A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Methods for depositing a tin-containing layer on a substrate
US8581352B2 (en) 2006-08-25 2013-11-12 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US20180337055A1 (en) * 2017-05-19 2018-11-22 Renesas Electronics Corporation Method of manufacturing semiconductor device

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
JPWO2004086484A1 (en) * 2003-03-24 2006-06-29 富士通株式会社 Semiconductor device and manufacturing method thereof
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
JP4956939B2 (en) * 2005-08-31 2012-06-20 Tdk株式会社 Dielectric film and manufacturing method thereof
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7498230B2 (en) * 2007-02-13 2009-03-03 Micron Technology, Inc. Magnesium-doped zinc oxide structures and methods
US8252697B2 (en) 2007-05-14 2012-08-28 Micron Technology, Inc. Zinc-tin oxide thin-film transistors
US8367506B2 (en) 2007-06-04 2013-02-05 Micron Technology, Inc. High-k dielectrics with gold nano-particles
US7759237B2 (en) 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
KR100963003B1 (en) * 2008-02-05 2010-06-10 삼성모바일디스플레이주식회사 Thin film transistor, method of manufacturing the thin film transistor and flat panel display device having the thin film transistor
US20090303794A1 (en) * 2008-06-04 2009-12-10 Macronix International Co., Ltd. Structure and Method of A Field-Enhanced Charge Trapping-DRAM
US8564095B2 (en) 2011-02-07 2013-10-22 Micron Technology, Inc. Capacitors including a rutile titanium dioxide material and semiconductor devices incorporating same
US8609553B2 (en) 2011-02-07 2013-12-17 Micron Technology, Inc. Methods of forming rutile titanium dioxide and associated methods of forming semiconductor structures
MX2019006368A (en) * 2016-12-02 2019-08-21 Carver Scient Inc Memory device and capacitive energy storage device.
CN110415974B (en) * 2019-07-17 2021-04-02 南京大学 Metal oxide flexible capacitor based on nano laminated structure and preparation method thereof
US11145710B1 (en) 2020-06-26 2021-10-12 Micron Technology, Inc. Electrode/dielectric barrier material formation and structures

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4333808A (en) * 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4399424A (en) * 1980-10-07 1983-08-16 Itt Industries, Inc. Gas sensor
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4647947A (en) * 1982-03-15 1987-03-03 Tokyo Shibaura Denki Kabushiki Kaisha Optical protuberant bubble recording medium
US4725877A (en) * 1986-04-11 1988-02-16 American Telephone And Telegraph Company, At&T Bell Laboratories Metallized semiconductor device including an interface layer
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5006192A (en) * 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
US5496597A (en) * 1993-07-20 1996-03-05 Planar International Ltd. Method for preparing a multilayer structure for electroluminescent components
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US5795808A (en) * 1995-11-13 1998-08-18 Hyundai Electronics Industries C., Ltd. Method for forming shallow junction for semiconductor device
US5801105A (en) * 1995-08-04 1998-09-01 Tdk Corporation Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film
US5810923A (en) * 1994-08-17 1998-09-22 Tdk Corporation Method for forming oxide thin film and the treatment of silicon substrate
US5912797A (en) * 1997-09-24 1999-06-15 Lucent Technologies Inc. Dielectric materials of amorphous compositions and devices employing same
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6010969A (en) * 1996-10-02 2000-01-04 Micron Technology, Inc. Method of depositing films on semiconductor devices by using carboxylate complexes
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6057271A (en) * 1989-12-22 2000-05-02 Sumitomo Electric Industries, Ltd. Method of making a superconducting microwave component by off-axis sputtering
US6059885A (en) * 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6211035B1 (en) * 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6281144B1 (en) * 1997-09-26 2001-08-28 Novellus Systems, Inc. Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6303481B2 (en) * 1999-12-29 2001-10-16 Hyundai Electronics Industries Co., Ltd. Method for forming a gate insulating film for semiconductor devices
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20020024108A1 (en) * 2000-06-26 2002-02-28 Gerald Lucovsky Novel non-crystalline oxides for use in microelectronic, optical, and other applications
US20020025628A1 (en) * 2000-08-31 2002-02-28 Derderian Garo J. Capacitor fabrication methods and capacitor constructions
US20020024080A1 (en) * 2000-08-31 2002-02-28 Derderian Garo J. Capacitor fabrication methods and capacitor constructions
US6368941B1 (en) * 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US20020046705A1 (en) * 2000-08-31 2002-04-25 Gurtej Sandhu Atomic layer doping apparatus and method
US6380579B1 (en) * 1999-04-12 2002-04-30 Samsung Electronics Co., Ltd. Capacitor of semiconductor device
US6387712B1 (en) * 1996-06-26 2002-05-14 Tdk Corporation Process for preparing ferroelectric thin films
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US20020086507A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of forming a metal gate in a semiconductor device
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6432779B1 (en) * 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
US20020111001A1 (en) * 2001-02-09 2002-08-15 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6451695B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US20020146916A1 (en) * 2001-03-29 2002-10-10 Kiyoshi Irino Semiconductor device having a high-dielectric gate insulation film and fabrication process thereof
US6465334B1 (en) * 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US20020155689A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US20030017717A1 (en) * 2001-07-18 2003-01-23 Ahn Kie Y. Methods for forming dielectric materials and methods for forming semiconductor devices
US6521911B2 (en) * 2000-07-20 2003-02-18 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US20030045082A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6531354B2 (en) * 2000-01-19 2003-03-11 North Carolina State University Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors
US20030048666A1 (en) * 2001-08-30 2003-03-13 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6544875B1 (en) * 1999-01-13 2003-04-08 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
US20030124748A1 (en) * 2001-12-31 2003-07-03 Summerfelt Scott R. Method of forming an FeRAM having a multi-layer hard mask and patterning thereof
US20030124791A1 (en) * 2001-12-31 2003-07-03 Summerfelt Scott R. Detection of AIOx ears for process control in FeRAM processing
US6602720B2 (en) * 2001-03-28 2003-08-05 Sharp Laboratories Of America, Inc. Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same
US20030157764A1 (en) * 2002-02-20 2003-08-21 Micron Technology, Inc. Evaporated LaA1O3 films for gate dielectrics
US20030175411A1 (en) * 2001-10-05 2003-09-18 Kodas Toivo T. Precursor compositions and methods for the deposition of passive electrical components on a substrate
US20030181060A1 (en) * 2002-03-18 2003-09-25 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US20040004247A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US20040004859A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20040004245A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US20040009678A1 (en) * 2002-02-28 2004-01-15 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US20040013009A1 (en) * 2002-04-04 2004-01-22 Kabushiki Kaisha Toshiba Semiconductor memory device having a gate electrode and a method of manufacturing thereof
US20040033681A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040033701A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped tiox dielectric films
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6730575B2 (en) * 2001-08-30 2004-05-04 Micron Technology, Inc. Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure
US20040106249A1 (en) * 2002-12-03 2004-06-03 Hannu Huotari Method to fabricate dual metal CMOS devices
US20040104439A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of depositing barrier layer from metal gates

Family Cites Families (274)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (en) 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4618947B1 (en) 1984-07-26 1998-01-06 Texas Instruments Inc Dynamic memory with improved address counter for serial modes
US5070385A (en) * 1989-10-20 1991-12-03 Radiant Technologies Ferroelectric non-volatile variable resistive element
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5057447A (en) * 1990-07-09 1991-10-15 Texas Instruments Incorporated Silicide/metal floating gate process
US5100825A (en) * 1990-11-16 1992-03-31 Micron Technology, Inc. Method of making stacked surrounding reintrant wall capacitor
EP0540993A1 (en) 1991-11-06 1993-05-12 Ramtron International Corporation Structure and fabrication of high transconductance MOS field effect transistor using a buffer layer/ferroelectric/buffer layer stack as the gate dielectric
US6296943B1 (en) 1994-03-05 2001-10-02 Nissan Chemical Industries, Ltd. Method for producing composite sol, coating composition, and optical element
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5698022A (en) 1996-08-14 1997-12-16 Advanced Technology Materials, Inc. Lanthanide/phosphorus precursor compositions for MOCVD of lanthanide/phosphorus oxide films
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6034015A (en) 1997-05-14 2000-03-07 Georgia Tech Research Corporation Ceramic compositions for microwave wireless communication
JPH10321736A (en) * 1997-05-15 1998-12-04 Sony Corp Nand-type memory
US6350672B1 (en) 1997-07-28 2002-02-26 United Microelectronics Corp. Interconnect structure with gas dielectric compatible with unlanded vias
WO1999012572A1 (en) 1997-09-10 1999-03-18 University Of Florida Compounds and method for the prevention and treatment of diabetic retinopathy
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6333556B1 (en) 1997-10-09 2001-12-25 Micron Technology, Inc. Insulating materials
US6858526B2 (en) 1998-07-14 2005-02-22 Micron Technology, Inc. Methods of forming materials between conductive electrical components, and insulating materials
US6350704B1 (en) 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
KR100268936B1 (en) 1997-12-16 2000-10-16 김영환 A method of forming for quantum dot of semiconductor device
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6171809B1 (en) * 1998-01-29 2001-01-09 Packard Instrument Company Method and compositions for detecting luciferase biological samples
US6093623A (en) 1998-08-04 2000-07-25 Micron Technology, Inc. Methods for making silicon-on-insulator structures
US6710538B1 (en) 1998-08-26 2004-03-23 Micron Technology, Inc. Field emission display having reduced power requirements and method
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6141260A (en) 1998-08-27 2000-10-31 Micron Technology, Inc. Single electron resistor memory device and method for use thereof
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US6239028B1 (en) 1998-09-03 2001-05-29 Micron Technology, Inc. Methods for forming iridium-containing films on substrates
US6284655B1 (en) 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6433993B1 (en) 1998-11-23 2002-08-13 Microcoating Technologies, Inc. Formation of thin film capacitors
US6207522B1 (en) 1998-11-23 2001-03-27 Microcoating Technologies Formation of thin film capacitors
US6270835B1 (en) 1999-10-07 2001-08-07 Microcoating Technologies, Inc. Formation of this film capacitors
US6210999B1 (en) 1998-12-04 2001-04-03 Advanced Micro Devices, Inc. Method and test structure for low-temperature integration of high dielectric constant gate dielectrics into self-aligned semiconductor devices
US6274937B1 (en) 1999-02-01 2001-08-14 Micron Technology, Inc. Silicon multi-chip module packaging with integrated passive components and method of making
US6291341B1 (en) 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
US6383861B1 (en) 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
US6436801B1 (en) * 1999-02-26 2002-08-20 Texas Instruments Incorporated Hafnium nitride gate dielectric
US6329286B1 (en) 1999-04-27 2001-12-11 Micron Technology, Inc. Methods for forming conformal iridium layers on substrates
US6713329B1 (en) 1999-05-10 2004-03-30 The Trustees Of Princeton University Inverter made of complementary p and n channel transistors using a single directly-deposited microcrystalline silicon film
US6495878B1 (en) 1999-08-02 2002-12-17 Symetrix Corporation Interlayer oxide containing thin films for high dielectric constant application
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6556962B1 (en) 1999-07-02 2003-04-29 Intel Corporation Method for reducing network costs and its application to domino circuits
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6709968B1 (en) * 2000-08-16 2004-03-23 Micron Technology, Inc. Microelectronic device with package with conductive elements and associated method of manufacture
US6670719B2 (en) 1999-08-25 2003-12-30 Micron Technology, Inc. Microelectronic device package filled with liquid or pressurized gas and associated method of manufacture
US6368518B1 (en) 1999-08-25 2002-04-09 Micron Technology, Inc. Methods for removing rhodium- and iridium-containing films
US6498362B1 (en) 1999-08-26 2002-12-24 Micron Technology, Inc. Weak ferroelectric transistor
US6337237B1 (en) 1999-09-01 2002-01-08 Micron Technology, Inc. Capacitor processing method and DRAM processing method
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6541079B1 (en) 1999-10-25 2003-04-01 International Business Machines Corporation Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
KR100350575B1 (en) 1999-11-05 2002-08-28 주식회사 하이닉스반도체 Silicon on insulator having source-body-substrate contact and method for fabricating the same
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US20030032270A1 (en) * 2001-08-10 2003-02-13 John Snyder Fabrication method for a device for regulating flow of electric current with high dielectric constant gate insulating layer and source/drain forming schottky contact or schottky-like region with substrate
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US20020197793A1 (en) 2000-01-06 2002-12-26 Dornfest Charles N Low thermal budget metal oxide deposition for capacitor structures
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
US6417537B1 (en) 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
US6527866B1 (en) 2000-02-09 2003-03-04 Conductus, Inc. Apparatus and method for deposition of thin films
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6297103B1 (en) * 2000-02-28 2001-10-02 Micron Technology, Inc. Structure and method for dual gate oxide thicknesses
DE10010821A1 (en) 2000-02-29 2001-09-13 Infineon Technologies Ag Increasing capacity in a storage trench comprises depositing a first silicon oxide layer in the trench, depositing a silicon layer over the first layer to sufficiently
AU2001245383A1 (en) 2000-03-01 2001-09-12 The Penn State Research Foundation Method for fabrication of lead based perovskite materials
JP2001257344A (en) 2000-03-10 2001-09-21 Toshiba Corp Semiconductor device and manufacturing method of semiconductor device
US6500499B1 (en) 2000-03-10 2002-12-31 Air Products And Chemicals, Inc. Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
JP2001332546A (en) 2000-05-24 2001-11-30 Rohm Co Ltd Oxidizing method, manufacturing method of silicon oxide film, and oxidizing device
US6573160B2 (en) * 2000-05-26 2003-06-03 Motorola, Inc. Method of recrystallizing an amorphous region of a semiconductor
JP2001345212A (en) * 2000-05-31 2001-12-14 Tdk Corp Laminated electronic part
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6444592B1 (en) 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
KR100351056B1 (en) * 2000-06-27 2002-09-05 삼성전자 주식회사 Method of manufacturing semiconductor device including step of selectively forming metal oxide layer
JP3786566B2 (en) * 2000-06-27 2006-06-14 株式会社東芝 Semiconductor device and manufacturing method thereof
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
DE10034003A1 (en) * 2000-07-07 2002-01-24 Infineon Technologies Ag Trench capacitor with insulation collar and corresponding manufacturing process
GB2364823A (en) 2000-07-12 2002-02-06 Seiko Epson Corp TFT memory device having gate insulator with charge-trapping granules
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
TW511185B (en) 2000-08-11 2002-11-21 Tokyo Electron Ltd Substrate processing apparatus and processing method
US7112503B1 (en) 2000-08-31 2006-09-26 Micron Technology, Inc. Enhanced surface area capacitor fabrication methods
US7094690B1 (en) 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
WO2002029125A1 (en) * 2000-10-02 2002-04-11 Nikko Materials Company, Limited High purity zirconium or hafnium, sputtering target comprising the high purity zirconium or hafnium and thin film formed using the target, and method for producing high purity zirconium or hafnium and method for producing powder of high purity zirconium or hafnium
US6300203B1 (en) 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7476420B2 (en) 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
US20020083464A1 (en) 2000-11-07 2002-06-27 Mai-Ian Tomsen System and method for unprompted, context-sensitive querying during a televison broadcast
US6534357B1 (en) * 2000-11-09 2003-03-18 Micron Technology, Inc. Methods for forming conductive structures and structures regarding same
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
JP2002198525A (en) 2000-12-27 2002-07-12 Toshiba Corp Semiconductor device and its manufacturing method
US7112543B2 (en) 2001-01-04 2006-09-26 Micron Technology, Inc. Methods of forming assemblies comprising silicon-doped aluminum oxide
US20020089063A1 (en) 2001-01-08 2002-07-11 Ahn Kie Y. Copper dual damascene interconnect technology
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6858865B2 (en) 2001-02-23 2005-02-22 Micron Technology, Inc. Doped aluminum oxide dielectrics
US6706608B2 (en) 2001-02-28 2004-03-16 Micron Technology, Inc. Memory cell capacitors having an over/under configuration
US6454912B1 (en) 2001-03-15 2002-09-24 Micron Technology, Inc. Method and apparatus for the fabrication of ferroelectric films
US20050145959A1 (en) 2001-03-15 2005-07-07 Leonard Forbes Technique to mitigate short channel effects with vertical gate transistor with different gate materials
US6586792B2 (en) 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
WO2002090614A1 (en) 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6541280B2 (en) 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6441417B1 (en) 2001-03-28 2002-08-27 Sharp Laboratories Of America, Inc. Single c-axis PGO thin film on ZrO2 for non-volatile memory applications and methods of making the same
EP1251530A3 (en) 2001-04-16 2004-12-29 Shipley Company LLC Dielectric laminate for a capacitor
US6465853B1 (en) 2001-05-08 2002-10-15 Motorola, Inc. Method for making semiconductor device
US6552383B2 (en) 2001-05-11 2003-04-22 Micron Technology, Inc. Integrated decoupling capacitors
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7037862B2 (en) 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
JP3863391B2 (en) 2001-06-13 2006-12-27 Necエレクトロニクス株式会社 Semiconductor device
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6746930B2 (en) 2001-07-11 2004-06-08 Micron Technology, Inc. Oxygen barrier for cell container process
KR100427030B1 (en) 2001-08-27 2004-04-14 주식회사 하이닉스반도체 Method for forming film with muli-elements and fabricating capacitor using the same
KR20030018134A (en) * 2001-08-27 2003-03-06 한국전자통신연구원 Method of forming an insulation layer of a semiconductor device for controlling the composition and the doping concentration
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US7160817B2 (en) * 2001-08-30 2007-01-09 Micron Technology, Inc. Dielectric material forming methods
US6754108B2 (en) 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US6778441B2 (en) 2001-08-30 2004-08-17 Micron Technology, Inc. Integrated circuit memory device and method
US7132711B2 (en) 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6573199B2 (en) * 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6542229B1 (en) * 2001-09-12 2003-04-01 Peter J. Kalal Sensors, methods of manufacture and sensing methods
KR100408743B1 (en) 2001-09-21 2003-12-11 삼성전자주식회사 Method of forming a quantum dot and method of forming a gate electrode using the same
US20030059535A1 (en) * 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US7541005B2 (en) 2001-09-26 2009-06-02 Siemens Energy Inc. Catalytic thermal barrier coatings
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6562491B1 (en) 2001-10-15 2003-05-13 Advanced Micro Devices, Inc. Preparation of composite high-K dielectrics
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6514808B1 (en) 2001-11-30 2003-02-04 Motorola, Inc. Transistor having a high K dielectric and short gate length and method therefor
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6821873B2 (en) 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US6528858B1 (en) 2002-01-11 2003-03-04 Advanced Micro Devices, Inc. MOSFETs with differing gate dielectrics and method of formation
US6504214B1 (en) 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US6645882B1 (en) 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6586349B1 (en) 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6900481B2 (en) 2002-02-21 2005-05-31 Intel Corporation Non-silicon semiconductor and high-k gate dielectric metal oxide semiconductor field effect transistors
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6730367B2 (en) 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
US20030170450A1 (en) 2002-03-05 2003-09-11 Stewart Steven L. Attachment of surface mount devices to printed circuit boards using a thermoplastic adhesive
US6900106B2 (en) 2002-03-06 2005-05-31 Micron Technology, Inc. Methods of forming capacitor constructions
US6642573B1 (en) 2002-03-13 2003-11-04 Advanced Micro Devices, Inc. Use of high-K dielectric material in modified ONO structure for semiconductor devices
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6730163B2 (en) 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
US6800134B2 (en) 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US6750066B1 (en) 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US6989565B1 (en) * 2002-04-15 2006-01-24 Lsi Logic Corporation Memory device having an electron trapping layer in a high-K dielectric gate stack
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6656764B1 (en) 2002-05-15 2003-12-02 Taiwan Semiconductor Manufacturing Company Process for integration of a high dielectric constant gate insulator layer in a CMOS device
US6784101B1 (en) 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US6794281B2 (en) 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6617639B1 (en) 2002-06-21 2003-09-09 Advanced Micro Devices, Inc. Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7005697B2 (en) 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US8617312B2 (en) * 2002-08-28 2013-12-31 Micron Technology, Inc. Systems and methods for forming layers that contain niobium and/or tantalum
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7087481B2 (en) 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7030042B2 (en) * 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6984592B2 (en) 2002-08-28 2006-01-10 Micron Technology, Inc. Systems and methods for forming metal-doped alumina
US7253122B2 (en) 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6995081B2 (en) 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US7122415B2 (en) 2002-09-12 2006-10-17 Promos Technologies, Inc. Atomic layer deposition of interpoly oxides in a non-volatile memory device
US6630383B1 (en) 2002-09-23 2003-10-07 Advanced Micro Devices, Inc. Bi-layer floating gate for improved work function between floating gate and a high-K dielectric layer
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6770536B2 (en) 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6887758B2 (en) 2002-10-09 2005-05-03 Freescale Semiconductor, Inc. Non-volatile memory device and method for forming
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6885065B2 (en) 2002-11-20 2005-04-26 Freescale Semiconductor, Inc. Ferromagnetic semiconductor structure and method for forming the same
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
JP4290421B2 (en) 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US6863725B2 (en) 2003-02-04 2005-03-08 Micron Technology, Inc. Method of forming a Ta2O5 comprising layer
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6970053B2 (en) 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US7192824B2 (en) * 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US7049192B2 (en) 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US6989573B2 (en) 2003-10-10 2006-01-24 Micron Technology, Inc. Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US7157769B2 (en) 2003-12-18 2007-01-02 Micron Technology, Inc. Flash memory having a high-permittivity tunnel dielectric
US7221018B2 (en) * 2004-02-10 2007-05-22 Micron Technology, Inc. NROM flash memory with a high-permittivity gate dielectric
US7323424B2 (en) 2004-06-29 2008-01-29 Micron Technology, Inc. Semiconductor constructions comprising cerium oxide and titanium oxide
US7138681B2 (en) 2004-07-27 2006-11-21 Micron Technology, Inc. High density stepped, non-planar nitride read only memory
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7164168B2 (en) 2004-08-03 2007-01-16 Micron Technology, Inc. Non-planar flash memory having shielding between floating gates
US7151294B2 (en) 2004-08-03 2006-12-19 Micron Technology, Inc. High density stepped, non-planar flash memory
US7388251B2 (en) 2004-08-11 2008-06-17 Micron Technology, Inc. Non-planar flash memory array with shielded floating gates on silicon mesas
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US20060125030A1 (en) 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7399666B2 (en) 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7510983B2 (en) * 2005-06-14 2009-03-31 Micron Technology, Inc. Iridium/zirconium oxide structure
US7195999B2 (en) * 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US20070018214A1 (en) * 2005-07-25 2007-01-25 Micron Technology, Inc. Magnesium titanium oxide films
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070049023A1 (en) 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US8071476B2 (en) 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US20070045752A1 (en) 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
US7410910B2 (en) 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US7521355B2 (en) 2005-12-08 2009-04-21 Micron Technology, Inc. Integrated circuit insulators and related methods
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US7749879B2 (en) * 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7985995B2 (en) * 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US7727908B2 (en) * 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US7498230B2 (en) 2007-02-13 2009-03-03 Micron Technology, Inc. Magnesium-doped zinc oxide structures and methods
US7727910B2 (en) 2007-02-13 2010-06-01 Micron Technology, Inc. Zirconium-doped zinc oxide structures and methods
US7517783B2 (en) 2007-02-13 2009-04-14 Micron Technology, Inc. Molybdenum-doped indium oxide structures and methods
US7927996B2 (en) 2007-02-13 2011-04-19 Micron Technology, Inc. Tungsten-doped indium oxide structures and methods
KR100997379B1 (en) 2008-08-08 2010-11-30 한국과학기술연구원 Dielectric thin film composition showing linear electric properties

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
US4333808A (en) * 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4399424A (en) * 1980-10-07 1983-08-16 Itt Industries, Inc. Gas sensor
US4647947A (en) * 1982-03-15 1987-03-03 Tokyo Shibaura Denki Kabushiki Kaisha Optical protuberant bubble recording medium
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
US4725877A (en) * 1986-04-11 1988-02-16 American Telephone And Telegraph Company, At&T Bell Laboratories Metallized semiconductor device including an interface layer
US5006192A (en) * 1988-06-28 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Apparatus for producing semiconductor devices
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US6057271A (en) * 1989-12-22 2000-05-02 Sumitomo Electric Industries, Ltd. Method of making a superconducting microwave component by off-axis sputtering
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5496597A (en) * 1993-07-20 1996-03-05 Planar International Ltd. Method for preparing a multilayer structure for electroluminescent components
US5810923A (en) * 1994-08-17 1998-09-22 Tdk Corporation Method for forming oxide thin film and the treatment of silicon substrate
US5828080A (en) * 1994-08-17 1998-10-27 Tdk Corporation Oxide thin film, electronic device substrate and electronic device
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
US5801105A (en) * 1995-08-04 1998-09-01 Tdk Corporation Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film
US5795808A (en) * 1995-11-13 1998-08-18 Hyundai Electronics Industries C., Ltd. Method for forming shallow junction for semiconductor device
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6387712B1 (en) * 1996-06-26 2002-05-14 Tdk Corporation Process for preparing ferroelectric thin films
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6010969A (en) * 1996-10-02 2000-01-04 Micron Technology, Inc. Method of depositing films on semiconductor devices by using carboxylate complexes
US6368398B2 (en) * 1996-10-02 2002-04-09 Micron Technology, Inc. Method of depositing films by using carboxylate complexes
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6059885A (en) * 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US5912797A (en) * 1997-09-24 1999-06-15 Lucent Technologies Inc. Dielectric materials of amorphous compositions and devices employing same
US6281144B1 (en) * 1997-09-26 2001-08-28 Novellus Systems, Inc. Exclusion of polymer film from semiconductor wafer edge and backside during film (CVD) deposition
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6391769B1 (en) * 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6211035B1 (en) * 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
US6544875B1 (en) * 1999-01-13 2003-04-08 Texas Instruments Incorporated Chemical vapor deposition of silicate high dielectric constant materials
US6451695B2 (en) * 1999-03-11 2002-09-17 Genus, Inc. Radical-assisted sequential CVD
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6380579B1 (en) * 1999-04-12 2002-04-30 Samsung Electronics Co., Ltd. Capacitor of semiconductor device
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6458701B1 (en) * 1999-10-20 2002-10-01 Samsung Electronics Co., Ltd. Method for forming metal layer of semiconductor device using metal halide gas
US6303481B2 (en) * 1999-12-29 2001-10-16 Hyundai Electronics Industries Co., Ltd. Method for forming a gate insulating film for semiconductor devices
US6531354B2 (en) * 2000-01-19 2003-03-11 North Carolina State University Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6537613B1 (en) * 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6432779B1 (en) * 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
US20020024108A1 (en) * 2000-06-26 2002-02-28 Gerald Lucovsky Novel non-crystalline oxides for use in microelectronic, optical, and other applications
US6521911B2 (en) * 2000-07-20 2003-02-18 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US20020046705A1 (en) * 2000-08-31 2002-04-25 Gurtej Sandhu Atomic layer doping apparatus and method
US20020024080A1 (en) * 2000-08-31 2002-02-28 Derderian Garo J. Capacitor fabrication methods and capacitor constructions
US20020025628A1 (en) * 2000-08-31 2002-02-28 Derderian Garo J. Capacitor fabrication methods and capacitor constructions
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6465334B1 (en) * 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6368941B1 (en) * 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
US20020086507A1 (en) * 2000-12-29 2002-07-04 Park Dae Gyu Method of forming a metal gate in a semiconductor device
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US20020111001A1 (en) * 2001-02-09 2002-08-15 Micron Technology, Inc. Formation of metal oxide gate dielectric
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20030068848A1 (en) * 2001-03-28 2003-04-10 Sharp Laboratories Of America, Inc. MFOS memory transistor
US6602720B2 (en) * 2001-03-28 2003-08-05 Sharp Laboratories Of America, Inc. Single transistor ferroelectric transistor structure with high-K insulator and method of fabricating same
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US20020146916A1 (en) * 2001-03-29 2002-10-10 Kiyoshi Irino Semiconductor device having a high-dielectric gate insulation film and fabrication process thereof
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
US20020155688A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US20020155689A1 (en) * 2001-04-20 2002-10-24 Ahn Kie Y. Highly reliable gate oxide and method of fabrication
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US20030017717A1 (en) * 2001-07-18 2003-01-23 Ahn Kie Y. Methods for forming dielectric materials and methods for forming semiconductor devices
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6730575B2 (en) * 2001-08-30 2004-05-04 Micron Technology, Inc. Methods of forming perovskite-type material and capacitor dielectric having perovskite-type crystalline structure
US20030045082A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US20030048666A1 (en) * 2001-08-30 2003-03-13 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US20030175411A1 (en) * 2001-10-05 2003-09-18 Kodas Toivo T. Precursor compositions and methods for the deposition of passive electrical components on a substrate
US20030124791A1 (en) * 2001-12-31 2003-07-03 Summerfelt Scott R. Detection of AIOx ears for process control in FeRAM processing
US20030124748A1 (en) * 2001-12-31 2003-07-03 Summerfelt Scott R. Method of forming an FeRAM having a multi-layer hard mask and patterning thereof
US20030157764A1 (en) * 2002-02-20 2003-08-21 Micron Technology, Inc. Evaporated LaA1O3 films for gate dielectrics
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
US20040009678A1 (en) * 2002-02-28 2004-01-15 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US20030181060A1 (en) * 2002-03-18 2003-09-25 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20040013009A1 (en) * 2002-04-04 2004-01-22 Kabushiki Kaisha Toshiba Semiconductor memory device having a gate electrode and a method of manufacturing thereof
US20040004245A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US20040004859A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20040004247A1 (en) * 2002-07-08 2004-01-08 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US20040033681A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040033701A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped tiox dielectric films
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US20040106249A1 (en) * 2002-12-03 2004-06-03 Hannu Huotari Method to fabricate dual metal CMOS devices
US20040104439A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of depositing barrier layer from metal gates

Cited By (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060131702A1 (en) * 1999-07-30 2006-06-22 Micron Technology, Inc. Novel transmission lines for CMOS integrated circuits
US8652957B2 (en) 2001-08-30 2014-02-18 Micron Technology, Inc. High-K gate dielectric oxide
US20030043637A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc Flash memory with low tunnel barrier interpoly insulators
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US20050032292A1 (en) * 2001-08-30 2005-02-10 Micron Technology, Inc. Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3
US20040185654A1 (en) * 2001-12-20 2004-09-23 Micron Technology, Inc. Low-temperature growth high-quality ultra-thin praseodymium gate dielectrics
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US20030228747A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US8093638B2 (en) 2002-06-05 2012-01-10 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US8228725B2 (en) 2002-07-08 2012-07-24 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US8125038B2 (en) 2002-07-30 2012-02-28 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US20050023627A1 (en) * 2002-08-15 2005-02-03 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20080274625A1 (en) * 2002-12-04 2008-11-06 Micron Technology, Inc. METHODS OF FORMING ELECTRONIC DEVICES CONTAINING Zr-Sn-Ti-O FILMS
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20050054165A1 (en) * 2003-03-31 2005-03-10 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers
US7863667B2 (en) 2003-04-22 2011-01-04 Micron Technology, Inc. Zirconium titanium oxide films
US6970053B2 (en) 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US7154354B2 (en) 2003-05-22 2006-12-26 Micron Technology, Inc. High permeability layered magnetic films to reduce noise in high speed interconnection
US20040233010A1 (en) * 2003-05-22 2004-11-25 Salman Akram Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US20050023626A1 (en) * 2003-06-24 2005-02-03 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US20050020017A1 (en) * 2003-06-24 2005-01-27 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20040262700A1 (en) * 2003-06-24 2004-12-30 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7776762B2 (en) 2004-08-02 2010-08-17 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8907486B2 (en) 2004-08-26 2014-12-09 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US8558325B2 (en) 2004-08-26 2013-10-15 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US7719065B2 (en) 2004-08-26 2010-05-18 Micron Technology, Inc. Ruthenium layer for a dielectric layer containing a lanthanide oxide
WO2006026716A1 (en) * 2004-08-31 2006-03-09 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US20070037415A1 (en) * 2004-12-13 2007-02-15 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20090155976A1 (en) * 2005-02-08 2009-06-18 Micron Technology, Inc. Atomic layer deposition of dy-doped hfo2 films as gate dielectrics
US7989285B2 (en) 2005-02-08 2011-08-02 Micron Technology, Inc. Method of forming a film containing dysprosium oxide and hafnium oxide using atomic layer deposition
US20060176645A1 (en) * 2005-02-08 2006-08-10 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US8481395B2 (en) 2005-02-08 2013-07-09 Micron Technology, Inc. Methods of forming a dielectric containing dysprosium doped hafnium oxide
US8742515B2 (en) 2005-02-08 2014-06-03 Micron Technology, Inc. Memory device having a dielectric containing dysprosium doped hafnium oxide
US7754618B2 (en) 2005-02-10 2010-07-13 Micron Technology, Inc. Method of forming an apparatus having a dielectric containing cerium oxide and aluminum oxide
US20060177975A1 (en) * 2005-02-10 2006-08-10 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US20060263972A1 (en) * 2005-02-15 2006-11-23 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zr3N4/ZrO2 FILMS AS GATE DIELECTRICS
US20060183272A1 (en) * 2005-02-15 2006-08-17 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7423311B2 (en) 2005-02-15 2008-09-09 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7399666B2 (en) 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7960803B2 (en) 2005-02-23 2011-06-14 Micron Technology, Inc. Electronic device having a hafnium nitride and hafnium oxide film
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US20060244100A1 (en) * 2005-04-28 2006-11-02 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US20060281330A1 (en) * 2005-06-14 2006-12-14 Micron Technology, Inc. Iridium / zirconium oxide structure
US7195999B2 (en) 2005-07-07 2007-03-27 Micron Technology, Inc. Metal-substituted transistor gates
US20070010060A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Metal-substituted transistor gates
US7750379B2 (en) 2005-07-07 2010-07-06 Micron Technology, Inc. Metal-substituted transistor gates
US20070007635A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US20070007560A1 (en) * 2005-07-07 2007-01-11 Micron Technology, Inc. Metal-substituted transistor gates
US7211492B2 (en) 2005-07-07 2007-05-01 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US7674698B2 (en) 2005-07-07 2010-03-09 Micron Technology, Inc. Metal-substituted transistor gates
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20110121378A1 (en) * 2005-08-29 2011-05-26 Ahn Kie Y ZrXHfYSn1-X-YO2 FILMS AS HIGH K GATE DIELECTRICS
US8497542B2 (en) 2005-08-29 2013-07-30 Micron Technology, Inc. ZrXHfYSn1-X-YO2 films as high K gate dielectrics
US20080224240A1 (en) * 2005-08-29 2008-09-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS
US7875912B2 (en) 2005-08-29 2011-01-25 Micron Technology, Inc. Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US9627501B2 (en) 2005-08-30 2017-04-18 Micron Technology, Inc. Graded dielectric structures
US8951903B2 (en) 2005-08-30 2015-02-10 Micron Technology, Inc. Graded dielectric structures
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US20090152620A1 (en) * 2005-08-30 2009-06-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF GdScO3 FILMS AS GATE DIELECTRICS
US8603907B2 (en) 2005-08-30 2013-12-10 Micron Technology, Inc. Apparatus having a dielectric containing scandium and gadolinium
US8933449B2 (en) 2005-08-30 2015-01-13 Micron Technology, Inc. Apparatus having a dielectric containing scandium and gadolinium
US8003985B2 (en) 2005-08-30 2011-08-23 Micron Technology, Inc. Apparatus having a dielectric containing scandium and gadolinium
US8455959B2 (en) 2005-08-31 2013-06-04 Micron Technology, Inc. Apparatus containing cobalt titanium oxide
US8071476B2 (en) 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US20070049054A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US7214994B2 (en) 2005-08-31 2007-05-08 Micron Technology, Inc. Self aligned metal gates on high-k dielectrics
US8895442B2 (en) 2005-08-31 2014-11-25 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US20070045752A1 (en) * 2005-08-31 2007-03-01 Leonard Forbes Self aligned metal gates on high-K dielectrics
US7678633B2 (en) * 2005-11-24 2010-03-16 National Tsing Hua University Method for forming substrates for MOS transistor components and its products
US20070117407A1 (en) * 2005-11-24 2007-05-24 National Tsing Hua University Method for forming substrates for MOS transistor components and its products
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7491246B2 (en) 2006-03-31 2009-02-17 Medtronic, Inc. Capacitor electrodes produced with atomic layer deposition for use in implantable medical devices
US20070236867A1 (en) * 2006-03-31 2007-10-11 Joachim Hossick-Schott Capacitor Electrodes Produced with Atomic Layer Deposition for Use in Implantable Medical Devices
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US8628615B2 (en) 2006-04-07 2014-01-14 Micron Technology, Inc. Titanium-doped indium oxide films
US8273177B2 (en) 2006-04-07 2012-09-25 Micron Technology, Inc. Titanium-doped indium oxide films
US20070234949A1 (en) * 2006-04-07 2007-10-11 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US20080004670A1 (en) * 2006-06-29 2008-01-03 Mcvenes Rick D Implantable medical device having a conformal coating and method for manufacture
US7801623B2 (en) 2006-06-29 2010-09-21 Medtronic, Inc. Implantable medical device having a conformal coating
US20100310756A1 (en) * 2006-06-29 2010-12-09 Medtronic, Inc. Implantable Medical Device Having a Conformal Coating and Method for Manufacture
US8993455B2 (en) 2006-08-03 2015-03-31 Micron Technology, Inc. ZrAlON films
US8741746B2 (en) 2006-08-03 2014-06-03 Micron Technology, Inc. Silicon on germanium
US20080029790A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. ALD of silicon films on germanium
US20100270590A1 (en) * 2006-08-03 2010-10-28 Ahn Kie Y Ald of silicon films on germanium
US20080032424A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. ALD of Zr-substituted BaTiO3 films as gate dielectrics
US20100237403A1 (en) * 2006-08-03 2010-09-23 Ahn Kie Y ZrAlON FILMS
US9502256B2 (en) 2006-08-03 2016-11-22 Micron Technology, Inc. ZrAION films
US9252281B2 (en) * 2006-08-03 2016-02-02 Micron Technology, Inc. Silicon on germanium
US9236245B2 (en) 2006-08-03 2016-01-12 Micron Technology, Inc. ZrA1ON films
US8772050B2 (en) 2006-08-03 2014-07-08 Micron Technology, Inc. Zr-substituted BaTiO3 films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US8323988B2 (en) 2006-08-03 2012-12-04 Micron Technology, Inc. Zr-substituted BaTiO3 films
US7985995B2 (en) 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US8269254B2 (en) 2006-08-03 2012-09-18 Micron Technology, Inc. Silicon on germanium
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US20140264555A1 (en) * 2006-08-03 2014-09-18 Micron Technology, Inc. Silicon on germanium
US9202686B2 (en) 2006-08-25 2015-12-01 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US8581352B2 (en) 2006-08-25 2013-11-12 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7902582B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8519466B2 (en) 2006-08-31 2013-08-27 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US8951880B2 (en) 2006-08-31 2015-02-10 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US20080054330A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US8168502B2 (en) 2006-08-31 2012-05-01 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US8772851B2 (en) 2006-08-31 2014-07-08 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US8557672B2 (en) 2006-08-31 2013-10-15 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US20080067000A1 (en) * 2006-09-19 2008-03-20 Integrated Dynamics Engineering Gmbh Environmental noise shielding apparatus
US10513846B2 (en) * 2006-09-19 2019-12-24 Integrated Dynamics Engineering Gmbh Environmental noise shielding apparatus
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US9029264B2 (en) 2012-03-14 2015-05-12 Applied Materials, Inc. Methods for depositing a tin-containing layer on a substrate
WO2013138069A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Methods for depositing a tin-containing layer on a substrate
US20180337055A1 (en) * 2017-05-19 2018-11-22 Renesas Electronics Corporation Method of manufacturing semiconductor device
US10680071B2 (en) * 2017-05-19 2020-06-09 Renesas Electronics Corporation Method of manufacturing a semiconductor device using a metal oxide film
US11476339B2 (en) * 2017-05-19 2022-10-18 Renesas Electronics Corporation Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20100044771A1 (en) 2010-02-25
US20050164521A1 (en) 2005-07-28
US7101813B2 (en) 2006-09-05
US8445952B2 (en) 2013-05-21
US7611959B2 (en) 2009-11-03

Similar Documents

Publication Publication Date Title
US7101813B2 (en) Atomic layer deposited Zr-Sn-Ti-O films
US7923381B2 (en) Methods of forming electronic devices containing Zr-Sn-Ti-O films
US7084078B2 (en) Atomic layer deposited lanthanide doped TiOx dielectric films
US7135369B2 (en) Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7192892B2 (en) Atomic layer deposited dielectric layers
US7554161B2 (en) HfAlO3 films for gate dielectrics
US8125038B2 (en) Nanolaminates of hafnium oxide and zirconium oxide
US7199023B2 (en) Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7045430B2 (en) Atomic layer-deposited LaAlO3 films for gate dielectrics
US7183186B2 (en) Atomic layer deposited ZrTiO4 films

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AHN, KIE Y.;FORBES, LEONARD;REEL/FRAME:013558/0985;SIGNING DATES FROM 20021030 TO 20021101

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.)

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20180905

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731