US20040087098A1 - Mim and metal resistor formation at cu beol using only one extra mask - Google Patents

Mim and metal resistor formation at cu beol using only one extra mask Download PDF

Info

Publication number
US20040087098A1
US20040087098A1 US10/286,257 US28625702A US2004087098A1 US 20040087098 A1 US20040087098 A1 US 20040087098A1 US 28625702 A US28625702 A US 28625702A US 2004087098 A1 US2004087098 A1 US 2004087098A1
Authority
US
United States
Prior art keywords
metal
mim
vapor deposition
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/286,257
Other versions
US6730573B1 (en
Inventor
Chit Ng
Chaw Ho
Lup Leong
Shao Kai
Raymond Joy
Sanford Chu
Sajan Raphael
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Pte Ltd filed Critical Chartered Semiconductor Manufacturing Pte Ltd
Priority to US10/286,257 priority Critical patent/US6730573B1/en
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING LTD. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHU, SANFORD, HO, CHAW SING, JOY, RAYMOND JACOB, KAI, SHAO, LEONG, LUP SAN, NG, CHIT HWEI, RAPHAEL, SAJAN MAROKKEY
Priority to SG200306095A priority patent/SG103940A1/en
Application granted granted Critical
Publication of US6730573B1 publication Critical patent/US6730573B1/en
Publication of US20040087098A1 publication Critical patent/US20040087098A1/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • H01L27/0676Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type comprising combinations of diodes, or capacitors or resistors
    • H01L27/0682Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type comprising combinations of diodes, or capacitors or resistors comprising combinations of capacitors and resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/0805Capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the formation of high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, formed simultaneously using only one additional mask reticle to form both MIM capacitor and resistor, simultaneously.
  • U.S. Pat. No. 6,083,785 entitled “Method Of Manufacturing Semiconductor Device Having Resistor Film” granted Jul. 4, 2000 to Segawa et al. shows a MIM capacitor process with spacer over a resistor.
  • An isolation is formed in a part of a P-well of a semiconductor substrate.
  • a resistor film as a first conductor member is formed on the isolation.
  • An insulating film covering the resistor film, except for contact formation regions and an upper electrode film as a second conductor member, are formed simultaneously with the formation of a gate electrode and a gate oxide film.
  • Silicide films of a refractory metal are formed on the respective surfaces of the gate electrode, N-type high-concentration diffusion layers, the contact formation regions of the resistor film, and the upper electrode film.
  • U.S. Pat. No. 6,284,590 entitled “Method To Eliminate Top Metal Corner Shaping During Bottom Metal Patterning For MIM Capacitors” granted Sep. 4, 2001 to Cha et al. describes a MIM capacitor process for fabricating a metal-insulator-metal capacitor wherein top metal corner shaping during patterning is eliminated.
  • An insulating layer is provided overlying a semiconductor substrate.
  • a first metal layer is deposited over the insulating layer.
  • a capacitor dielectric layer is deposited overlying the first metal layer.
  • a second metal layer is deposited overlying the capacitor dielectric layer and patterned to form a top metal electrode.
  • a flowable material layer is deposited overlying the capacitor dielectric and the top metal electrode, and this flowable material is anisotropically etched away to leave spacers on sidewalls of the top metal electrode.
  • a photoresist mask is formed overlying the capacitor dielectric and the top metal electrode wherein the spacers provide extra photoresist thickness at the sidewalls of the top metal layer.
  • the capacitor dielectric layer and the first metal layer are patterned wherein the patterned first metal layer forms a bottom metal electrode and wherein the spacers protect the top metal layer from etching during the patterning.
  • the photoresist mask is removed, completing fabrication of a metal-insulator-metal capacitor.
  • the process features forming a capacitor opening in a composite insulator layer comprised of a overlying insulator stop layer, a low k insulator layer, and an underlying insulator stop layer, with a lateral recess isotropically formed in the low k insulator layer.
  • a high k insulator layer is deposited followed by the deposition of a conductive layer, completely filling the capacitor opening.
  • a chemical mechanical polishing procedure is then used to remove portions of the conductive layer, and portions of the high k insulator layer, from the top surface of the overlying insulator stop layer, resulting in the formation of the vertical MIM capacitor structure, in the capacitor opening, comprised of: a top electrode structure, defined from the conductive layer; a capacitor dielectric layer, formed from the high k insulator layer; and a bottom electrode structure.
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the formation of high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, formed simultaneously using damascene processing, eliminating an extra masking step.
  • This new method has the key advantage of eliminating an extra masking step.
  • This new method overcomes several current obstacles that exist in the fabricate MIM capacitors in the BEOL, back end of line, namely: (a) the use of two or more photo-masks to make ⁇ 0.13 um MIM capacitors, (b) undulated copper surfaces, when dielectrics are deposited directly upon it, resulting in poor reliability, (c) for dielectric deposition directly on the bottom copper electrode plate, there are particles generation concerns during etching, when attempting an etch stop on the bottom plate Cu/IMD layers, and finally, (d) dishing during CMP occurs when large copper MIM plates are required, with subsequent capacitance matching problems.
  • the new integrated method over comes all the above obstacles and simultaneously forms MIM capacitors, metal resistors and metal interconnects using damascene processing throughout.
  • the present invention teaches a process for the formation of a MIM capacitor, a metal resistor, and copper BEOL interconnect wiring and eliminates an extra masking step by using the combination of damascene processing and chemical mechanical polishing, CMP.
  • CMP chemical mechanical polishing
  • FIGS. 1 through 3 are schematic cross-sectional representations of damascene processing, which can be either a single or dual damascene process, and in addition, can be any conventional “standard” BEOL interconnect scheme.
  • FIGS. 4 through 8 are schematic cross-sectional representations of preferred embodiments of the present invention.
  • FIGS. 9 through 12 are schematic cross-sectional representations of the simultaneous formation of both the metal resistor and the MIM top plate electrode, and contact vias formed therein, key preferred embodiments of the present invention.
  • FIGS. 13 through 16 are schematic cross-sectional representations of preferred embodiments of the present invention.
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the formation of high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, formed simultaneously using only one additional mask reticle to form both MIM capacitor and resistor, simultaneously.
  • This new method has the key advantage of eliminating an extra masking step.
  • This new method overcomes several current obstacles that exist in the fabricate MIM capacitors in the BEOL, back end of line, namely: (a) the use of two or more photo-masks to make ⁇ 0.13 um MIM capacitors, (b) undulated copper surfaces, when dielectrics are deposited directly upon it, resulting in poor reliability, (c) for dielectric deposition directly on the bottom copper electrode plate, there are particles generation concerns during etching, when attempting an etch stop on the bottom plate Cu/IMD layers, and finally, (d) dishing during CMP occurs when large copper MIM plates are required, with subsequent capacitance matching problems.
  • the new integrated method over comes all the above obstacles and simultaneously forms MIM capacitors, metal resistors and metal interconnects using damascene processing throughout.
  • FIGS. 1 through 3 are schematic cross-sectional representations of damascene processing, which can be either a single or dual damascene process.
  • FIGS. 1 through 3 can be any conventional “standard” BEOL interconnect scheme.
  • FIG. 1 which in cross-sectional representation illustrates the mask and patterning of an IMD, intermetal dielectric layer, to form a subsequent MIM bottom plate (shown in FIG. 3), of a metal-insulator-metal capacitor, as well as, others metal interconnect wiring lines.
  • Photo MASK #1 forms the pattern of photoresist 6 (PHOTO STEP #1), for the subsequent formation of trenches (shown in FIG. 2).
  • the substrate 2 in FIG. 1 is a semiconductor substrate with active device therein and provided with an insulating layer or layer thereon. Moreover, the semiconductor substrate includes semiconductor device structures such as gate electrodes and source/drain regions and multiple levels of metal interconnections.
  • An intermetal dielectric layer 4 , IMD is deposited on the substrate. Photo resist 6 is deposited and patterned over the intermetal dielectric layer 4 .
  • FIG. 2 which in cross-sectional representation illustrates the formation of trenches or trench openings 7 . This is accomplished by anisotropically etching the intermetal dielectric layer 4 , in the exposed regions, as shown by the photoresist 6 patterned in FIG. 1.
  • FIG. 3 which in cross-sectional representation illustrates the formation of bottom copper metal electrode plates, in MIM capacitors, as well as, other copper metal interconnection lines and wiring.
  • a metal diffusion barrier layer 8 is deposited over the intermetal dielectric layer 4 and over the substrate 2 .
  • This barrier layer 8 is very thin and difficult to illustrate.
  • a thin copper seed layer 8 is deposited over the barrier layer
  • thick copper layer 10 is electrochemically plated on the copper seed forming an excess of copper on the surface on the IMD. This excess copper is removed from the surface, as well as, the seed and barrier materials, by planarization using chemical mechanical polishing, CMP. By this CMP process, bottom copper metal electrode plates and interconnect wiring are formed.
  • the first metal electrode layer can be selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM bottom metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
  • metals and metal alloys with high conductivity such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM bottom metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor
  • FIG. 4 which in cross-sectional representation illustrates the mask and patterning of a thin IMD, 14 intermetal dielectric layer, to form a subsequent shallow trenches 18 , or trench openings (shown in FIG. 5).
  • Photo MASK #1 is used again to pattern photoresist 16 (PHOTO STEP #2), for the subsequent formation of shallow trenches (shown in FIG. 5).
  • a thin intermetal dielectric layer 14 , IMD is deposited over the interlevel dielectric layer 4 and over the copper assembly layers 12 , which consists of barrier, seed and thick copper.
  • the thin intermetal dielectric layer 14 , IMD is comprised of a thickness range between 500 to 2,000 Angstroms.
  • photo resist 16 is deposited and patterned (duplicating the photo resist pattern shown in FIG. 1) over specific regions of the intermetal dielectric layer 4 , and thus exposing specific regions of the thin intermetal dielectric layer which are over copper 12 regions, for subsequent isotropic etching, as shown in FIG. 5.
  • FIG. 5 which in cross-sectional representation illustrates the formation of shallow trenches 18 , or shallow trench openings, in the thin intermetal dielectric layer 14 .
  • This is accomplished by anisotropically etching the thin intermetal dielectric layer 14 , in the exposed regions, as shown by the photoresist 16 patterned in FIG. 4. Again, referring to FIG. 5, the etching process stops on the exposed copper 12 regions, fully forming the shallow trenches 18 , or shallow trench openings.
  • Thin bottom plate barriers 20 are formed in the shallow trench openings. These barriers are deposited over thin intermetal dielectric layer 14 and over the exposed copper assembly 12 .
  • the surface of the intermetal dielectric layer 14 is planarized by chemical mechanical polish, CMP, and the barrier material is removed from the surface forming inlaid barriers 20 over the copper assembly 12 .
  • the thickness of the barrier 20 after chemical mechanical polishing, CMP is approximately less than 500 Angstroms in thickness.
  • the barrier layer is selected from the group consisting of Ta, Al, W, TaN, TiN, and metals that are compatible with copper interconnect process flow.
  • the barrier layer can be deposited by following methods, selected from the group consisting of chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, deposited in a thickness range from 500 to 2000 Angstroms.
  • the main advantages of the above barrier layer 20 are the following: a) the bottom copper plate electrodes and copper interconnection wiring layer are made planar by the barrier layer 20 , thus avoiding a deleterious, undulated copper surface, c) in subsequent top plate MIM etches, all the bottom copper metal is protected by the barrier layer 20 , thus avoiding potential particle problems, d) the MIM capacitor and subsequent metal resistor is formed at Cu BEOL by using only one extra mask.
  • FIG. 7A which in cross-sectional representation illustrates the deposition of the MIM, high K dielectric material 22 , high dielectric constant dielectric insulator and the deposition of the MIM top plate metal electrode 24 .
  • the MIM, high K dielectric material 22 , high dielectric constant dielectric insulator forming the capacitor dielectric insulator with high dielectric constant material or materials is selected from the group consisting of Ta 2 O 5 , HfO 2 , ZrO 2 , TiO 2 , Al 2 O 3 , SiN, SiC, and TaON, formed by Atomic Layering Chemical Vapor Deposition techniques, ALCVD, with thickness ranging from tens to thousands of Angstroms, under low temperature deposition conditions.
  • the MIM top plate metal electrode 24 is selected from the group consisting of copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W. The process sequence is as follows:
  • FIG. 7B which in cross-sectional representation illustrates an option process step, namely, the deposition of: the MIM, high K dielectric material 22 , high dielectric constant dielectric insulator, the deposition of the MIM top plate metal electrode 24 , including an optional etch stop layer 25 , deposited over the MIM top plate metal electrode 24 .
  • This additional optional etch stop layer 25 may be required for controlling the subsequent via-etch-stop, due to differences in step height caused by MIM top plate metal electrode 24 .
  • this additional optional etch stop layer 25 is selected from the group consisting of SiN, and SiC, with thickness from approximately 100 to 1000 Angstroms.
  • optional etch stop is required: if the subsequent via etch selectivity of the MIM top plate metal electrode and a subsequent thick IMD, intermetal dielectric layer, is poor.
  • FIG. 8 which in cross-sectional representation illustrates the mask and patterning of photoresist 26 to form the top MIM plate electrodes and the metal resistor, R, from the top the MIM top plate metal electrode material 24 , continuing with the standard process of the present invention, as shown in FIG. 7A.
  • photoresist 24 is formed and patterned over the top MIM electrode material 24 . This is MASK # 2 , PHOTO STEP #3, in the process.
  • FIGS. 9 through 12 are schematic cross-sectional representations of the simultaneous formation of both the metal resistor and the MIM top plate electrode. In addition, contact vias to these devices are formed therein. Note, these are key preferred embodiments of the present invention that are described in detail below.
  • FIG. 9 which in cross-sectional representation illustrates the forming of the top metal MIM electrodes and top metal interconnect wiring, for simplicity the metal layers are designated 28 , and the metal resistor 29 , R.
  • This metal patterning is accomplished by using the photoresist 26 patterning in FIG. 8, and etching the exposed metal, and furthermore, stopping the etch on the MIM, high K dielectric material 22 , as shown in FIG. 9. This completes the formation of the MIM capacitor 28 , the resistor part of the metal resistor 29 , and bottom interconnection wiring.
  • a one-step etch may be performed by etching away both the exposed metal and the high dielectric, and over-etching midway through the thin intermetal dielectric layer 14 , in the exposed regions. Both oversize or undersize sides or features of the MIM top plate may be required, depending on electrical design considerations.
  • the second metal electrode layer and metal resistor can be selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM top metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
  • metals and metal alloys with high conductivity such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM top metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitax
  • FIG. 10 which in cross-sectional representation illustrates the deposition of a thick IMD, intermetal dielectric layer 30 , over the top metal MIM electrodes and top metal interconnect wiring, for simplicity the metal layers are designated 28 .
  • the purpose of the thick IMD, intermetal dielectric layer 30 is to flatten or make planar the surface over the top metal MIM electrodes and top metal interconnect wiring, designated 28 .
  • the thick IMD, intermetal dielectric layer 30 is a low dielectric constant material selected from the group consisting of: SiN, SiO, spin-on-glass SOG, PE plasma enhanced TEOS, tetraethoxysilane deposition, halogenated SiO, fluorinated silicate glass FSG, CORAL, black diamond, deposited by the following methods selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from 1000 to 10000 Angstroms.
  • the thick IMD, intermetal dielectric layer 30 is planarized by chemical mechanical polishing, CMP, and the planar surface is illustrated in the next figure, FIG. 11. Note, that this planarization step described above is not required when the topography introduced by the MIM top plate and metal resistor is slight, and does not cause topography step height problems with subsequent process steps, such as, masking, oxide etch, and metal fill.
  • FIG. 11 which in cross-sectional representation illustrates the masking and patterning of photoresist 32 , which will form via openings. Note, the exposed photoresist regions are aligned with device features below. These exposed regions will form electrical contact vias in the subsequent etch step, illustrated in the next figure, FIG. 12.
  • the photoresist is formed over the planarized thick IMD, intermetal dielectric layer 30 . This represent the third masking and the fourth photo step, MASK #3, PHOTO STEP #4.
  • FIG. 12 which in cross-sectional representation illustrates the reactive ion dry etching of the contact via openings 34 .
  • the exposed photoresist regions were aligned with device features below. Now, after etching, exposed regions will form contact via openings 34 to the device features below. All the contact via openings 34 are “empty” and cleared during etching due to the etch selectivity difference between the metal and low k dielectric material. The vias depth differences at MIM capacitor and normal metal lines are not a problem. Note, some via openings during etching, etch stop at or on the MIM bottom plate's barrier layer 20 .
  • FIG. 13 which in cross-sectional representation illustrates the next set of processing steps, the filling of the via openings with barrier layer and copper metal, the barrier and copper metal set or assembly designated 36 for contact vias to the top MIM electrode.
  • barrier layer is too thin to sketch into vias.
  • FIG. 14 which in cross-sectional representation illustrates the next set of processing steps in the BEOL, the deposition of a thick IMD, intermetal dielectric layer 44 .
  • Photoresist 46 is masked and patterned over the thick IMD, intermetal dielectric layer 44 , for the purpose of forming trench openings in the intermetal dielectric layer in subsequent process steps. This marks the approach of the final processing steps, namely the subsequent filling of the trench openings with top metal lines.
  • the thick IMD, intermetal dielectric layer 44 is a low dielectric constant material selected from the group consisting of: SiN, SiO, spin-on-glass SOG, PE plasma enhanced TEOS, tetraethoxysilane deposition, halogenated SiO, fluorinated silicate glass FSG, CORAL, black diamond, deposited by the following methods selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from hundreds to thousands of Angstroms.
  • This step represents the fourth mask and the fifth photo step, MASK #4, PHOTO STEP #5 (Note, the opposite photoresist pattern, positive/negative, to the photoresist 26 in FIG. 8.)
  • FIG. 15 which in cross-sectional representation illustrates the forming of the trench openings 48 by etching the intermetal dielectric layer 44 . Subsequently, these trench openings 48 are to filled with top metal forming top metal lines.
  • FIG. 16 which in cross-sectional representation illustrates the filling of the trench openings with barrier layers 49 lining the trenches and copper metal contact trenches 50 , 52 and 54 .
  • the copper metal contact trenches 50 contact vias to opposite ends of a top metal resistor.
  • the copper metal contact trench 52 contact vias to top metal MIM capacitor electrode.
  • the copper metal contact trenches 54 contact vias to bottom metal interconnect wiring.
  • Another important capacitor application of the present invention is in integrated circuits that use anti-fuse devices, in field-programmable gate arrays, FPGA.
  • an anti-fuse device a metal-insulator-metal capacitor, MIM, is normally in an “open” circuit state, as a capacitor.
  • MIM metal-insulator-metal capacitor
  • the capacitor's dielectric breaks down and changes to an irreversible “short” circuit state, or closed contact device. Therefore, the anti-fuse, MIM device has binary electrical states of “open”, and “closed” circuit states.
  • the metal-insulator-metal capacitor's surface area dimensions are formed, as small as, the size of the via, and the insulating dielectric material for the capacitor is of low dielectric constant material, comprised of amorphous silicon. Furthermore, the thickness of all the layers and the dielectrics specified herein, for the metal-insulator-metal capacitor, MIM, remain the same and unchanged for the anti-fuse, MIM application described above.

Abstract

An improved process for fabricating simultaneously high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, has been developed using single or dual damascene processing. The key advantage is the use of only one additional mask reticle to form both MIM capacitor and resistor, simultaneously. Several current obstacles that exist in BEOL, back end of line, are overcome, namely: (a) the use of two or more photo-masks to make <0.13 um MIM capacitors, (b) undulated copper surfaces, when dielectrics are deposited directly upon it, (c) particles generation concerns during etching, when attempting an etch stop on the bottom MIM plate layers, and finally, (d) dishing during CMP occurs when large copper MIM plates are required, with subsequent capacitance matching problems. The integrated method overcomes the above obstacles and simultaneously forms MIM capacitors, metal resistors and metal interconnects using damascene processing. In addition, the method of forming the MIM capacitors, described herein, can also be used to form anti-fuse devices, in field-programmable gate arrays, FPGA.

Description

    BACKGROUND OF THE INVENTION
  • (1) Field of the Invention [0001]
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the formation of high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, formed simultaneously using only one additional mask reticle to form both MIM capacitor and resistor, simultaneously. [0002]
  • (2) DESCRIPTION OF RELATED ART [0003]
  • In this section a description of related Prior Art background patents follows. [0004]
  • U.S. Pat. No. 6,083,785 entitled “Method Of Manufacturing Semiconductor Device Having Resistor Film” granted Jul. 4, 2000 to Segawa et al. shows a MIM capacitor process with spacer over a resistor. An isolation is formed in a part of a P-well of a semiconductor substrate. A resistor film as a first conductor member is formed on the isolation. An insulating film covering the resistor film, except for contact formation regions and an upper electrode film as a second conductor member, are formed simultaneously with the formation of a gate electrode and a gate oxide film. Silicide films of a refractory metal are formed on the respective surfaces of the gate electrode, N-type high-concentration diffusion layers, the contact formation regions of the resistor film, and the upper electrode film. By utilizing a salicide process, a resistor and an inductor each occupying a small area can be formed without lowering the resistance of the resistor film. [0005]
  • U.S. Pat. No. 6,284,590 entitled “Method To Eliminate Top Metal Corner Shaping During Bottom Metal Patterning For MIM Capacitors” granted Sep. 4, 2001 to Cha et al. describes a MIM capacitor process for fabricating a metal-insulator-metal capacitor wherein top metal corner shaping during patterning is eliminated. An insulating layer is provided overlying a semiconductor substrate. A first metal layer is deposited over the insulating layer. A capacitor dielectric layer is deposited overlying the first metal layer. A second metal layer is deposited overlying the capacitor dielectric layer and patterned to form a top metal electrode. A flowable material layer is deposited overlying the capacitor dielectric and the top metal electrode, and this flowable material is anisotropically etched away to leave spacers on sidewalls of the top metal electrode. A photoresist mask is formed overlying the capacitor dielectric and the top metal electrode wherein the spacers provide extra photoresist thickness at the sidewalls of the top metal layer. The capacitor dielectric layer and the first metal layer are patterned wherein the patterned first metal layer forms a bottom metal electrode and wherein the spacers protect the top metal layer from etching during the patterning. The photoresist mask is removed, completing fabrication of a metal-insulator-metal capacitor. [0006]
  • U.S. Pat. No. 6,284,619 B1 entitled “Integration Scheme For Multilevel Metallization Structures” granted Sep. 4, 2001 to Seymour et al. reveals a scheme for multilevel metallization structures that improve semiconductor reliability. Multilevel metallization structures are formed through a two-step etch process which alleviates the problem of conductive etch residue forming between metal layers in multilevel structures. The resulting metallization structure has sidewall insulators on selected layers that prevent conductive etch residue from forming between the metal layers. [0007]
  • U.S. Pat. No. 6,271,084 B1 entitled “Method Of Fabricating A Metal-Insulator-Metal (MIM), Capacitor Structure Using A Damascene Process” granted Aug. 7, 2001 to Tu et al. describes a MIM capacitor using a damascene process for forming a vertical, metal-insulator-metal (MIM), capacitor structure, for embedded DRAM devices. The process features forming a capacitor opening in a composite insulator layer comprised of a overlying insulator stop layer, a low k insulator layer, and an underlying insulator stop layer, with a lateral recess isotropically formed in the low k insulator layer. After formation of a bottom electrode structure in the capacitor opening, a high k insulator layer is deposited followed by the deposition of a conductive layer, completely filling the capacitor opening. A chemical mechanical polishing procedure is then used to remove portions of the conductive layer, and portions of the high k insulator layer, from the top surface of the overlying insulator stop layer, resulting in the formation of the vertical MIM capacitor structure, in the capacitor opening, comprised of: a top electrode structure, defined from the conductive layer; a capacitor dielectric layer, formed from the high k insulator layer; and a bottom electrode structure. [0008]
  • SUMMARY OF THE INVENTION
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the formation of high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, formed simultaneously using damascene processing, eliminating an extra masking step. [0009]
  • This new method, disclosed by the present invention, has the key advantage of eliminating an extra masking step. This new method overcomes several current obstacles that exist in the fabricate MIM capacitors in the BEOL, back end of line, namely: (a) the use of two or more photo-masks to make <0.13 um MIM capacitors, (b) undulated copper surfaces, when dielectrics are deposited directly upon it, resulting in poor reliability, (c) for dielectric deposition directly on the bottom copper electrode plate, there are particles generation concerns during etching, when attempting an etch stop on the bottom plate Cu/IMD layers, and finally, (d) dishing during CMP occurs when large copper MIM plates are required, with subsequent capacitance matching problems. The new integrated method over comes all the above obstacles and simultaneously forms MIM capacitors, metal resistors and metal interconnects using damascene processing throughout. [0010]
  • The present invention teaches a process for the formation of a MIM capacitor, a metal resistor, and copper BEOL interconnect wiring and eliminates an extra masking step by using the combination of damascene processing and chemical mechanical polishing, CMP. A brief outline of the key processing steps follows below: [0011]
  • a) form bottom MIM trench openings and other metal line openings in an intermetal dielectric, IMD. (MASK #1, PHOTO #1) [0012]
  • b) fill trenches openings with metal barrier and copper, planarizing with CMP, forming bottom MIM and interconnects [0013]
  • c) form shallow trench openings in a thin IMD, over existing bottom MIM and interconnects, same pattern as MASK #1. (MASK #1, PHOTO #2) [0014]
  • d) form bottom plate barrier layers in shallow trench openings and planarize surface by CMP. [0015]
  • e) form high dielectric constant insulator over thin IMD and patterned barrier layers. [0016]
  • f) form top metal for MIM, top interconnects, metal resistors over high dielectric constant insulator. [0017]
  • ([0018] MASK #2, PHOTO #3)
  • This completes the formation of the MIM capacitor, the resistor part of the metal resistor, and bottom interconnection wiring. [0019]
  • Therefore, in the above key processing steps, are shown the formation of high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, which are all formed simultaneously using damascene processing, eliminating an extra masking step. The remainder of the processing involves encapsulation, packaging and electrical contact to the above devices. Therefore, from this point on in the process, BEOL, back end of line, processing is primarily focused on encapsulating the devices for electrical isolation and packaging, and making electrical contact through contact vias and trenches to the MIM capacitors and metal resistors. The key parts of the MIM capacitors and metal resistors have been formed with only two photo masks and three photolithography processing steps. [0020]
  • This invention has been summarized above and described with reference to the preferred embodiments. Some processing details have been omitted and are understood by those skilled in the art. More details of this invention are stated in the “DESCRIPTION OF THE PREFERRED EMBODIMENTS” section.[0021]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The object and other advantages of this invention are best described in the preferred embodiments with reference to the attached drawings that include: [0022]
  • FIGS. 1 through 3 are schematic cross-sectional representations of damascene processing, which can be either a single or dual damascene process, and in addition, can be any conventional “standard” BEOL interconnect scheme. [0023]
  • FIGS. 4 through 8 are schematic cross-sectional representations of preferred embodiments of the present invention. [0024]
  • FIGS. 9 through 12 are schematic cross-sectional representations of the simultaneous formation of both the metal resistor and the MIM top plate electrode, and contact vias formed therein, key preferred embodiments of the present invention. [0025]
  • FIGS. 13 through 16 are schematic cross-sectional representations of preferred embodiments of the present invention.[0026]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • This invention relates to a method of fabrication used for semiconductor integrated circuit devices, and more specifically to the formation of high capacitance, less than 0.13 micron metal-insulator-metal capacitors, metal resistors and metal interconnects, formed simultaneously using only one additional mask reticle to form both MIM capacitor and resistor, simultaneously. [0027]
  • This new method, disclosed by the present invention, has the key advantage of eliminating an extra masking step. This new method overcomes several current obstacles that exist in the fabricate MIM capacitors in the BEOL, back end of line, namely: (a) the use of two or more photo-masks to make <0.13 um MIM capacitors, (b) undulated copper surfaces, when dielectrics are deposited directly upon it, resulting in poor reliability, (c) for dielectric deposition directly on the bottom copper electrode plate, there are particles generation concerns during etching, when attempting an etch stop on the bottom plate Cu/IMD layers, and finally, (d) dishing during CMP occurs when large copper MIM plates are required, with subsequent capacitance matching problems. The new integrated method over comes all the above obstacles and simultaneously forms MIM capacitors, metal resistors and metal interconnects using damascene processing throughout. [0028]
  • With reference to FIGS. 1 through 3, these sketches are schematic cross-sectional representations of damascene processing, which can be either a single or dual damascene process. In addition, FIGS. 1 through 3 can be any conventional “standard” BEOL interconnect scheme. [0029]
  • Referring to FIG. 1, which in cross-sectional representation illustrates the mask and patterning of an IMD, intermetal dielectric layer, to form a subsequent MIM bottom plate (shown in FIG. 3), of a metal-insulator-metal capacitor, as well as, others metal interconnect wiring lines. Again, referring to FIG. 1, Photo MASK #1 forms the pattern of photoresist [0030] 6 (PHOTO STEP #1), for the subsequent formation of trenches (shown in FIG. 2). The substrate 2 in FIG. 1 is a semiconductor substrate with active device therein and provided with an insulating layer or layer thereon. Moreover, the semiconductor substrate includes semiconductor device structures such as gate electrodes and source/drain regions and multiple levels of metal interconnections. An intermetal dielectric layer 4, IMD, is deposited on the substrate. Photo resist 6 is deposited and patterned over the intermetal dielectric layer 4.
  • Referring to FIG. 2, which in cross-sectional representation illustrates the formation of trenches or [0031] trench openings 7. This is accomplished by anisotropically etching the intermetal dielectric layer 4, in the exposed regions, as shown by the photoresist 6 patterned in FIG. 1.
  • Referring to FIG. 3, which in cross-sectional representation illustrates the formation of bottom copper metal electrode plates, in MIM capacitors, as well as, other copper metal interconnection lines and wiring. First a metal [0032] diffusion barrier layer 8 is deposited over the intermetal dielectric layer 4 and over the substrate 2. This barrier layer 8 is very thin and difficult to illustrate. Next, a thin copper seed layer 8 is deposited over the barrier layer These two aforementioned layers, barrier and seed layer are thin and difficult to illustrate. Next, thick copper layer 10 is electrochemically plated on the copper seed forming an excess of copper on the surface on the IMD. This excess copper is removed from the surface, as well as, the seed and barrier materials, by planarization using chemical mechanical polishing, CMP. By this CMP process, bottom copper metal electrode plates and interconnect wiring are formed.
  • There are many methods that can be applied to form the first metal electrode layer, [0033] 10, in FIG. 3. The first metal electrode layer can be selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM bottom metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
  • Referring to FIG. 4, which in cross-sectional representation illustrates the mask and patterning of a thin IMD, [0034] 14 intermetal dielectric layer, to form a subsequent shallow trenches 18, or trench openings (shown in FIG. 5). Again, referring to FIG. 4, Photo MASK #1 is used again to pattern photoresist 16 (PHOTO STEP #2), for the subsequent formation of shallow trenches (shown in FIG. 5). First, a thin intermetal dielectric layer 14, IMD, is deposited over the interlevel dielectric layer 4 and over the copper assembly layers 12, which consists of barrier, seed and thick copper. The thin intermetal dielectric layer 14, IMD, is comprised of a thickness range between 500 to 2,000 Angstroms. Next, photo resist 16 is deposited and patterned (duplicating the photo resist pattern shown in FIG. 1) over specific regions of the intermetal dielectric layer 4, and thus exposing specific regions of the thin intermetal dielectric layer which are over copper 12 regions, for subsequent isotropic etching, as shown in FIG. 5.
  • A special note with regard to possible mask misalignment in the above processing step, that is, in reference to FIG. 4, Photo MASK #1 that is used again to pattern photoresist [0035] 16 (PHOTO STEP #2), for the subsequent formation of shallow trenches, shown in FIG. 5. Note, that an oversized mask is preferred to an undersized mask because an undersized mask results in narrow metal lines, possibly affecting device performance. However, if metal bridging defects are a concern, then an undersized mask is preferred. In conclusion, if mask misalignment is a concern, slightly oversize or undersize can be achieve by applying different masking exposure conditions, depending on electrical device requirements. Note, no addition reticles are needed for this step. The general mask guidelines are: oversize features can be >0.2 microns per side, and undersize features can be <0.2 microns per side.
  • Referring to FIG. 5, which in cross-sectional representation illustrates the formation of [0036] shallow trenches 18, or shallow trench openings, in the thin intermetal dielectric layer 14. This is accomplished by anisotropically etching the thin intermetal dielectric layer 14, in the exposed regions, as shown by the photoresist 16 patterned in FIG. 4. Again, referring to FIG. 5, the etching process stops on the exposed copper 12 regions, fully forming the shallow trenches 18, or shallow trench openings.
  • Referring to FIG. 6, which in cross-sectional representation illustrates several key processing steps of the present invention. Thin [0037] bottom plate barriers 20 are formed in the shallow trench openings. These barriers are deposited over thin intermetal dielectric layer 14 and over the exposed copper assembly 12. The surface of the intermetal dielectric layer 14 is planarized by chemical mechanical polish, CMP, and the barrier material is removed from the surface forming inlaid barriers 20 over the copper assembly 12. The thickness of the barrier 20 after chemical mechanical polishing, CMP, is approximately less than 500 Angstroms in thickness. The barrier layer is selected from the group consisting of Ta, Al, W, TaN, TiN, and metals that are compatible with copper interconnect process flow. The barrier layer can be deposited by following methods, selected from the group consisting of chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, deposited in a thickness range from 500 to 2000 Angstroms.
  • The main advantages of the [0038] above barrier layer 20, are the following: a) the bottom copper plate electrodes and copper interconnection wiring layer are made planar by the barrier layer 20, thus avoiding a deleterious, undulated copper surface, c) in subsequent top plate MIM etches, all the bottom copper metal is protected by the barrier layer 20, thus avoiding potential particle problems, d) the MIM capacitor and subsequent metal resistor is formed at Cu BEOL by using only one extra mask.
  • Referring to FIG. 7A, which in cross-sectional representation illustrates the deposition of the MIM, high K [0039] dielectric material 22, high dielectric constant dielectric insulator and the deposition of the MIM top plate metal electrode 24. The MIM, high K dielectric material 22, high dielectric constant dielectric insulator forming the capacitor dielectric insulator with high dielectric constant material or materials is selected from the group consisting of Ta2O5, HfO2, ZrO2, TiO2, Al2O3, SiN, SiC, and TaON, formed by Atomic Layering Chemical Vapor Deposition techniques, ALCVD, with thickness ranging from tens to thousands of Angstroms, under low temperature deposition conditions. The MIM top plate metal electrode 24 is selected from the group consisting of copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W. The process sequence is as follows:
  • Firstly, deposit the MIM, high K [0040] dielectric material 22, high dielectric constant dielectric insulator over the patterned thin intermetal dielectric layer 14, IMD, and the patterned barrier layer 20. Secondly, deposit the MIM top plate metal electrode 24 over the MIM, high K dielectric material 22.
  • Referring to FIG. 7B, which in cross-sectional representation illustrates an option process step, namely, the deposition of: the MIM, high K [0041] dielectric material 22, high dielectric constant dielectric insulator, the deposition of the MIM top plate metal electrode 24, including an optional etch stop layer 25, deposited over the MIM top plate metal electrode 24. This additional optional etch stop layer 25 may be required for controlling the subsequent via-etch-stop, due to differences in step height caused by MIM top plate metal electrode 24. Furthermore, this additional optional etch stop layer 25 is selected from the group consisting of SiN, and SiC, with thickness from approximately 100 to 1000 Angstroms. Thus optional etch stop is required: if the subsequent via etch selectivity of the MIM top plate metal electrode and a subsequent thick IMD, intermetal dielectric layer, is poor.
  • Referring to FIG. 8, which in cross-sectional representation illustrates the mask and patterning of [0042] photoresist 26 to form the top MIM plate electrodes and the metal resistor, R, from the top the MIM top plate metal electrode material 24, continuing with the standard process of the present invention, as shown in FIG. 7A. Firstly, photoresist 24 is formed and patterned over the top MIM electrode material 24. This is MASK # 2, PHOTO STEP #3, in the process.
  • With reference to FIGS. 9 through 12, these sketches are schematic cross-sectional representations of the simultaneous formation of both the metal resistor and the MIM top plate electrode. In addition, contact vias to these devices are formed therein. Note, these are key preferred embodiments of the present invention that are described in detail below. [0043]
  • Referring to FIG. 9, which in cross-sectional representation illustrates the forming of the top metal MIM electrodes and top metal interconnect wiring, for simplicity the metal layers are designated [0044] 28, and the metal resistor 29, R. This metal patterning is accomplished by using the photoresist 26 patterning in FIG. 8, and etching the exposed metal, and furthermore, stopping the etch on the MIM, high K dielectric material 22, as shown in FIG. 9. This completes the formation of the MIM capacitor 28, the resistor part of the metal resistor 29, and bottom interconnection wiring. As an alternate or optional processing etch step, a one-step etch may be performed by etching away both the exposed metal and the high dielectric, and over-etching midway through the thin intermetal dielectric layer 14, in the exposed regions. Both oversize or undersize sides or features of the MIM top plate may be required, depending on electrical design considerations.
  • There are many methods that can be applied to form the second metal electrode layer, [0045] 28, in FIG. 9, and simultaneously, the metal resistor 29. An important aspect of the present invention method is that the scheme described herein allows for great flexibility in the choice of both the dielectric and metal for both the MIM top plate and the metal resistor. The second metal electrode layer and metal resistor can be selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM top metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
  • With the completion of the formation of the MIM capacitor and the resistor part of the metal resistor, the following process step involve encapsulation, packaging and electrical contact to the above devices. Therefore, from this point on in the process, BEOL, back end of line, processing is primarily focused on encapsulating the devices for electrical isolation and packaging, and making electrical contact through contact vias and trenches to the MIM capacitors and metal resistors. The key parts of the MIM capacitors and metal resistors have been formed with only two photo masks and three photolithography processing steps. [0046]
  • Referring to FIG. 10, which in cross-sectional representation illustrates the deposition of a thick IMD, intermetal [0047] dielectric layer 30, over the top metal MIM electrodes and top metal interconnect wiring, for simplicity the metal layers are designated 28. The purpose of the thick IMD, intermetal dielectric layer 30, is to flatten or make planar the surface over the top metal MIM electrodes and top metal interconnect wiring, designated 28. The thick IMD, intermetal dielectric layer 30, is a low dielectric constant material selected from the group consisting of: SiN, SiO, spin-on-glass SOG, PE plasma enhanced TEOS, tetraethoxysilane deposition, halogenated SiO, fluorinated silicate glass FSG, CORAL, black diamond, deposited by the following methods selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from 1000 to 10000 Angstroms. The thick IMD, intermetal dielectric layer 30, is planarized by chemical mechanical polishing, CMP, and the planar surface is illustrated in the next figure, FIG. 11. Note, that this planarization step described above is not required when the topography introduced by the MIM top plate and metal resistor is slight, and does not cause topography step height problems with subsequent process steps, such as, masking, oxide etch, and metal fill.
  • Referring to FIG. 11, which in cross-sectional representation illustrates the masking and patterning of [0048] photoresist 32, which will form via openings. Note, the exposed photoresist regions are aligned with device features below. These exposed regions will form electrical contact vias in the subsequent etch step, illustrated in the next figure, FIG. 12. The photoresist is formed over the planarized thick IMD, intermetal dielectric layer 30. This represent the third masking and the fourth photo step, MASK #3, PHOTO STEP #4.
  • Referring to FIG. 12, which in cross-sectional representation illustrates the reactive ion dry etching of the contact via [0049] openings 34. Again note, that the exposed photoresist regions were aligned with device features below. Now, after etching, exposed regions will form contact via openings 34 to the device features below. All the contact via openings 34 are “empty” and cleared during etching due to the etch selectivity difference between the metal and low k dielectric material. The vias depth differences at MIM capacitor and normal metal lines are not a problem. Note, some via openings during etching, etch stop at or on the MIM bottom plate's barrier layer 20.
  • Referring to FIG. 13, which in cross-sectional representation illustrates the next set of processing steps, the filling of the via openings with barrier layer and copper metal, the barrier and copper metal set or assembly designated [0050] 36 for contact vias to the top MIM electrode. Note, barrier layer is too thin to sketch into vias. The barrier and copper metal set or assembly designated: for contact vias to the top metal resistor electrode 38, and for contact vias to the bottom metal resistor electrodes 40 and 42, respectively.
  • Referring to FIG. 14, which in cross-sectional representation illustrates the next set of processing steps in the BEOL, the deposition of a thick IMD, intermetal [0051] dielectric layer 44. Photoresist 46 is masked and patterned over the thick IMD, intermetal dielectric layer 44, for the purpose of forming trench openings in the intermetal dielectric layer in subsequent process steps. This marks the approach of the final processing steps, namely the subsequent filling of the trench openings with top metal lines. The thick IMD, intermetal dielectric layer 44, is a low dielectric constant material selected from the group consisting of: SiN, SiO, spin-on-glass SOG, PE plasma enhanced TEOS, tetraethoxysilane deposition, halogenated SiO, fluorinated silicate glass FSG, CORAL, black diamond, deposited by the following methods selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from hundreds to thousands of Angstroms. This step represents the fourth mask and the fifth photo step, MASK #4, PHOTO STEP #5 (Note, the opposite photoresist pattern, positive/negative, to the photoresist 26 in FIG. 8.) These are final photolithography processing steps, thus formation of MIM capacitor, metal resistor, and copper BEOL interconnect wiring is accomplished by using only one extra mask.
  • Referring to FIG. 15, which in cross-sectional representation illustrates the forming of the [0052] trench openings 48 by etching the intermetal dielectric layer 44. Subsequently, these trench openings 48 are to filled with top metal forming top metal lines.
  • Referring to FIG. 16, which in cross-sectional representation illustrates the filling of the trench openings with [0053] barrier layers 49 lining the trenches and copper metal contact trenches 50, 52 and 54. The copper metal contact trenches 50 contact vias to opposite ends of a top metal resistor. The copper metal contact trench 52 contact vias to top metal MIM capacitor electrode. The copper metal contact trenches 54 contact vias to bottom metal interconnect wiring. Thus, formation of a MIM capacitor, a metal resistor, and copper BEOL interconnect wiring is accomplished by using only one extra mask.
  • Another important capacitor application of the present invention is in integrated circuits that use anti-fuse devices, in field-programmable gate arrays, FPGA. In an anti-fuse device, a metal-insulator-metal capacitor, MIM, is normally in an “open” circuit state, as a capacitor. However, after a high breakdown voltage or high breakdown field is applied to the capacitor, the capacitor's dielectric breaks down and changes to an irreversible “short” circuit state, or closed contact device. Therefore, the anti-fuse, MIM device has binary electrical states of “open”, and “closed” circuit states. In the present invention described herein, for fabrication of an anti-fuse device, the metal-insulator-metal capacitor's surface area dimensions are formed, as small as, the size of the via, and the insulating dielectric material for the capacitor is of low dielectric constant material, comprised of amorphous silicon. Furthermore, the thickness of all the layers and the dielectrics specified herein, for the metal-insulator-metal capacitor, MIM, remain the same and unchanged for the anti-fuse, MIM application described above. [0054]
  • While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention.[0055]

Claims (31)

What is claimed is:
1. A method for fabricating metal-insulator-metal capacitors, MIM, metal resistors, and copper back end of line interconnect wiring, comprising:
providing a first intermetal dielectric layer overlying a semiconductor substrate;
forming bottom MIM trench openings and other metal line openings in the first intermetal dielectric layer patterning using a first mask, photoresist process one;
forming a first metal MIM electrode and other metal interconnect wiring lines filling said openings;
forming shallow trench openings in a thin second intermetal dielectric layer, over existing first metal MIM electrode and interconnects, patterning using the first mask again, photoresist process two;
forming a bottom plate barrier layer in the shallow trench openings and planarizing back the top surface, leaving the bottom plate barrier layer in the trench;
forming a high dielectric constant insulator layer over the thin second intermetal dielectric layer and bottom plate barrier layer;
forming a second metal MIM electrode, interconnects, and metal resistors over the high dielectric constant insulator layer, patterning using a second mask, photoresist process three, thus completing the MIM capacitors, metal resistors and copper wiring.
2. The method of claim 1, wherein said semiconductor substrate includes semiconductor device structures such as gate electrodes and source/drain regions.
3. The method of claim 1, wherein said semiconductor substrate includes semiconductor device structures such as gate electrodes, source/drain regions and multiple levels of metal interconnections.
4. The method of claim 1, wherein said first and second intermetal dielectric layers are a low dielectric constant material or materials selected from the group consisting of: SiN, SiO, spin-on-glass SOG, PE plasma enhanced TEOS, tetraethoxysilane deposition, halogenated SiO, fluorinated silicate glass FSG, black diamond, deposited by the following methods selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from 1000 to 10000 Angstroms.
5. The method of claim 1, wherein said first metal electrode layer is selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM bottom metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
6. The method of claim 1, wherein said capacitor dielectric insulator with high dielectric constant material or materials is selected from the group consisting of Ta2O5, HfO2, ZrO2, TiO2, Al203, SiN, SiC, and TaON, formed by Atomic Layering Chemical Vapor Deposition techniques, ALCVD, with thickness ranging from tens to thousands of Angstroms, under low temperature deposition conditions.
7. The method of claim 1, wherein said metal barrier layers are selected from the group consisting of Ta, Al, TaN, TiN, and metals that are compatible with copper interconnect process flow, wiht the thickness of the barrier after chemical mechanical polishing CMP, being approximately less than 500 Angstroms in thickness, deposited by following methods, selected from the group consisting of chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness range from 500 to 2000 Angstroms.
8. The method of claim 1, wherein said second metal electrode layer is selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM top metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
9. The method of claim 1, wherein the first MIM metal electrode is comprised of copper and conducting barrier, and planarized by chemical mechanical polishing.
10. The method of claim 1, wherein said first MIM metal electrode layer is formed in a damascene opening in the first intermetal dielectric layer and then planarized by chemical mechanical polishing, forming inlaid embedded metal electrodes in the first intermetal dielectric layer.
11. A method for fabricating metal-insulator-metal capacitors, MIM, metal resistors, and copper back end of line interconnect wiring, and electrical contacts therein, comprising:
providing a first intermetal dielectric layer overlying a semiconductor substrate;
forming bottom MIM trench openings and other metal line openings in the first intermetal dielectric layer patterning using a first mask, photoresist process one;
forming a first metal MIM electrode and other metal interconnect wiring lines filling said openings;
forming shallow trench openings in a thin second intermetal dielectric layer, over existing first metal MIM electrode and interconnects, patterning using the first mask again, photoresist process two;
forming a bottom plate barrier layer in the shallow trench openings and planarizing back the top surface, leaving the bottom plate barrier layer in the trench;
forming a high dielectric constant insulator layer over the thin second intermetal dielectric layer and bottom plate barrier layer;
forming a second metal MIM electrode, interconnects, and metal resistors over the high dielectric constant insulator layer, patterning using a second mask, photoresist process three, forming MIM capacitors, metal resistors and copper interconnect wiring;
depositing a thick third intermetal dielectric layer over the second metal MIM electrode, interconnects, and metal resistors and over the high dielectric constant insulator layer;
planarizing the third intermetal dielectric layer by chemical mechanical polishing;
forming via openings which connect to both first and second metal, patterning using a third mask, photoresist process four;
forming a metal barrier layer lining the via openings and forming copper metal filling the via openings, forming copper contact vias to both first second metal;
depositing a thick fourth intermetal dielectric layer over copper contact vias and over the third intermetal dielectric layer;
forming large trench openings in the fourth intermetal dielectric layer over the copper contact vias, patterning using a fourth mask, photoresist process five;
forming a metal barrier layer lining the large trench openings and forming copper metal filling the via openings, planarizing the surface by chemical mechanical polish, thus forming electrical contacts through the contact vias below, to the metal-insulator-metal capacitors, MIM, metal resistors, and copper back end of line interconnect wiring.
12. The method of claim 11, wherein said semiconductor substrate includes semiconductor device structures such as gate electrodes and source/drain regions.
13. The method of claim 11, wherein said semiconductor substrate includes semiconductor device structures such as gate electrodes, source/drain regions and multiple levels of metal interconnections.
14. The method of claim 11, wherein said first and second intermetal dielectric layers are a low dielectric constant material or materials selected from the group consisting of: SiN, SiO, spin-on-glass SOG, PE plasma enhanced TEOS, tetraethoxysilane deposition, halogenated SiO, fluorinated silicate glass FSG, black diamond, deposited by the following methods selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from 1000 to 10000 Angstroms.
15. The method of claim 11, wherein said first metal electrode layer is selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM bottom metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
16. The method of claim 11, wherein said capacitor dielectric insulator with high dielectric constant material or materials is selected from the group consisting of Ta2O5, HfO2, ZrO2, TiO2, Al2O3, SiN, SiC, and TaON, formed by Atomic Layering Chemical Vapor Deposition techniques, ALCVD, with thickness ranging from tens to thousands of Angstroms, under low temperature deposition conditions.
17. The method of claim 11, wherein said metal barrier layers are selected from the group consisting of Ta, Al, TaN, TiN, and metals that are compatible with copper interconnect process flow, with the thickness of the barrier after chemical mechanical polishing CMP, being approximately less than 500 Angstroms in thickness, deposited by following methods, selected from the group consisting of chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness range from 500 to 2000 Angstroms.
18. The method of claim 11, wherein said second metal electrode layer is selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM top metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
19. The method of claim 11, wherein the first MIM metal electrode is comprised of copper and conducting barrier, and planarized by chemical mechanical polishing.
20. The method of claim 11, wherein said first MIM metal electrode layer is formed in a damascene opening in the first intermetal dielectric layer and then planarized by chemical mechanical polishing, forming inlaid embedded metal electrodes in the first intermetal dielectric layer.
21. The method of claim 11, further comprising forming an optional etch stop layer over said second metal MIM electrode, interconnects, and metal resistors.
22. A method for fabricating metal-insulator-metal capacitors, MIM, metal resistors, and copper back end of line interconnect wiring, using only one extra mask comprising:
providing a first intermetal dielectric layer overlying a semiconductor substrate;
forming a first metal MIM electrode and other metal interconnect wiring lines in a damascene process using a first mask, photoresist process one, in the first intermetal dielectric layer;
forming a bottom plate barrier layer over existing first metal MIM electrode and interconnects patterning in a damascene process using the first mask again, photoresist process two, in a provided second intermetal dielectric layer;
forming a high dielectric constant insulator layer over the second intermetal dielectric layer and bottom plate barrier layer;
forming a second metal MIM electrode, interconnects, and metal resistors over the high dielectric constant insulator layer, patterning using a second mask, photoresist process three;
thus, forming MIM capacitors, metal resistors and copper interconnect wiring with only one extra mask.
23. The method of claim 22, wherein said semiconductor substrate includes semiconductor device structures such as gate electrodes and source/drain regions.
24. The method of claim 22, wherein said semiconductor substrate includes semiconductor device structures such as gate electrodes, source/drain regions and multiple levels of metal interconnections.
25. The method of claim 22, wherein said first and second intermetal dielectric layers are a low dielectric constant material or materials selected from the group consisting of: SiN, SiO, spin-on-glass SOG, PE plasma enhanced TEOS, tetraethoxysilane deposition, halogenated SiO, fluorinated silicate glass FSG, black diamond, deposited by the following methods selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from 1000 to 10000 Angstroms.
26. The method of claim 22, wherein said first metal electrode layer is selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM bottom metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
27. The method of claim 22, wherein said capacitor dielectric insulator with high dielectric constant material or materials is selected from the group consisting of Ta2O5, HfO2, ZrO2, TiO2, Al2O3, SiN, SiC, and TaON, formed by Atomic Layering Chemical Vapor Deposition techniques, ALCVD, with thickness ranging from tens to thousands of Angstroms, under low temperature deposition conditions.
28. The method of claim 22, wherein said metal barrier layers are selected from the group consisting of Ta, Al, TaN, TiN, and metals that are compatible with copper interconnect process flow, wiht the thickness of the barrier after chemical mechanical polishing CMP, being approximately less than 500 Angstroms in thickness, deposited by following methods, selected from the group consisting of chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness range from 500 to 2000 Angstroms.
29. The method of claim 22, wherein said second metal electrode layer is selected from group consisting of metals and metal alloys with high conductivity, such as, copper, copper alloys, TiN, Ta, TaN, AlCu, Al, Ti and W forming a MIM top metal plate deposited by following methods depending on the aspect ratio of trenches, selected from the group consisting of electrochemical plating, chemical vapor deposition CVD, physical vapor deposition PVD, atomic layer deposition ALD, and molecular beam epitaxy chemical vapor deposition MOCVD, and deposited in a thickness ranging from tens to thousands of Angstroms.
30. The method of claim 22, wherein said first MIM metal electrode layer is formed in a damascene opening in the first intermetal dielectric layer and then planarized by chemical mechanical polishing, forming inlaid embedded metal electrodes in the first intermetal dielectric layer.
31. The method of claim 22, wherein said first MIM metal electrode layer is formed in a damascene opening in the first intermetal dielectric layer and then planarized by chemical mechanical polishing, forming inlaid embedded metal electrodes in the first intermetal dielectric layer.
US10/286,257 2002-11-01 2002-11-01 MIM and metal resistor formation at CU beol using only one extra mask Expired - Lifetime US6730573B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/286,257 US6730573B1 (en) 2002-11-01 2002-11-01 MIM and metal resistor formation at CU beol using only one extra mask
SG200306095A SG103940A1 (en) 2002-11-01 2003-10-15 Mim and metal resistor formation at cu beol using only one extra mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/286,257 US6730573B1 (en) 2002-11-01 2002-11-01 MIM and metal resistor formation at CU beol using only one extra mask

Publications (2)

Publication Number Publication Date
US6730573B1 US6730573B1 (en) 2004-05-04
US20040087098A1 true US20040087098A1 (en) 2004-05-06

Family

ID=32175398

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/286,257 Expired - Lifetime US6730573B1 (en) 2002-11-01 2002-11-01 MIM and metal resistor formation at CU beol using only one extra mask

Country Status (2)

Country Link
US (1) US6730573B1 (en)
SG (1) SG103940A1 (en)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US20050035470A1 (en) * 2003-08-12 2005-02-17 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US20050040493A1 (en) * 2003-08-18 2005-02-24 Yee-Chia Yeo Resistor with reduced leakage
US20050082639A1 (en) * 2003-10-17 2005-04-21 Nec Electronics Corporation Semiconductor device having MIM structure resistor
US20050087879A1 (en) * 2003-10-28 2005-04-28 Samsung Electronics Co., Ltd. Logic device having vertically extending metal-insulator-metal capacitor between interconnect layers and method of fabricating the same
US20050093067A1 (en) * 2003-04-30 2005-05-05 Yee-Chia Yeo Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050130383A1 (en) * 2003-12-10 2005-06-16 International Business Machines Corporation Silicide resistor in beol layer of semiconductor device and method
US20050221575A1 (en) * 2002-12-02 2005-10-06 Taiwan Semiconductor Manufacturing Company Novel method to fabricate high reliable metal capacitor within copper back-end process
US20060076596A1 (en) * 2004-10-08 2006-04-13 Nec Electronics Corporation Semiconductor device
US20060124965A1 (en) * 2003-07-25 2006-06-15 Yee-Chia Yeo Capacitor that includes high permittivity capacitor dielectric
US20060138596A1 (en) * 2004-12-28 2006-06-29 Danzl Ralph B Semiconductor structures utilizing thin film resistors and tungsten plug connectors and methods for making the same
US20060255365A1 (en) * 2003-08-15 2006-11-16 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20070072361A1 (en) * 2005-09-26 2007-03-29 Chao-Chun Tu Method of reducing current leakage in a metal insulator metal semiconductor capacitor and semiconductor capacitor thereof
US20070145524A1 (en) * 2005-12-28 2007-06-28 Dongbu Electronics Co., Ltd. FPGA structure provided with multi parallel structure and method for forming the same
EP1849167A2 (en) * 2005-02-16 2007-10-31 International Business Machines Corporation Thin film resistors with current density enhancing layer (cdel)
US20080169484A1 (en) * 2007-01-16 2008-07-17 Harry Chuang Strained Transistor with Optimized Drive Current and Method of Forming
US20090230439A1 (en) * 2008-03-13 2009-09-17 Yen-Sen Wang Strain Bars in Stressed Layers of MOS Devices
US20100038750A1 (en) * 2008-08-14 2010-02-18 Collins David S Structure, Design Structure and Method of Manufacturing a Structure Having VIAS and High Density Capacitors
US20100041203A1 (en) * 2008-08-14 2010-02-18 Collins David S Structure, Design Structure and Method of Manufacturing a Structure Having VIAS and High Density Capacitors
US20100078725A1 (en) * 2008-09-29 2010-04-01 Yung-Chin Hou Standard Cell without OD Space Effect in Y-Direction
US7867860B2 (en) 2003-07-25 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor formation
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
EP2286444A2 (en) * 2008-05-13 2011-02-23 International Business Machines Corporation Metal gate integration structure and method including metal fuse, anti-fuse and/or resistor
US20110095427A1 (en) * 2008-05-13 2011-04-28 Micron Technology, Inc. Low-resistance interconnects and methods of making same
KR101085912B1 (en) * 2005-04-30 2011-11-23 매그나칩 반도체 유한회사 Method for manufacturing a semiconductor device
US20120104546A1 (en) * 2010-11-01 2012-05-03 International Business Machines Corporation Structure and design structure for high-q value inductor and method of manufacturing the same
US20120104545A1 (en) * 2009-07-09 2012-05-03 Murata Manufacturing Co., Ltd. Anti-Fuse Element
KR101146225B1 (en) 2005-06-07 2012-05-15 매그나칩 반도체 유한회사 Method for manufacturing a semiconductor device
US20140001568A1 (en) * 2012-06-29 2014-01-02 Qualcomm Incorporated Integrated circuit device featuring an antifuse and method of making same
US9842802B2 (en) 2012-06-29 2017-12-12 Qualcomm Incorporated Integrated circuit device featuring an antifuse and method of making same
US20210335735A1 (en) * 2020-04-27 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits
WO2023287955A1 (en) * 2021-07-15 2023-01-19 Microchip Technology Incorporated Anti-fuse device with a cup-shaped insulator
US11855230B2 (en) 2021-08-06 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal capacitor within metallization structure

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030003665A1 (en) * 2001-06-27 2003-01-02 Nakagawa Osamu Samuel Process for high-dielectric constant metal-insulator metal capacitor in VLSI multi-level metallization systems
DE10161286A1 (en) * 2001-12-13 2003-07-03 Infineon Technologies Ag Integrated semiconductor product with metal-insulator-metal capacitor
KR100500444B1 (en) * 2002-12-26 2005-07-12 삼성전자주식회사 fabrication method of a metal-insulator-metal capacitor
US7022246B2 (en) * 2003-01-06 2006-04-04 International Business Machines Corporation Method of fabrication of MIMCAP and resistor at same level
KR100524963B1 (en) * 2003-05-14 2005-10-31 삼성전자주식회사 Manufacturing method and apparatus for semiconductor device having metal resistor and metal wire
US6964908B2 (en) * 2003-08-19 2005-11-15 International Business Machines Corporation Metal-insulator-metal capacitor and method of fabricating same
DE10344389A1 (en) * 2003-09-25 2005-05-19 Infineon Technologies Ag Method for producing a multifunctional dielectric layer on a substrate
US20050086780A1 (en) * 2003-10-23 2005-04-28 Chartered Semiconductor Manufacturing Ltd. Method of fabricating circular or angular spiral MIM capacitors
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
US7005377B2 (en) * 2004-05-24 2006-02-28 Bcd Semiconductor Manufacturing Ltd. Bimetal layer manufacturing method
JP2006121046A (en) * 2004-09-24 2006-05-11 Meiko:Kk Circuit board
KR100630706B1 (en) * 2004-10-21 2006-10-02 삼성전자주식회사 Semiconductor integrated circuit having resistors and method for manufacturing the same
US7361585B2 (en) * 2004-12-23 2008-04-22 Advantech Global, Ltd System for and method of planarizing the contact region of a via by use of a continuous inline vacuum deposition
US7655570B2 (en) * 2005-01-13 2010-02-02 Tokyo Electron Limited Etching method, program, computer readable storage medium and plasma processing apparatus
US20070057305A1 (en) * 2005-09-13 2007-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor integrated into the damascene structure and method of making thereof
US8791006B2 (en) * 2005-10-29 2014-07-29 Stats Chippac, Ltd. Semiconductor device and method of forming an inductor on polymer matrix composite substrate
US8669637B2 (en) * 2005-10-29 2014-03-11 Stats Chippac Ltd. Integrated passive device system
US8409970B2 (en) 2005-10-29 2013-04-02 Stats Chippac, Ltd. Semiconductor device and method of making integrated passive devices
US8158510B2 (en) 2009-11-19 2012-04-17 Stats Chippac, Ltd. Semiconductor device and method of forming IPD on molded substrate
US7483258B2 (en) * 2005-12-13 2009-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor in a copper damascene interconnect
US7964470B2 (en) 2006-03-01 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible processing method for metal-insulator-metal capacitor formation
US8188590B2 (en) 2006-03-30 2012-05-29 Stats Chippac Ltd. Integrated circuit package system with post-passivation interconnection and integration
US7728372B2 (en) 2006-05-10 2010-06-01 International Business Machines Corporation Method and structure for creation of a metal insulator metal capacitor
US7968967B2 (en) * 2006-07-17 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. One-time-programmable anti-fuse formed using damascene process
KR100881730B1 (en) * 2007-03-16 2009-02-06 주식회사 하이닉스반도체 Capacitor and method for manufacturing the same
US8013394B2 (en) * 2007-03-28 2011-09-06 International Business Machines Corporation Integrated circuit having resistor between BEOL interconnect and FEOL structure and related method
US20090032491A1 (en) * 2007-08-03 2009-02-05 International Business Machines Corporation Conductive element forming using sacrificial layer patterned to form dielectric layer
US7749903B2 (en) * 2008-02-07 2010-07-06 International Business Machines Corporation Gate patterning scheme with self aligned independent gate etch
US7745280B2 (en) * 2008-05-29 2010-06-29 United Microelectronics Corp. Metal-insulator-metal capacitor structure
US8022503B2 (en) * 2008-06-03 2011-09-20 United Microelectronics Corp. Anti-fusse structure and method of fabricating the same
CN101610644B (en) * 2008-06-20 2012-05-02 欣兴电子股份有限公司 Surface plating technology of circuit base plate
US8191217B2 (en) * 2009-08-05 2012-06-05 International Business Machines Corporation Complimentary metal-insulator-metal (MIM) capacitors and method of manufacture
US8375539B2 (en) 2009-08-05 2013-02-19 International Business Machines Corporation Method of manufacturing complimentary metal-insulator-metal (MIM) capacitors
US20120113561A1 (en) * 2010-11-04 2012-05-10 National Chiao Tung University Capacitor device and method for forming the same
US20140120687A1 (en) 2012-10-31 2014-05-01 International Business Machines Corporation Self-Aligned Silicide Bottom Plate for EDRAM Applications by Self-Diffusing Metal in CVD/ALD Metal Process
US20140145345A1 (en) * 2012-11-27 2014-05-29 Infineon Technologies Ag Method of forming a semiconductor structure, and a semiconductor structure
US10515949B2 (en) * 2013-10-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
CN105226044B (en) 2014-05-29 2018-12-18 联华电子股份有限公司 Integrated circuit and the method for forming integrated circuit
US20160343719A1 (en) * 2015-05-22 2016-11-24 Globalfoundries Singapore Pte. Ltd. Interposers for integrated circuits with one-time programming and methods for manufacturing the same
US10580581B2 (en) * 2017-11-16 2020-03-03 Globalfoundries Inc. High-density metal-insulator-metal capacitors
US11038013B2 (en) 2019-07-24 2021-06-15 International Business Machines Corporation Back-end-of-line compatible metal-insulator-metal on-chip decoupling capacitor
US11244850B2 (en) 2019-11-18 2022-02-08 International Business Machines Corporation On integrated circuit (IC) device simultaneously formed capacitor and resistor
US11145591B2 (en) * 2019-11-18 2021-10-12 International Business Machines Corporation Integrated circuit (IC) device integral capacitor and anti-fuse
CN110863243B (en) * 2019-11-27 2020-11-10 南京邮电大学 Secondary epitaxial method for preparing high-quality diamond single crystal by adopting nano structure
US11545486B2 (en) 2020-10-02 2023-01-03 Globalfoundries Singapore Pte. Ltd. Integrated thin film resistor and metal-insulator-metal capacitor
US11742283B2 (en) 2020-12-31 2023-08-29 Globalfoundries Singapore Pte. Ltd. Integrated thin film resistor and memory device
US11637100B2 (en) 2021-08-11 2023-04-25 Globalfoundries Singapore Pte. Ltd. Semiconductor device having capacitor and resistor and a method of forming the same

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6083785A (en) * 1996-06-17 2000-07-04 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device having resistor film
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6284619B1 (en) * 2000-06-29 2001-09-04 International Business Machines Corporation Integration scheme for multilevel metallization structures
US6284590B1 (en) * 2000-11-30 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors
US6313003B1 (en) * 2000-08-17 2001-11-06 Taiwan Semiconductor Manufacturing Company Fabrication process for metal-insulator-metal capacitor with low gate resistance
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
US6500724B1 (en) * 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US6534374B2 (en) * 2001-06-07 2003-03-18 Institute Of Microelectronics Single damascene method for RF IC passive component integration in copper interconnect process
US6645810B2 (en) * 2001-11-13 2003-11-11 Chartered Semiconductors Manufacturing Limited Method to fabricate MIM capacitor using damascene process
US6670237B1 (en) * 2002-08-01 2003-12-30 Chartered Semiconductor Manufacturing Ltd. Method for an advanced MIM capacitor

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6083785A (en) * 1996-06-17 2000-07-04 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device having resistor film
US6284619B1 (en) * 2000-06-29 2001-09-04 International Business Machines Corporation Integration scheme for multilevel metallization structures
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
US6313003B1 (en) * 2000-08-17 2001-11-06 Taiwan Semiconductor Manufacturing Company Fabrication process for metal-insulator-metal capacitor with low gate resistance
US6500724B1 (en) * 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US6284590B1 (en) * 2000-11-30 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors
US6271084B1 (en) * 2001-01-16 2001-08-07 Taiwan Semiconductor Manufacturing Company Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process
US6534374B2 (en) * 2001-06-07 2003-03-18 Institute Of Microelectronics Single damascene method for RF IC passive component integration in copper interconnect process
US6645810B2 (en) * 2001-11-13 2003-11-11 Chartered Semiconductors Manufacturing Limited Method to fabricate MIM capacitor using damascene process
US6670237B1 (en) * 2002-08-01 2003-12-30 Chartered Semiconductor Manufacturing Ltd. Method for an advanced MIM capacitor

Cited By (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7122878B2 (en) * 2002-12-02 2006-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method to fabricate high reliable metal capacitor within copper back-end process
US20050221575A1 (en) * 2002-12-02 2005-10-06 Taiwan Semiconductor Manufacturing Company Novel method to fabricate high reliable metal capacitor within copper back-end process
US7268024B2 (en) 2003-04-30 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050093067A1 (en) * 2003-04-30 2005-05-05 Yee-Chia Yeo Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US7867860B2 (en) 2003-07-25 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor formation
US7745279B2 (en) 2003-07-25 2010-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US20060124965A1 (en) * 2003-07-25 2006-06-15 Yee-Chia Yeo Capacitor that includes high permittivity capacitor dielectric
US7442967B2 (en) 2003-08-12 2008-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors
US20050035470A1 (en) * 2003-08-12 2005-02-17 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20060189056A1 (en) * 2003-08-12 2006-08-24 Chih-Hsin Ko Strained channel complementary field-effect transistors and methods of manufacture
US20060255365A1 (en) * 2003-08-15 2006-11-16 Chih-Hsin Ko Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7646068B2 (en) 2003-08-15 2010-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US20060226487A1 (en) * 2003-08-18 2006-10-12 Yee-Chia Yeo Resistor with reduced leakage
US20050040493A1 (en) * 2003-08-18 2005-02-24 Yee-Chia Yeo Resistor with reduced leakage
US20050082639A1 (en) * 2003-10-17 2005-04-21 Nec Electronics Corporation Semiconductor device having MIM structure resistor
US7154158B2 (en) * 2003-10-17 2006-12-26 Nec Electronics Corporation Semiconductor device having MIM structure resistor
US20050087879A1 (en) * 2003-10-28 2005-04-28 Samsung Electronics Co., Ltd. Logic device having vertically extending metal-insulator-metal capacitor between interconnect layers and method of fabricating the same
US7476922B2 (en) * 2003-10-28 2009-01-13 Samsung Electronics Co., Ltd. Logic device having vertically extending metal-insulator-metal capacitor between interconnect layers and method of fabricating the same
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US20050130383A1 (en) * 2003-12-10 2005-06-16 International Business Machines Corporation Silicide resistor in beol layer of semiconductor device and method
US7432545B2 (en) 2004-10-08 2008-10-07 Nec Electronics Corporation Semiconductor device
US20060076596A1 (en) * 2004-10-08 2006-04-13 Nec Electronics Corporation Semiconductor device
US7211494B2 (en) * 2004-12-28 2007-05-01 Medtronic, Inc. Semiconductor structures utilizing thin film resistors and tungsten plug connectors and methods for making the same
US20060138596A1 (en) * 2004-12-28 2006-06-29 Danzl Ralph B Semiconductor structures utilizing thin film resistors and tungsten plug connectors and methods for making the same
EP1849167A2 (en) * 2005-02-16 2007-10-31 International Business Machines Corporation Thin film resistors with current density enhancing layer (cdel)
EP1849167A4 (en) * 2005-02-16 2010-06-09 Ibm Thin film resistors with current density enhancing layer (cdel)
KR101085912B1 (en) * 2005-04-30 2011-11-23 매그나칩 반도체 유한회사 Method for manufacturing a semiconductor device
KR101146225B1 (en) 2005-06-07 2012-05-15 매그나칩 반도체 유한회사 Method for manufacturing a semiconductor device
US20070072361A1 (en) * 2005-09-26 2007-03-29 Chao-Chun Tu Method of reducing current leakage in a metal insulator metal semiconductor capacitor and semiconductor capacitor thereof
US7678659B2 (en) * 2005-09-26 2010-03-16 Mediatek Inc. Method of reducing current leakage in a metal insulator metal semiconductor capacitor and semiconductor capacitor thereof
US7667291B2 (en) * 2005-12-28 2010-02-23 Dongbu Electronics Co., Ltd. FPGA structure provided with multi parallel structure and method for forming the same
US20070145524A1 (en) * 2005-12-28 2007-06-28 Dongbu Electronics Co., Ltd. FPGA structure provided with multi parallel structure and method for forming the same
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20080169484A1 (en) * 2007-01-16 2008-07-17 Harry Chuang Strained Transistor with Optimized Drive Current and Method of Forming
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US8389316B2 (en) 2008-03-13 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US20090230439A1 (en) * 2008-03-13 2009-09-17 Yen-Sen Wang Strain Bars in Stressed Layers of MOS Devices
US20110195554A1 (en) * 2008-03-13 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Strain Bars in Stressed Layers of MOS Devices
EP2286444A4 (en) * 2008-05-13 2012-11-21 Ibm Metal gate integration structure and method including metal fuse, anti-fuse and/or resistor
US20110095427A1 (en) * 2008-05-13 2011-04-28 Micron Technology, Inc. Low-resistance interconnects and methods of making same
EP2286444A2 (en) * 2008-05-13 2011-02-23 International Business Machines Corporation Metal gate integration structure and method including metal fuse, anti-fuse and/or resistor
US9202786B2 (en) * 2008-05-13 2015-12-01 Micron Technology, Inc. Low-resistance interconnects and methods of making same
US20100038750A1 (en) * 2008-08-14 2010-02-18 Collins David S Structure, Design Structure and Method of Manufacturing a Structure Having VIAS and High Density Capacitors
US8125013B2 (en) 2008-08-14 2012-02-28 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US20100041203A1 (en) * 2008-08-14 2010-02-18 Collins David S Structure, Design Structure and Method of Manufacturing a Structure Having VIAS and High Density Capacitors
US8101494B2 (en) * 2008-08-14 2012-01-24 International Business Machines Corporation Structure, design structure and method of manufacturing a structure having VIAS and high density capacitors
US8674423B2 (en) 2008-08-14 2014-03-18 International Business Machines Corporation Semiconductor structure having vias and high density capacitors
US20100078725A1 (en) * 2008-09-29 2010-04-01 Yung-Chin Hou Standard Cell without OD Space Effect in Y-Direction
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8896092B2 (en) * 2009-07-09 2014-11-25 Murata Manufacturing Co., Ltd. Anti-fuse element
US20120104545A1 (en) * 2009-07-09 2012-05-03 Murata Manufacturing Co., Ltd. Anti-Fuse Element
US8232173B2 (en) * 2010-11-01 2012-07-31 International Business Machines Corporation Structure and design structure for high-Q value inductor and method of manufacturing the same
US8645898B2 (en) 2010-11-01 2014-02-04 International Business Machines Corporation Structure and design structure for high-Q value inductor and method of manufacturing the same
US20120104546A1 (en) * 2010-11-01 2012-05-03 International Business Machines Corporation Structure and design structure for high-q value inductor and method of manufacturing the same
WO2014004770A3 (en) * 2012-06-29 2014-03-27 Qualcomm Incorporated Integrated circuit device featuring an antifuse and method of making same
CN104396014A (en) * 2012-06-29 2015-03-04 高通股份有限公司 Integrated circuit device featuring an antifuse and method of making same
JP2015525969A (en) * 2012-06-29 2015-09-07 クアルコム,インコーポレイテッド Integrated circuit device employing antifuse and method of making the device
US20140001568A1 (en) * 2012-06-29 2014-01-02 Qualcomm Incorporated Integrated circuit device featuring an antifuse and method of making same
US9502424B2 (en) * 2012-06-29 2016-11-22 Qualcomm Incorporated Integrated circuit device featuring an antifuse and method of making same
US9842802B2 (en) 2012-06-29 2017-12-12 Qualcomm Incorporated Integrated circuit device featuring an antifuse and method of making same
US20210335735A1 (en) * 2020-04-27 2021-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits
US11495559B2 (en) * 2020-04-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
WO2023287955A1 (en) * 2021-07-15 2023-01-19 Microchip Technology Incorporated Anti-fuse device with a cup-shaped insulator
US11855230B2 (en) 2021-08-06 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal capacitor within metallization structure

Also Published As

Publication number Publication date
SG103940A1 (en) 2004-05-26
US6730573B1 (en) 2004-05-04

Similar Documents

Publication Publication Date Title
US6730573B1 (en) MIM and metal resistor formation at CU beol using only one extra mask
US7436016B2 (en) MIM capacitor with a cap layer over the conductive plates
US7160772B2 (en) Structure and method for integrating MIM capacitor in BEOL wiring levels
US7187015B2 (en) High-density metal capacitor using dual-damascene copper interconnect
US7768099B2 (en) MIM capacitor integrated into the damascene structure and method of making thereof
US6670237B1 (en) Method for an advanced MIM capacitor
US7517763B2 (en) Semiconductor device having fuse and capacitor at the same level and method of fabricating the same
KR100429726B1 (en) A capacitor for integration with copper damascene processes and a method of manufacture therefore
US9818689B1 (en) Metal-insulator-metal capacitor and methods of fabrication
US20080232025A1 (en) Mim capacitor and method of making same
US6030896A (en) Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
JP2002118173A (en) High capacitance damask capacitor
CN113540096B (en) Static random access memory element and manufacturing method thereof
KR100553679B1 (en) Semiconductor device with analog capacitor and method of fabricating the same
US6500675B2 (en) Manufacturing method of semiconductor device having capacitive element
US5639684A (en) Method of making a low capacitance antifuse having a pillar located between the first and second metal layers
US20030067077A1 (en) Organic copper diffusion barrier layer
US7112504B2 (en) Method of forming metal-insulator-metal (MIM) capacitors at copper process
EP1263027A2 (en) Method of making stacked MIMCap between Cu dual-damascene levels
US6894364B2 (en) Capacitor in an interconnect system and method of manufacturing thereof
US6218291B1 (en) Method for forming contact plugs and simultaneously planarizing a substrate surface in integrated circuits
US7956398B2 (en) Capacitor of semiconductor device and method of fabricating the same
CN114446928A (en) MIM capacitor structure
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
US20230178379A1 (en) Film deposition for patterning process

Legal Events

Date Code Title Description
AS Assignment

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING LTD., SINGAP

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NG, CHIT HWEI;HO, CHAW SING;LEONG, LUP SAN;AND OTHERS;REEL/FRAME:013464/0488

Effective date: 20020805

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12