US20040087045A1 - Etching method and etching signal layer for processing semiconductor wafers - Google Patents

Etching method and etching signal layer for processing semiconductor wafers Download PDF

Info

Publication number
US20040087045A1
US20040087045A1 US10/653,596 US65359603A US2004087045A1 US 20040087045 A1 US20040087045 A1 US 20040087045A1 US 65359603 A US65359603 A US 65359603A US 2004087045 A1 US2004087045 A1 US 2004087045A1
Authority
US
United States
Prior art keywords
etching
layer
signal
signal layer
sections
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/653,596
Inventor
Thomas Hecht
Uwe Schroeder
Harald Seidl
Martin Gutsche
Stefan Jakschik
Stephan Kudelka
Albert Birner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of US20040087045A1 publication Critical patent/US20040087045A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/57Capacitors with a dielectric comprising a perovskite structure material comprising a barrier layer to prevent diffusion of hydrogen or oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0895Tunnel injectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Definitions

  • the invention relates to an etching method for removing material in the course of processing semiconductor wafers.
  • the method includes providing a semiconductor wafer as a substrate, providing an etching signal layer at least on sections of one substrate surface of the substrate, providing a process layer at least on sections of the etching signal layer, and removing at least sections of the process layer in the course of an etching process.
  • An etching signal is produced upon an exposure of sections of the etching signal layer that are located underneath the removed sections of the process layer.
  • the etching process is ended as a function of the etching signal.
  • the invention also relates to an etching signal layer.
  • the processing of semiconductor wafers generally includes two or more process steps with etching processes, by which either layers are removed over the entire surface, or mask patterns that are produced lithographically are transferred to layers or structures located underneath them.
  • Isotropic etching processes for example wet-chemical etching, remove material independently of the direction while anisotropic etching processes such as RIE and CMP in contrast remove the material in a preferred direction.
  • the etching processes generally stop at etching stop layers.
  • the etching stop layers are in each case provided from a material which does not recede as a result of the respectively used etching process, or which recedes at an etching rate which is very slow in comparison with that of the material to be removed.
  • the material of the etching stop layer and/or of the etching stop signal layer is chosen such that the etching process for the material to be removed is as selective as possible with respect to the material of the etching stop layer and of the etching stop signal layer.
  • silicon, silicon oxide (SiO 2 ) and silicon nitride (Si 3 N 4 ) and silicides can in principle be etched selectively. If materials containing silicon are provided as etching stop layers with respect to one another, then the etching stop layers are also attacked by the etching process and must be provided with a sufficient layer thickness in order to reliably prevent the etching process from penetrating into the material which is located under the etching stop layer.
  • silicon nitride is used as the etching stop layer or etching stop signal layer when removing silicon oxide or silicon, then the silicon nitride layer must be provided with a thickness of several tens of nanometers. In order to assist in the removal of the etching stop layer itself, a further barrier layer is then required between the etching stop layer and the substrate, for example silicon oxide, which is located underneath it, in order to protect the substrate which is located underneath during removal of the etching stop layer.
  • U.S. Pat. No. 6,593,633 discloses a method for producing a semiconductor device, in the course of which a silicon carbide layer (SiC) is deposited in a plasma-enhanced gas phase deposition method (plasma-enhanced chemical vapor deposition, PECVD) and an etching stop layer that remains in places is in consequence provided. After being used as an etching stop layer, the silicon carbide layer remains in places as an isolator layer with low permittivity between two semiconductor device structures that need to be decoupled.
  • a plasma-enhanced gas phase deposition method plasma-enhanced chemical vapor deposition, PECVD
  • PECVD plasma-enhanced chemical vapor deposition
  • U.S. Pat. No. 6,518,671 names tantalum oxide (Ta 2 O 5 ) and aluminum oxide (Al 2 O 3 ) as materials for the etching stop layers.
  • the layer thickness of the etching stop layer is 20 to 70 nanometers.
  • the etching stop layer is applied to a planar surface and is structured photolithographically. The etching stop layer limits material removal in an anisotropic chemical-physical dry etching process.
  • an etching method for removing material in a course of processing semiconductor wafers.
  • the method includes providing a semiconductor wafer as a substrate and performing a sequential gas phase deposition process or a molecular beam epitaxy process for producing an etching signal layer at least on sections of one substrate surface of the substrate.
  • the etching signal layer is a dielectric layer composed of a metal oxide or an oxide of rare earths.
  • a process layer is provided at least on sections of the etching signal layer. At least sections of the process layer are removed in a course of an etching process.
  • An etching signal is produced upon exposure of sections of the etching signal layer disposed underneath removed sections of the process layer and results in exposed sections.
  • the etching process is ended in dependence on the etching signal.
  • a semiconductor wafer is first provided as the substrate. At this time, the semiconductor wafer is typically already structured with different materials.
  • An etching signal layer is provided at least in places on one substrate surface of the substrate.
  • a process layer is provided at least in places on the etching signal layer.
  • the process layer is either integral and homogeneous, or is an unstructured or intrinsically structured layer system.
  • the process layer is removed completely or in places. If, by way of example, the process layer is produced by a deposition process, in the course of which trench structures that extend into the substrate are filled, then the process layer is removed completely above the etching signal layer.
  • etching signal is produced during or after the exposure of sections of the etching signal layer that are located under those sections of the process layer which are to be removed.
  • the etching process is ended as a function of the etching signal.
  • the etching signal can be evaluated by an etching or polishing apparatus.
  • the etching signal layer is provided by a sequential gas phase deposition (atomic layer deposition (ALD)) or molecular beam epitaxy as a dielectric layer composed of a metal oxide, including oxides of rare earths.
  • ALD sequential gas phase deposition
  • MBE molecular beam epitaxy
  • Metal oxides and oxides of rare earths can be etched in one step with high etching selectivity with respect to all normal materials that contain silicon in semiconductor process technology, such as crystalline silicon, amorphous silicon, silicon dioxide and silicon nitride.
  • Sequential gas phase deposition can be used to produce the etching signal layer even on structured substrates with a uniform layer thickness and with good edge coverage.
  • Layers deposited by sequential gas phase deposition and composed of metal oxide or oxides of rare earths are on the one hand robust against normal chemical etching methods, but on the other hand can effectively be pulverized and removed in a simple manner by mechanical processes, for example by particle bombardment, particularly when the layers are very thin.
  • the etching method according to the invention allows not only standardization of the etching processes which are required in the course of processing the semiconductor wafers, but also makes it very simple to remove etching signal layers which are used only temporarily.
  • the etching signal layer is preferably provided with a layer thickness for which charge carrier transport based on the quantum-mechanical tunnel effect through the etching signal layer is still possible to a significant extent.
  • Charge carrier transport to a significant extent may be assumed to be current densities of more than 10 ⁇ 5 A/cm 2 for isolating applications and more than 10 ⁇ 2 A/cm 2 for conductive applications, depending on the purpose and the material that is used.
  • remanent sections of the etching signal layer may also be disposed between semiconductor wafer structures that have to be conductively connected to one another. Therefore, for certain applications, for example when forming vertical connecting structures (plugs), there is no need to remove the etching signal layer.
  • the etching signal layer is provided in a particularly advantageous manner with a layer thickness of less than 20 nanometers. This results in the electrical contact resistance between conductive structures being increased to a comparatively minor extent. Furthermore, an etching signal layer is removed quickly and easily in comparison to conventional etching stop layers, for example silicon nitride layers. There is no need to provide a further barrier layer underneath the etching stop layer, to protect the substrate that is located underneath against removal of the etching stop layer.
  • Suitable metal oxides for forming the etching signal layer are, for example, HfO 2 , ZrO 2 , Nb 2 O 5 , Ta 2 O 5 and Al 2 O 3 .
  • Suitable oxides of rare earths are, for example, Pr 2 O 5 and La 2 O 3 .
  • the etching signal layer is preferably formed from a material that has a signal element that is not contained in the process layer, for example a metal.
  • a process surface which is in each case actually subjected to the etching process (wet-chemical, RIE, CMP) and which is formed as a function of the progress of the etching process of the process layer and/or of the etching signal layer is observed and evaluated spectroscopically.
  • the etching signal is produced when the signal element is detected spectroscopically.
  • the etching signal is thus produced in a simple manner, and precisely synchronized to the progress of the etching process.
  • an etching rate of the etching process is recorded continuously, for example during CMP processes, and an etching signal is produced when a significant change, normally a significant reduction, occurs in the etching rate.
  • the etching process irrespective of whether this is a wet-chemical process, RIE or CMP, can be ended at the same time as the etching signal or with a slight run-on, so that the etching process stops on the surface of the etching signal layer.
  • the timing for the removal of the etching signal layer with respect to the previous etching signal can be controlled with very narrow tolerances, so that the substrate which is located underneath it is not damaged in the process.
  • the etching process is ended after a second run-on time has elapsed.
  • the second run-on time is then of such a duration that the substrate which is located underneath the etching signal layer is removed during the second run-on time as far as the depth which is required for the purpose, in sections which are located underneath the exposed sections of the etching signal layer.
  • the second run-on time may then be of such a duration that, during the second run-on time, the second process layer element is actually completely removed in the sections that are located underneath the exposed sections of the etching signal layer.
  • the etching signal that is produced by the etching signal layer is used for fine adjustment of an etching process that acts on the entire process layer formed by the two process layer elements.
  • the etching method according to the invention is particularly advantageous when the process layer is formed from more than one stack layer, in which case at least one of the stack layers may be provided with a secondary structure having two or more stack sections composed of different materials.
  • Layer systems such as these which are structured jointly at least in places, and are removed above an etching signal layer, can occur in semiconductor process technology in conjunction, for example, with connecting lines which cross in different levels, but not only in this case.
  • the advantages of the etching method according to the invention are particularly applicable when the substrate is provided with a relief, which is structured on the basis of a basic structure, with trenches and webs on the substrate surface.
  • the etching method according to the invention ensures that the etching signal layer is provided with a high degree of uniformity, with a thin layer thickness, homogeneously and with good edge coverage, even on the structured substrate surface, so that the advantages mentioned above are also still obtained for structured surfaces, in contrast to known etching methods.
  • the method according to the invention is suitable for photolithographic methods, in the course of which a primary structure of a photolithographic mask is mapped by an etching process into the process layer.
  • a mask which is structured on the basis of the primary structure is for this purpose provided on the process layer, and the primary structure is transferred by the etching process at least into the process layer.
  • the secondary structure can be provided independently of the primary structure, and in a different manner to it.
  • the etching process can also be carried out as a chemical-mechanical polishing method.
  • sections of the process layer above a substrate edge that is defined by an upper edge of a web that is the highest with respect to the substrate surface are removed in the course of the etching process.
  • the etching signal layer may also be vertically structured or may be deposited such that it is already structured in the course of the etching method according to the invention.
  • the etching signal layer is for this purpose provided in a particularly preferred manner by a sequential gas phase deposition, in which two or more molecular individual layers are deposited successively, and in which the deposition process is controlled such that each individual layer is deposited in the direction from the substrate edge into the depth of the relief.
  • the process of depositing each individual layer is ended prematurely before the respective individual layer completely covers the substrate that is located underneath it. Therefore, the etching signal layer is deposited in such a way that it is already vertically structured, so that there is no need for subsequent vertical structuring, for example by modification of the etching resistance by oblique implantation of dopants which influence the etching resistance of the etching signal layer.
  • the etching signal layer is in certain cases compressed and hardened by a tempering or heat step.
  • a tempering or heat step In conjunction with the etching of a process layer composed of material containing silicon, an aluminum oxide layer with a thickness of about 5 nanometers is provided which is hardened in a tempering step at 700 to 1,100 degrees Celsius for at least 5 seconds to a maximum duration of about 10 hours.
  • the aluminum produces a unique spectroscopic etching signal.
  • the aluminum oxide layer which is formed from crystallites of different crystal structure has chemical/physical characteristics which are so largely different to silicon and silicon compounds that silicon, silicon oxide and silicon nitride can be etched back jointly with high etching selectivity with respect to the etching signal layer.
  • Aluminum oxide is stable in response to conventional chemical/physical etching processes, so that layer thicknesses of less than 20 nanometers and less than 10 nanometers are sufficient for it to act as an etching signal layer.
  • Aluminum oxide layers of up to 5 nanometers or 10 nanometers can be effectively pulverized and can be removed comparatively easily, and in a manner which protects the substrate, by mechanical processes, just by particle bombardment. A similar situation applies to hafnium oxide layers used as etching signal layers.
  • the process of carrying out the etching method according to the invention is associated with the etching signal layer according to the invention.
  • the etching signal layer according to the invention is composed of a metal oxide or an oxide of rare earths.
  • the etching signal layer is produced by sequential gas phase deposition, or by a molecular beam epitaxial method.
  • the etching signal layer is provided as a layer system containing two or more monomolecular individual layers.
  • the layer thickness of the etching signal layer is characterized in that a significant charge carrier transport, based on the quantum-mechanical tunnel effect, is still possible through the etching signal layer.
  • the layer thickness is preferably less than 20 nm.
  • FIGS. 1A and 1B are diagrammatic, sectional views of a first exemplary embodiment of an etching method according to the invention, with an anisotropic etching process that stops on an etching signal layer;
  • FIG. 2 is a sectional view of the exemplary embodiment shown in FIGS. 1A and 1B, after a first etching run-on duration;
  • FIG. 3 is a sectional view of the exemplary embodiment shown in FIG. 2, after a second etching run-on duration;
  • FIGS. 4A and 4B are sectional views of an exemplary embodiment of the etching method according to the invention, with fine adjustment of the etching process by use of an etching signal layer;
  • FIGS. 5A and 5B are sectional view of an exemplary embodiment of the etching method according to the invention, for removal of multilayer structures
  • FIGS. 6A and 6B are sectional views of an exemplary embodiment of the etching method according to the invention, with a chemical-mechanical etching process
  • FIGS. 7A and 7B are sectional views showing an exemplary embodiment of the etching method according to the invention as shown in FIGS. 6A and 6B, with a layer system to be removed composed of different materials;
  • FIGS. 8A and 8B are sectional views showing an exemplary embodiment of the etching method according to the invention with an isotropic etching process.
  • FIGS. 9A and 9B are sectional views showing an exemplary embodiment of the method according to the invention with an etching signal layer which is deposited in a vertically structured manner.
  • the substrate 1 is composed of monocrystalline silicon, silicon oxide, silicon nitride, and/or some other material that is normally used in semiconductor process technology.
  • the substrate 1 is homogeneous or has structures that are not shown in FIG. 1A or in the following figures.
  • An etching signal layer 2 with a thickness of less than 20 nm is applied to one substrate surface 10 of the substrate 1 .
  • the etching signal layer 2 was produced by sequential gas phase deposition, and is composed of two or more molecular individual layers.
  • a process layer 3 is provided on the etching signal layer 2 .
  • An etching mask 4 composed of a photoresist material, which has been structured by a photolithographic process is located on the process layer 3 .
  • the structure of the etching mask 4 is transferred by an etching process to the process layer 3 which is located underneath it.
  • the removal of the material of the process layer 3 ends at an upper edge of the etching signal layer 2 . Since it is thin, the etching signal layer 2 can be removed easily and quickly. However, by virtue of its dielectric character, the etching signal layer 2 may remain underneath a dielectric structure, which is adjacent in exposed sections, in the process layer 3 or above a dielectric structure in the substrate 1 . As a result of its conductive characteristics owing to its thin layer thickness, it may then also remain on the substrate surface 10 if it is provided between two conductive structures that need to be connected to one another.
  • FIG. 2 shows the result of the etching method when the etching process, possibly in the event of a change to the process parameters, continues for a predefined time synchronized to the first occurrence of the etching signal, before it is interrupted.
  • the etching signal layer 2 is removed uniformly in a comparatively short time during the run-on time, with narrow tolerances and without any damage to the substrate surface 10 of the substrate 1 . This results in the structured etching signal layer 2 ′ as shown in FIG. 2.
  • the narrow etching tolerances during removal of the etching signal layer 2 also make it possible, as is shown in FIG. 3, to etch back the substrate 1 in a second run-on time in a defined manner in those sections which are located underneath the exposed sections of the etching signal layer 2 and with narrow tolerances, by a predetermined run-on dx.
  • the process layer element 3 in the exemplary embodiment of the etching method according to the invention illustrated in FIGS. 4A, 4B is applied in the form of two process layer elements 31 , 32 , as is shown in FIG. 4A.
  • the second process layer element 32 is located underneath the etching signal layer 2 .
  • the first process layer element 31 is located on the etching layer signal 2 .
  • the second process layer element 32 or parts of it, can be removed synchronized to an etching signal that is generated by the etching signal layer 2 .
  • This method is particularly advantageous in conjunction with chemical-mechanical polishing methods used as the etching process.
  • FIG. 4B shows the process layer elements 31 ′, 32 ′, structured by the etching process as well as the structured etching signal layer 2 ′.
  • FIG. 5A shows the process layer 3 as a layer stack with a first, a second and a third stack layer 331 , 332 , 333 .
  • the second stack layer 332 is structured and has first and second stack layer sections 332 a , 332 b composed of different materials.
  • the material of the first stack layer is, for example, silicon oxide, and that of the third stack layer is silicon nitride.
  • the materials of the first and second stack layer sections 332 a , 332 b are silicon and silicon nitride respectively.
  • the second stack layer 332 is structured on the basis of a secondary structure.
  • An etching mask 4 that is placed on the process layer 3 is structured on the basis of a primary structure, which is independent of the secondary structure.
  • the primary structure of the etching mask 4 is mapped by an etching process, which acts on all the materials of the process layer 3 that contains silicon independently of the secondary structure provided in the process layer 3 , into the process layer 3 with the assistance of the etching signal layer 2 according to the invention.
  • FIG. 5B shows the result of the structuring of the process layer, as well as the structured process layer 3 ′ that is produced in this way.
  • FIGS. 5A, 5B can be combined with the exemplary embodiments in FIGS. 2 to 4 B.
  • FIGS. 6A, 6B relate to a CMP process as the etching process used according to the invention.
  • Trenches 61 are incorporated in the substrate 1 and, together with webs 62 , structure a substrate surface 10 of the substrate 1 in the form of a relief.
  • An etching signal layer 2 is applied to the substrate surface 10 covering the substrate 1 with a maximum thickness of 20 nm, homogeneously, uniformly and with good edge coverage.
  • the trenches 61 are filled during the course of a gas phase deposition process, with the filling material also being deposited above an upper edge of the trenches 61 , and forming the process layer 3 .
  • the process layer 3 is removed above the substrate surface 10 in the course of a chemical-mechanical polishing process. On detection of an etching signal, which is produced by exposed sections of the etching signal layer 2 , the polishing process is ended.
  • FIG. 6B shows the process layer 3 ′ that has been structured in this way and is recessed into the trenches 61 .
  • FIGS. 7A, 7B shows the process layer 3 as a layer stack with the first, second and third stack layers 331 , 332 , 333 .
  • FIG. 8A shows the substrate 1 with the structured surface 10 .
  • the etching signal layer 2 is in the form of a conformal coating on the substrate 1 in the region of the substrate surface 10 .
  • the process layer 3 with a planar surface is adjacent to the etching signal layer 2 .
  • a structured etching mask 4 is applied to the process layer 3 .
  • the process layer 3 is structured in accordance with the structure of the etching mask 4 , using an etching process with an isotropic component. The result is illustrated in FIG. 8B.
  • the conformal configuration of the etching signal layer 2 allows even complex structures to be reliably protected against isotropic etching processes.
  • a trench 61 is incorporated in the substrate 1 which has two sections 11 , 12 in FIG. 9A.
  • a conductive structure is formed within the second layer element 12 of the substrate 1 and can be conductively connected to a filling in the trench 61 with a low contact resistance.
  • the filling in the trench 61 is produced by a layer sequence of different materials, which are each deposited conformally. This results in the process layer 3 being in the form of a layer stack with three stack layers 331 , 332 , 333 .
  • the layer stack is etched back, for example, by a chemical-mechanical polishing method, above a substrate edge 7 that is formed by an upper edge of the trench 61 .
  • the etching signal layer 2 is for this purpose deposited in a vertically structured manner. The etching signal layer 2 covers horizontal sections of the substrate surface 10 in the region of the trench edge 7 , and upper vertical sections of the trench 61 .
  • the process layer 3 ′ is etched back into the trenches 61 by a chemical-mechanical polishing process. No component of a dielectric etching signal layer which would increase the contact resistance between conductive end structures in the second layer element 12 and in the filling 3 ′ is located between the second layer element 12 , in which conductive structures are formed, and the filling of the trench 61 or the structured process layer 3 ′.

Abstract

An etching signal layer which is formed by a sequential gas phase deposition with a layer thickness of less than 20 nanometers, and which is composed of a metal oxide or of an oxide of rare earths is provided between a substrate, which is located underneath it, and a process layer. The etching signal layer produces an etching signal, which is independent of the stack layer systems that are to be removed, and contains two or more materials that contain silicon, and can be removed quickly and with narrow process tolerances. One substrate surface of the substrate is protected irrespective of the topography. Etching methods based on the etching signal layer can be carried out precisely, and can be used in a variable manner.

Description

    BACKGROUND OF THE INVENTION FIELD OF THE INVENTION
  • The invention relates to an etching method for removing material in the course of processing semiconductor wafers. The method includes providing a semiconductor wafer as a substrate, providing an etching signal layer at least on sections of one substrate surface of the substrate, providing a process layer at least on sections of the etching signal layer, and removing at least sections of the process layer in the course of an etching process. An etching signal is produced upon an exposure of sections of the etching signal layer that are located underneath the removed sections of the process layer. The etching process is ended as a function of the etching signal. [0001]
  • The invention also relates to an etching signal layer. [0002]
  • The processing of semiconductor wafers generally includes two or more process steps with etching processes, by which either layers are removed over the entire surface, or mask patterns that are produced lithographically are transferred to layers or structures located underneath them. [0003]
  • In wet-chemical etching processes, solid materials are dissolved in a chemical solution. In chemical-mechanical polishing processes (CMP), wet-chemical etching is assisted by the mechanical influence of a polishing agent on the layer or structure to be removed. In dry chemical etching processes, the material to be removed reacts with components of an etching gas, forming volatile reaction products. In the course of physical dry etching processes, the material to be removed is subjected to a particle beam, under the influence of which the material to be removed is vaporized, and individual atoms are detached from the layer or structure to be removed. For reactive ion etching (reactive ion beam etching, RIE), ions are accelerated in the direction of the material to be removed, and initiate a chemical etching process when they strike it. [0004]
  • Isotropic etching processes, for example wet-chemical etching, remove material independently of the direction while anisotropic etching processes such as RIE and CMP in contrast remove the material in a preferred direction. [0005]
  • The etching processes generally stop at etching stop layers. The etching stop layers are in each case provided from a material which does not recede as a result of the respectively used etching process, or which recedes at an etching rate which is very slow in comparison with that of the material to be removed. [0006]
  • It is also normal to use measurement techniques to detect an etching end point by use of an etching stop signal layer. In the case of dry etching processes by way of example, the process surface which has been etched back by the etching process is for this purpose evaluated spectroscopically, or, in the case of wet-chemical etching processes, the etching rate is recorded continuously, and any significant change is evaluated. [0007]
  • The material of the etching stop layer and/or of the etching stop signal layer is chosen such that the etching process for the material to be removed is as selective as possible with respect to the material of the etching stop layer and of the etching stop signal layer. Admittedly, silicon, silicon oxide (SiO[0008] 2) and silicon nitride (Si3N4) and silicides can in principle be etched selectively. If materials containing silicon are provided as etching stop layers with respect to one another, then the etching stop layers are also attacked by the etching process and must be provided with a sufficient layer thickness in order to reliably prevent the etching process from penetrating into the material which is located under the etching stop layer. If silicon nitride is used as the etching stop layer or etching stop signal layer when removing silicon oxide or silicon, then the silicon nitride layer must be provided with a thickness of several tens of nanometers. In order to assist in the removal of the etching stop layer itself, a further barrier layer is then required between the etching stop layer and the substrate, for example silicon oxide, which is located underneath it, in order to protect the substrate which is located underneath during removal of the etching stop layer.
  • U.S. Pat. No. 6,593,633 discloses a method for producing a semiconductor device, in the course of which a silicon carbide layer (SiC) is deposited in a plasma-enhanced gas phase deposition method (plasma-enhanced chemical vapor deposition, PECVD) and an etching stop layer that remains in places is in consequence provided. After being used as an etching stop layer, the silicon carbide layer remains in places as an isolator layer with low permittivity between two semiconductor device structures that need to be decoupled. [0009]
  • U.S. Pat. No. 6,518,671 (Yang et al.) names tantalum oxide (Ta[0010] 2O5) and aluminum oxide (Al2O3) as materials for the etching stop layers. The layer thickness of the etching stop layer is 20 to 70 nanometers. The etching stop layer is applied to a planar surface and is structured photolithographically. The etching stop layer limits material removal in an anisotropic chemical-physical dry etching process.
  • SUMMARY OF THE INVENTION
  • It is accordingly an object of the invention to provide an etching method and an etching signal layer for processing semiconductor wafers that overcomes the above-mentioned disadvantages of the prior art devices of this general type, in which two or more materials which contain silicon can be removed in a single etching process, irrespective of the etching process that is used and irrespective of the surface structure of a layer or structure which is located underneath and needs to be protected against removal. [0011]
  • With the foregoing and other objects in view there is provided, in accordance with the invention, an etching method for removing material in a course of processing semiconductor wafers. The method includes providing a semiconductor wafer as a substrate and performing a sequential gas phase deposition process or a molecular beam epitaxy process for producing an etching signal layer at least on sections of one substrate surface of the substrate. The etching signal layer is a dielectric layer composed of a metal oxide or an oxide of rare earths. A process layer is provided at least on sections of the etching signal layer. At least sections of the process layer are removed in a course of an etching process. An etching signal is produced upon exposure of sections of the etching signal layer disposed underneath removed sections of the process layer and results in exposed sections. The etching process is ended in dependence on the etching signal. [0012]
  • In the course of the etching method according to the invention for removal of material in the course of processing semiconductor wafers, a semiconductor wafer is first provided as the substrate. At this time, the semiconductor wafer is typically already structured with different materials. An etching signal layer is provided at least in places on one substrate surface of the substrate. A process layer is provided at least in places on the etching signal layer. The process layer is either integral and homogeneous, or is an unstructured or intrinsically structured layer system. In the course of an etching process, the process layer is removed completely or in places. If, by way of example, the process layer is produced by a deposition process, in the course of which trench structures that extend into the substrate are filled, then the process layer is removed completely above the etching signal layer. If a primary structure of an etching mask is mapped into the process layer, by a photolithographic process, then the process layer is removed only in places above the etching signal layer. An etching signal is produced during or after the exposure of sections of the etching signal layer that are located under those sections of the process layer which are to be removed. The etching process is ended as a function of the etching signal. The etching signal can be evaluated by an etching or polishing apparatus. [0013]
  • According to the invention, the etching signal layer is provided by a sequential gas phase deposition (atomic layer deposition (ALD)) or molecular beam epitaxy as a dielectric layer composed of a metal oxide, including oxides of rare earths. [0014]
  • Metal oxides and oxides of rare earths can be etched in one step with high etching selectivity with respect to all normal materials that contain silicon in semiconductor process technology, such as crystalline silicon, amorphous silicon, silicon dioxide and silicon nitride. Sequential gas phase deposition can be used to produce the etching signal layer even on structured substrates with a uniform layer thickness and with good edge coverage. Layers deposited by sequential gas phase deposition and composed of metal oxide or oxides of rare earths are on the one hand robust against normal chemical etching methods, but on the other hand can effectively be pulverized and removed in a simple manner by mechanical processes, for example by particle bombardment, particularly when the layers are very thin. [0015]
  • The etching method according to the invention allows not only standardization of the etching processes which are required in the course of processing the semiconductor wafers, but also makes it very simple to remove etching signal layers which are used only temporarily. [0016]
  • The etching signal layer is preferably provided with a layer thickness for which charge carrier transport based on the quantum-mechanical tunnel effect through the etching signal layer is still possible to a significant extent. Charge carrier transport to a significant extent may be assumed to be current densities of more than 10[0017] −5 A/cm2 for isolating applications and more than 10−2 A/cm2 for conductive applications, depending on the purpose and the material that is used.
  • In conjunction with the application of the etching signal layer by sequential gas phase deposition, as a consequence of which the etching signal layer has a virtually uniform layer thickness over its entire extent, remanent sections of the etching signal layer may also be disposed between semiconductor wafer structures that have to be conductively connected to one another. Therefore, for certain applications, for example when forming vertical connecting structures (plugs), there is no need to remove the etching signal layer. [0018]
  • The etching signal layer is provided in a particularly advantageous manner with a layer thickness of less than 20 nanometers. This results in the electrical contact resistance between conductive structures being increased to a comparatively minor extent. Furthermore, an etching signal layer is removed quickly and easily in comparison to conventional etching stop layers, for example silicon nitride layers. There is no need to provide a further barrier layer underneath the etching stop layer, to protect the substrate that is located underneath against removal of the etching stop layer. Suitable metal oxides for forming the etching signal layer are, for example, HfO[0019] 2, ZrO2, Nb2O5, Ta2O5 and Al2O3. Suitable oxides of rare earths are, for example, Pr2O5 and La2O3.
  • The etching signal layer is preferably formed from a material that has a signal element that is not contained in the process layer, for example a metal. A process surface which is in each case actually subjected to the etching process (wet-chemical, RIE, CMP) and which is formed as a function of the progress of the etching process of the process layer and/or of the etching signal layer is observed and evaluated spectroscopically. The etching signal is produced when the signal element is detected spectroscopically. The etching signal is thus produced in a simple manner, and precisely synchronized to the progress of the etching process. [0020]
  • According to another preferred embodiment of the etching method according to the invention, an etching rate of the etching process is recorded continuously, for example during CMP processes, and an etching signal is produced when a significant change, normally a significant reduction, occurs in the etching rate. [0021]
  • The etching process, irrespective of whether this is a wet-chemical process, RIE or CMP, can be ended at the same time as the etching signal or with a slight run-on, so that the etching process stops on the surface of the etching signal layer. However, it is particularly advantageous for the etching process to be ended after a first run-on time, which is of such duration that the etching signal layer in the exposed sections is actually completely removed during the first run-on time. This is in fact possible because the etching signal layer has a virtually uniform and very thin layer thickness since it is produced by sequential gas phase deposition. The timing for the removal of the etching signal layer with respect to the previous etching signal can be controlled with very narrow tolerances, so that the substrate which is located underneath it is not damaged in the process. [0022]
  • As an alternative to this, the etching process is ended after a second run-on time has elapsed. The second run-on time is then of such a duration that the substrate which is located underneath the etching signal layer is removed during the second run-on time as far as the depth which is required for the purpose, in sections which are located underneath the exposed sections of the etching signal layer. This type of processing, for example in conjunction with RIE and CMP processes, results in relatively narrow manufacturing tolerances, since the etching signal layer is itself removed within narrow tolerances, owing to its thin layer thickness and high conformity. [0023]
  • It is also advantageous to apply a first process layer element at least to sections of the etching signal layer before the provision of the etching signal layer on the substrate, and to apply a second process layer element at least to sections of the etching signal layer after the provision of the etching signal layer. The second run-on time may then be of such a duration that, during the second run-on time, the second process layer element is actually completely removed in the sections that are located underneath the exposed sections of the etching signal layer. [0024]
  • In this case, the etching signal that is produced by the etching signal layer is used for fine adjustment of an etching process that acts on the entire process layer formed by the two process layer elements. [0025]
  • In certain cases, adaptation of parameters of the etching process as a function of detection of the etching signal is advantageous for the various variants mentioned. [0026]
  • The etching method according to the invention is particularly advantageous when the process layer is formed from more than one stack layer, in which case at least one of the stack layers may be provided with a secondary structure having two or more stack sections composed of different materials. Layer systems such as these, which are structured jointly at least in places, and are removed above an etching signal layer, can occur in semiconductor process technology in conjunction, for example, with connecting lines which cross in different levels, but not only in this case. [0027]
  • The advantages of the etching method according to the invention are particularly applicable when the substrate is provided with a relief, which is structured on the basis of a basic structure, with trenches and webs on the substrate surface. The etching method according to the invention ensures that the etching signal layer is provided with a high degree of uniformity, with a thin layer thickness, homogeneously and with good edge coverage, even on the structured substrate surface, so that the advantages mentioned above are also still obtained for structured surfaces, in contrast to known etching methods. [0028]
  • The method according to the invention is suitable for photolithographic methods, in the course of which a primary structure of a photolithographic mask is mapped by an etching process into the process layer. A mask which is structured on the basis of the primary structure is for this purpose provided on the process layer, and the primary structure is transferred by the etching process at least into the process layer. In this case, the secondary structure can be provided independently of the primary structure, and in a different manner to it. [0029]
  • Alternatively, the etching process can also be carried out as a chemical-mechanical polishing method. In this case, sections of the process layer above a substrate edge that is defined by an upper edge of a web that is the highest with respect to the substrate surface are removed in the course of the etching process. [0030]
  • In certain cases, the etching signal layer may also be vertically structured or may be deposited such that it is already structured in the course of the etching method according to the invention. [0031]
  • The etching signal layer is for this purpose provided in a particularly preferred manner by a sequential gas phase deposition, in which two or more molecular individual layers are deposited successively, and in which the deposition process is controlled such that each individual layer is deposited in the direction from the substrate edge into the depth of the relief. The process of depositing each individual layer is ended prematurely before the respective individual layer completely covers the substrate that is located underneath it. Therefore, the etching signal layer is deposited in such a way that it is already vertically structured, so that there is no need for subsequent vertical structuring, for example by modification of the etching resistance by oblique implantation of dopants which influence the etching resistance of the etching signal layer. [0032]
  • The etching signal layer is in certain cases compressed and hardened by a tempering or heat step. In conjunction with the etching of a process layer composed of material containing silicon, an aluminum oxide layer with a thickness of about 5 nanometers is provided which is hardened in a tempering step at 700 to 1,100 degrees Celsius for at least 5 seconds to a maximum duration of about 10 hours. [0033]
  • The aluminum produces a unique spectroscopic etching signal. The aluminum oxide layer which is formed from crystallites of different crystal structure has chemical/physical characteristics which are so largely different to silicon and silicon compounds that silicon, silicon oxide and silicon nitride can be etched back jointly with high etching selectivity with respect to the etching signal layer. Aluminum oxide is stable in response to conventional chemical/physical etching processes, so that layer thicknesses of less than 20 nanometers and less than 10 nanometers are sufficient for it to act as an etching signal layer. Aluminum oxide layers of up to 5 nanometers or 10 nanometers can be effectively pulverized and can be removed comparatively easily, and in a manner which protects the substrate, by mechanical processes, just by particle bombardment. A similar situation applies to hafnium oxide layers used as etching signal layers. [0034]
  • The process of carrying out the etching method according to the invention is associated with the etching signal layer according to the invention. The etching signal layer according to the invention is composed of a metal oxide or an oxide of rare earths. The etching signal layer is produced by sequential gas phase deposition, or by a molecular beam epitaxial method. The etching signal layer is provided as a layer system containing two or more monomolecular individual layers. The layer thickness of the etching signal layer is characterized in that a significant charge carrier transport, based on the quantum-mechanical tunnel effect, is still possible through the etching signal layer. The layer thickness is preferably less than 20 nm. [0035]
  • Other features which are considered as characteristic for the invention are set forth in the appended claims. [0036]
  • Although the invention is illustrated and described herein as embodied in an etching method and an etching signal layer for processing semiconductor wafers, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. [0037]
  • The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings.[0038]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A and 1B are diagrammatic, sectional views of a first exemplary embodiment of an etching method according to the invention, with an anisotropic etching process that stops on an etching signal layer; [0039]
  • FIG. 2 is a sectional view of the exemplary embodiment shown in FIGS. 1A and 1B, after a first etching run-on duration; [0040]
  • FIG. 3 is a sectional view of the exemplary embodiment shown in FIG. 2, after a second etching run-on duration; [0041]
  • FIGS. 4A and 4B are sectional views of an exemplary embodiment of the etching method according to the invention, with fine adjustment of the etching process by use of an etching signal layer; [0042]
  • FIGS. 5A and 5B are sectional view of an exemplary embodiment of the etching method according to the invention, for removal of multilayer structures; [0043]
  • FIGS. 6A and 6B are sectional views of an exemplary embodiment of the etching method according to the invention, with a chemical-mechanical etching process; [0044]
  • FIGS. 7A and 7B are sectional views showing an exemplary embodiment of the etching method according to the invention as shown in FIGS. 6A and 6B, with a layer system to be removed composed of different materials; [0045]
  • FIGS. 8A and 8B are sectional views showing an exemplary embodiment of the etching method according to the invention with an isotropic etching process; and [0046]
  • FIGS. 9A and 9B are sectional views showing an exemplary embodiment of the method according to the invention with an etching signal layer which is deposited in a vertically structured manner.[0047]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Referring now to the figures of the drawing in detail and first, particularly, to FIG. 1A thereof, there is shown a substrate [0048] 1. The substrate 1 is composed of monocrystalline silicon, silicon oxide, silicon nitride, and/or some other material that is normally used in semiconductor process technology. The substrate 1 is homogeneous or has structures that are not shown in FIG. 1A or in the following figures.
  • An [0049] etching signal layer 2 with a thickness of less than 20 nm is applied to one substrate surface 10 of the substrate 1. The etching signal layer 2 was produced by sequential gas phase deposition, and is composed of two or more molecular individual layers. A process layer 3 is provided on the etching signal layer 2. An etching mask 4, composed of a photoresist material, which has been structured by a photolithographic process is located on the process layer 3.
  • The structure of the [0050] etching mask 4 is transferred by an etching process to the process layer 3 which is located underneath it.
  • In the example in FIG. 1B, the removal of the material of the [0051] process layer 3 ends at an upper edge of the etching signal layer 2. Since it is thin, the etching signal layer 2 can be removed easily and quickly. However, by virtue of its dielectric character, the etching signal layer 2 may remain underneath a dielectric structure, which is adjacent in exposed sections, in the process layer 3 or above a dielectric structure in the substrate 1. As a result of its conductive characteristics owing to its thin layer thickness, it may then also remain on the substrate surface 10 if it is provided between two conductive structures that need to be connected to one another.
  • FIG. 2 shows the result of the etching method when the etching process, possibly in the event of a change to the process parameters, continues for a predefined time synchronized to the first occurrence of the etching signal, before it is interrupted. As a result of the thin, uniform layer thickness, resulting from the method, of the [0052] etching signal layer 2, the etching signal layer 2 is removed uniformly in a comparatively short time during the run-on time, with narrow tolerances and without any damage to the substrate surface 10 of the substrate 1. This results in the structured etching signal layer 2′ as shown in FIG. 2.
  • The narrow etching tolerances during removal of the [0053] etching signal layer 2 also make it possible, as is shown in FIG. 3, to etch back the substrate 1 in a second run-on time in a defined manner in those sections which are located underneath the exposed sections of the etching signal layer 2 and with narrow tolerances, by a predetermined run-on dx.
  • In contrast to the previous examples, the [0054] process layer element 3 in the exemplary embodiment of the etching method according to the invention illustrated in FIGS. 4A, 4B is applied in the form of two process layer elements 31, 32, as is shown in FIG. 4A. In this case, the second process layer element 32 is located underneath the etching signal layer 2. The first process layer element 31 is located on the etching layer signal 2. Particularly when, for example, the layer thickness of the first process layer element 31 is not known precisely, or the first process layer element 31 is intended to be removed comparatively quickly, the second process layer element 32, or parts of it, can be removed synchronized to an etching signal that is generated by the etching signal layer 2. This method is particularly advantageous in conjunction with chemical-mechanical polishing methods used as the etching process.
  • FIG. 4B shows the [0055] process layer elements 31′, 32′, structured by the etching process as well as the structured etching signal layer 2′.
  • In comparison to FIGS. 1A, 1B, FIG. 5A shows the [0056] process layer 3 as a layer stack with a first, a second and a third stack layer 331, 332, 333. In the exemplary embodiment, the second stack layer 332 is structured and has first and second stack layer sections 332 a, 332 b composed of different materials. The material of the first stack layer is, for example, silicon oxide, and that of the third stack layer is silicon nitride. The materials of the first and second stack layer sections 332 a, 332 b are silicon and silicon nitride respectively. The second stack layer 332 is structured on the basis of a secondary structure. An etching mask 4 that is placed on the process layer 3 is structured on the basis of a primary structure, which is independent of the secondary structure. The primary structure of the etching mask 4 is mapped by an etching process, which acts on all the materials of the process layer 3 that contains silicon independently of the secondary structure provided in the process layer 3, into the process layer 3 with the assistance of the etching signal layer 2 according to the invention.
  • FIG. 5B shows the result of the structuring of the process layer, as well as the [0057] structured process layer 3′ that is produced in this way.
  • The exemplary embodiment illustrated in FIGS. 5A, 5B can be combined with the exemplary embodiments in FIGS. [0058] 2 to 4B.
  • FIGS. 6A, 6B relate to a CMP process as the etching process used according to the invention. [0059] Trenches 61 are incorporated in the substrate 1 and, together with webs 62, structure a substrate surface 10 of the substrate 1 in the form of a relief. An etching signal layer 2 is applied to the substrate surface 10 covering the substrate 1 with a maximum thickness of 20 nm, homogeneously, uniformly and with good edge coverage. The trenches 61 are filled during the course of a gas phase deposition process, with the filling material also being deposited above an upper edge of the trenches 61, and forming the process layer 3.
  • The [0060] process layer 3 is removed above the substrate surface 10 in the course of a chemical-mechanical polishing process. On detection of an etching signal, which is produced by exposed sections of the etching signal layer 2, the polishing process is ended. FIG. 6B shows the process layer 3′ that has been structured in this way and is recessed into the trenches 61.
  • In comparison to the exemplary embodiment illustrated in FIGS. 6A, 6B, the exemplary embodiment in FIGS. 7A, 7B shows the [0061] process layer 3 as a layer stack with the first, second and third stack layers 331, 332, 333.
  • FIGS. 8A, 8B shows the etching method according to the invention in conjunction with an isotropic etching process. FIG. 8A shows the substrate [0062] 1 with the structured surface 10. The etching signal layer 2 is in the form of a conformal coating on the substrate 1 in the region of the substrate surface 10. The process layer 3 with a planar surface is adjacent to the etching signal layer 2. A structured etching mask 4 is applied to the process layer 3. The process layer 3 is structured in accordance with the structure of the etching mask 4, using an etching process with an isotropic component. The result is illustrated in FIG. 8B. The conformal configuration of the etching signal layer 2 allows even complex structures to be reliably protected against isotropic etching processes.
  • A [0063] trench 61 is incorporated in the substrate 1 which has two sections 11, 12 in FIG. 9A. A conductive structure is formed within the second layer element 12 of the substrate 1 and can be conductively connected to a filling in the trench 61 with a low contact resistance. The filling in the trench 61 is produced by a layer sequence of different materials, which are each deposited conformally. This results in the process layer 3 being in the form of a layer stack with three stack layers 331, 332, 333. The layer stack is etched back, for example, by a chemical-mechanical polishing method, above a substrate edge 7 that is formed by an upper edge of the trench 61. The etching signal layer 2 is for this purpose deposited in a vertically structured manner. The etching signal layer 2 covers horizontal sections of the substrate surface 10 in the region of the trench edge 7, and upper vertical sections of the trench 61.
  • The [0064] process layer 3′ is etched back into the trenches 61 by a chemical-mechanical polishing process. No component of a dielectric etching signal layer which would increase the contact resistance between conductive end structures in the second layer element 12 and in the filling 3′ is located between the second layer element 12, in which conductive structures are formed, and the filling of the trench 61 or the structured process layer 3′.

Claims (24)

We claim:
1. An etching method for removing material in a course of processing semiconductor wafers, which comprises the steps of:
providing a semiconductor wafer as a substrate;
performing one of a sequential gas phase deposition process and a molecular beam epitaxy process for producing an etching signal layer at least on sections of one substrate surface of the substrate, the etching signal layer being a dielectric layer composed of a material selected from the group consisting of metal oxides and oxides of rare earths;
providing a process layer at least on sections of the etching signal layer;
removing at least sections of the process layer in a course of an etching process;
producing an etching signal upon exposure of sections of the etching signal layer disposed underneath removed sections of the process layer and resulting in exposed sections; and
ending the etching process in dependence on the etching signal.
2. The etching method according to claim 1, which further comprises forming the etching signal layer from a material selected from the group consisting of HfO2, ZrO2, Ta2O5, Al2O3, Pr2O5, La2O3 and Nb2O5.
3. The etching method according to claim 1, which further comprises forming the etching signal layer with a layer thickness which allows significant charge carrier transport, based on a quantum-mechanical tunnel effect, through the etching signal layer.
4. The etching method according to claim 1, which further comprises depositing the etching signal layer with a layer thickness of less than 20 nanometers.
5. The etching method according to claim 1, which further comprises:
forming the etching signal layer from a material having a signal element not contained in the process layer;
observing spectroscopically a process surface formed as a function of progress of the etching process on the process layer and on the etching signal layer; and
producing the etching signal on spectroscopic detection of the signal element.
6. The etching method according to claim 1, which further comprises:
recording an etching rate of the etching process continuously during the etching process; and
producing the etching signal if there is any significant change in the etching rate.
7. The etching method according to claim 1, which further comprises stopping the etching process on detection of the etching signal.
8. The etching method according to claim 1, which further comprises ending the etching process after a given run-on time, with the given run-on time being of such a duration that the etching signal layer is completely removed in the exposed sections during the given run-on time.
9. The etching method according to claim 1, which further comprises ending the etching process once a given run-on time has elapsed.
10. The etching method according to claim 9, which further comprises setting a duration of given run-on time such that, during the given run-on time, the substrate is removed in sections which are disposed underneath the exposed sections as far as a depth which is actually required for use.
11. The etching method according to claim 9, which further comprises:
applying a first process layer element at least to sections of the etching signal layer before the etching signal layer is produced on the substrate surface;
applying a second process layer element at least to sections of the etching signal layer after provision of the etching signal layer; and
setting the duration of the given run-on time such that, during the given run-on time, the second process layer element is completely removed in the sections which are disposed underneath the exposed sections.
12. The etching method according to claim 1, which further comprises varying parameters for the etching process in dependence on a registration of the etching signal.
13. The etching method according to claim 1, which further comprises forming the process layer from at least two stack layers.
14. The etching method according to claim 13, which further comprises forming at least one of the stack layers with a secondary structure having at least two stack layer sections composed of different materials.
15. The etching method according to claim 13, which further comprises:
forming at least a first one of the stack layers in places or completely from silicon;
forming a second one of the stack layers in places or completely from silicon oxide; and
forming a third one of said stack layers in places or completely from silicon nitride.
16. The etching method according to claim 1, which further comprises structuring the substrate with trenches and webs defining a relief, the structuring being performed on a basis of a basic structure.
17. The etching method according to claim 14, which further comprises:
providing a mask, structured on a basis of a primary structure, on the process layer; and
transferring the primary structure at least into the process layer by the etching process.
18. The etching method according to claim 17, which further comprises forming the secondary structure to be different than the primary structure.
19. The etching method according to claim 16, which further comprises:
defining a substrate edge by an upper edge of one of the webs which is highest with respect to the substrate surface;
carrying out the etching process as a chemical-mechanical polishing method; and
removing sections of the process layer disposed above the substrate edge in a course of the etching process.
20. The etching method according to claim 19, which further comprises providing the etching signal layer in a structured manner.
21. The etching method according to claim 20, which further comprises forming the etching signal layer to have at least two molecular individual layers using the sequential gas phase deposition process, with a deposition of each individual layer being controlled in a direction from the substrate edge into a depth of the relief, and the etching signal layer being produced in a vertically structured manner by ending the deposition of each individual layer before complete coverage.
22. The etching method according to claim 1, which further comprises compressing the etching signal layer by performing a heating process.
23. An etching signal layer, comprising:
a layer system containing at least two monomolecular individual layers with a layer thickness for which significant charge carrier transport, based on a quantum-mechanical tunnel effect, through the etching signal layer being possible, said layer system formed of an oxide selected from the group consisting of metal oxides and oxides of rare earths, said layer system formed by one of a sequential gas phase deposition and molecular beam epitaxy.
24. The etching signal layer according to claim 23, wherein said layer system has a layer thickness of less than 20 nanometers.
US10/653,596 2002-08-30 2003-09-02 Etching method and etching signal layer for processing semiconductor wafers Abandoned US20040087045A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10240106.3 2002-08-30
DE10240106A DE10240106A1 (en) 2002-08-30 2002-08-30 Forming an electrical connection between structures in a semiconductor substrate

Publications (1)

Publication Number Publication Date
US20040087045A1 true US20040087045A1 (en) 2004-05-06

Family

ID=31197537

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/653,596 Abandoned US20040087045A1 (en) 2002-08-30 2003-09-02 Etching method and etching signal layer for processing semiconductor wafers
US10/653,599 Expired - Fee Related US7157371B2 (en) 2002-08-30 2003-09-02 Barrier layer and a method for suppressing diffusion processes during the production of semiconductor devices

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/653,599 Expired - Fee Related US7157371B2 (en) 2002-08-30 2003-09-02 Barrier layer and a method for suppressing diffusion processes during the production of semiconductor devices

Country Status (3)

Country Link
US (2) US20040087045A1 (en)
EP (2) EP1394843A3 (en)
DE (1) DE10240106A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420155A (en) * 2011-11-29 2012-04-18 上海宏力半导体制造有限公司 Method for monitoring removal rate of equipment
US20160087075A1 (en) * 2014-09-24 2016-03-24 Semiconductor Manufacturing International (Shanghai) Corporation Transistor device and fabrication method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100655774B1 (en) 2004-10-14 2006-12-11 삼성전자주식회사 Etching stop structure, method of manufacturing the etching stop structure, semiconductor device having the etching stop structure and method of manufacturing the semiconductor device
US20060267113A1 (en) * 2005-05-27 2006-11-30 Tobin Philip J Semiconductor device structure and method therefor
US7510956B2 (en) * 2006-01-30 2009-03-31 Fressscale Semiconductor, Inc. MOS device with multi-layer gate stack
JP2008078390A (en) * 2006-09-21 2008-04-03 Fujitsu Ltd Semiconductor device and its manufacturing method
JP5211730B2 (en) * 2008-02-12 2013-06-12 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP6056150B2 (en) * 2011-04-08 2017-01-11 日亜化学工業株式会社 Semiconductor light emitting device
US11545391B2 (en) * 2020-02-11 2023-01-03 Micron Technology, Inc. Conductive interconnects and methods of forming conductive interconnects

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981350A (en) * 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
US6188135B1 (en) * 1996-04-08 2001-02-13 Chartered Semiconductor Manufacturing Company Copper interconnect with top barrier layer
US20010024860A1 (en) * 1999-12-29 2001-09-27 Dae-Gyu Park Method for manufacturing a gate structure incorporating therein aluminum oxide as a gate dielectric
US20010044180A1 (en) * 2000-04-12 2001-11-22 Martin Schrems Trench capacitor and method for fabricating a trench capacitor
US20020014647A1 (en) * 2000-07-07 2002-02-07 Infineon Technologies Ag Trench capacitor with isolation collar and corresponding method of production
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6448654B1 (en) * 2001-01-29 2002-09-10 Advanced Micro Devices, Inc. Ultra thin etch stop layer for damascene process
US6518671B1 (en) * 2000-10-30 2003-02-11 Samsung Electronics Co. Ltd. Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof
US6583464B1 (en) * 1997-11-28 2003-06-24 Infineon Technologies Ag Memory cell using amorphous material to stabilize the boundary face between polycrystalline semiconductor material of a capacitor and monocrystalline semiconductor material of a transistor
US6593633B2 (en) * 1998-11-13 2003-07-15 Intel Corporation Method and device for improved salicide resistance on polysilicon gates

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5605724A (en) * 1995-03-20 1997-02-25 Texas Instruments Incorporated Method of forming a metal conductor and diffusion layer
US5736192A (en) * 1995-07-05 1998-04-07 Fujitsu Limited Embedded electroconductive layer and method for formation thereof
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
TW406312B (en) * 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
US6277716B1 (en) * 1999-10-25 2001-08-21 Chartered Semiconductor Manufacturing Ltd. Method of reduce gate oxide damage by using a multi-step etch process with a predictable premature endpoint system
KR100323874B1 (en) * 1999-12-22 2002-02-16 박종섭 Method of forming an aluminum oxide film in a semiconductor device
TW497120B (en) * 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
DE10101526A1 (en) * 2001-01-15 2002-08-01 Infineon Technologies Ag Trench capacitor used in semiconductor memory cell includes dielectric layer based on silicon nitride with subsequent thermal and chemical treatments

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6188135B1 (en) * 1996-04-08 2001-02-13 Chartered Semiconductor Manufacturing Company Copper interconnect with top barrier layer
US6583464B1 (en) * 1997-11-28 2003-06-24 Infineon Technologies Ag Memory cell using amorphous material to stabilize the boundary face between polycrystalline semiconductor material of a capacitor and monocrystalline semiconductor material of a transistor
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US5981350A (en) * 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
US6593633B2 (en) * 1998-11-13 2003-07-15 Intel Corporation Method and device for improved salicide resistance on polysilicon gates
US20010024860A1 (en) * 1999-12-29 2001-09-27 Dae-Gyu Park Method for manufacturing a gate structure incorporating therein aluminum oxide as a gate dielectric
US20010044180A1 (en) * 2000-04-12 2001-11-22 Martin Schrems Trench capacitor and method for fabricating a trench capacitor
US20020014647A1 (en) * 2000-07-07 2002-02-07 Infineon Technologies Ag Trench capacitor with isolation collar and corresponding method of production
US6518671B1 (en) * 2000-10-30 2003-02-11 Samsung Electronics Co. Ltd. Bit line landing pad and borderless contact on bit line stud with localized etch stop layer and manufacturing method thereof
US6448654B1 (en) * 2001-01-29 2002-09-10 Advanced Micro Devices, Inc. Ultra thin etch stop layer for damascene process
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420155A (en) * 2011-11-29 2012-04-18 上海宏力半导体制造有限公司 Method for monitoring removal rate of equipment
US20160087075A1 (en) * 2014-09-24 2016-03-24 Semiconductor Manufacturing International (Shanghai) Corporation Transistor device and fabrication method
US9741819B2 (en) * 2014-09-24 2017-08-22 Semiconductor Manufacturing International (Shanghai) Corporation Transistor device and fabrication method

Also Published As

Publication number Publication date
US20040082166A1 (en) 2004-04-29
EP1394848A3 (en) 2009-02-25
US7157371B2 (en) 2007-01-02
EP1394843A2 (en) 2004-03-03
EP1394843A3 (en) 2009-02-25
DE10240106A1 (en) 2004-03-11
EP1394848A2 (en) 2004-03-03

Similar Documents

Publication Publication Date Title
KR100279016B1 (en) Method of Planarizing Non-Conformal Device Layers in Semiconductor Manufacturing
US6960510B2 (en) Method of making sub-lithographic features
US5453639A (en) Planarized semiconductor structure using subminimum features
US6353249B1 (en) MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US5413953A (en) Method for planarizing an insulator on a semiconductor substrate using ion implantation
US5298463A (en) Method of processing a semiconductor wafer using a contact etch stop
EP0603097A2 (en) Nitride polish stop for forming SOI wafers
US20020006715A1 (en) Method for forming an extended metal gate using a damascene process
EP0660389B1 (en) Method of manufacturing semiconductor devices having element separating regions
US6251734B1 (en) Method for fabricating trench isolation and trench substrate contact
US9947548B2 (en) Self-aligned single dummy fin cut with tight pitch
US5667632A (en) Method of defining a line width
JP3990542B2 (en) Semiconductor device manufacturing method
US5573973A (en) Integrated circuit having a diamond thin film trench arrangement as a component thereof and method
US10658197B2 (en) Method for producing low-permittivity spacers
KR100696884B1 (en) Method for fabricating a shadow mask in a trench of a microelectronic or micromechanical structure
US20040087045A1 (en) Etching method and etching signal layer for processing semiconductor wafers
EP1292969B1 (en) Patterning method using a removable inorganic antireflection coating
EP0239746B1 (en) Method for manufacturing a semiconductor device
KR101344019B1 (en) Method of implatating ions
US20140252589A1 (en) Charge Dissipation of Cavities
US20020115253A1 (en) Method for fabricating a semiconductor memory component
JP2003243293A (en) Manufacturing method for semiconductor device
TW200915391A (en) Reverse masking profile improvements in high aspect ratio etch
US20070128553A1 (en) Method for forming feature definitions

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION