US20040043632A1 - Systems and methods for forming metal oxides using alcohols - Google Patents

Systems and methods for forming metal oxides using alcohols Download PDF

Info

Publication number
US20040043632A1
US20040043632A1 US10/229,473 US22947302A US2004043632A1 US 20040043632 A1 US20040043632 A1 US 20040043632A1 US 22947302 A US22947302 A US 22947302A US 2004043632 A1 US2004043632 A1 US 2004043632A1
Authority
US
United States
Prior art keywords
formula
substrate
metal
precursor compounds
metal oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/229,473
Other versions
US20050136689A9 (en
US7041609B2 (en
Inventor
Brian Vaartstra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US10/229,473 priority Critical patent/US7041609B2/en
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to KR1020057003576A priority patent/KR101003214B1/en
Priority to CNB038245760A priority patent/CN100422383C/en
Priority to JP2004531531A priority patent/JP2005537639A/en
Priority to AU2003262902A priority patent/AU2003262902A1/en
Priority to TW092123653A priority patent/TWI320061B/en
Priority to PCT/US2003/026794 priority patent/WO2004020690A1/en
Priority to EP03791828A priority patent/EP1534875A1/en
Publication of US20040043632A1 publication Critical patent/US20040043632A1/en
Publication of US20050136689A9 publication Critical patent/US20050136689A9/en
Priority to US11/374,851 priority patent/US7410918B2/en
Application granted granted Critical
Publication of US7041609B2 publication Critical patent/US7041609B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Definitions

  • Precursor compound refers to an alcohol or a metal-containing compound capable of forming, either alone or with other precursor compounds, a metal oxide layer on a substrate in a vapor deposition process.

Abstract

A method of forming (and an apparatus for forming) a metal oxide layer on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process, one or more alcohols, and one or more metal-containing precursor compounds.

Description

    FIELD OF THE INVENTION
  • This invention relates to methods of forming a metal oxide layer on a substrate using one or more alcohols and one or more metal-containing precursor compounds during a vapor deposition process. The precursor compounds and methods are particularly suitable for the formation of a metal oxide layers on semiconductor substrates or substrate assemblies. [0001]
  • BACKGROUND OF THE INVENTION
  • The continuous shrinkage of microelectronic devices such as capacitors and gates over the years has led to a situation where the materials traditionally used in integrated circuit technology are approaching their performance limits. Silicon (i.e., doped polysilicon) has generally been the substrate of choice, and silicon dioxide (SiO[0002] 2) has frequently been used as the dielectric material with silicon to construct microelectronic devices. However, when the SiO2 layer is thinned to 1 nm (i.e., a thickness of only 4 or 5 molecules), as is desired in the newest micro devices, the layer no longer effectively performs as an insulator due to the tunneling current running through it.
  • Thus, new high dielectric constant materials are needed to extend device performance. Such materials need to demonstrate high permittivity, barrier height to prevent tunneling, stability in direct contact with silicon, and good interface quality and film morphology. Furthermore, such materials must be compatible with the gate material, electrodes, semiconductor processing temperatures, and operating conditions. [0003]
  • High quality thin oxide films of metals, such as ZrO[0004] 2, HfO2, Al2O3, and YSZ I deposited on semiconductor wafers have recently gained interest for use in memories (e.g., dynamic random access memory (DRAM) devices, static random access memory (SRAM) devices, and ferroelectric memory (FERAM) devices). These materials have high dielectric constants and therefore are attractive as replacements in memories for SiO2 where very thin layers are required. These metal oxide layers are thermodynamically stable in the presence of silicon, minimizing silicon oxidation upon thermal annealing, and appear to be compatible with metal gate electrodes. Specifically, for gate dielectrics, La2O3, HfO2, and ZrO2 are also promising as they possess relatively high values for permittivity and bandgap.
  • This discovery has led to an effort to investigate various deposition processes to form layers, especially dielectric layers, based on metal oxides. Such deposition processes have included vapor deposition, metal thermal oxidation, and high vacuum sputtering. Vapor deposition processes, which includes chemical vapor deposition (CVD) and atomic layer deposition (ALD), are very appealing as they provide for excellent control of dielectric uniformity and thickness on a substrate. But vapor deposition processes typically involve the co-reaction of reactive metal precursor compounds with an oxygen source such as oxygen or water, either of which can cause formation of an undesirable SiO[0005] 2 interfacial layer. Thus, an effort is underway to develop water- and oxygen-free vapor deposition processes.
  • Ritala et al., “Atomic Layer Deposition of Oxide Thin Films with Metal Alkoxides as Oxygen Sources,” SCIENCE, 288:319-321 (2000) describe a chemical approach to ALD of thin oxide films. In this approach, a metal alkoxide, serving as both a metal source and an oxygen source, reacts with another metal compound such as a metal chloride or metal alkyl to deposit a metal oxide on silicon without creating an interfacial silicon oxide layer. However, undesirable chlorine residues can also be formed. Furthermore, zirconium and hafnium alkyls are generally unstable and not commercially available. They would also likely leave carbon in the resultant films. [0006]
  • Despite these continual improvements in semiconductor dielectric layers, there remains a need for a vapor deposition process utilizing sufficiently volatile metal precursor compounds that can form a thin, high quality oxide layer, particularly on a semiconductor substrate using a vapor deposition process. [0007]
  • SUMMARY OF THE INVENTION
  • This invention provides methods of vapor depositing a metal oxide layer on a substrate. These vapor deposition methods involve forming the layer by combining one or more alcohols with one or more metal organo-amine precursor compounds (e.g., alkylamines or alkylimines-alkylamines) and/or metal alkyl precursor compounds. Significantly, the methods of the present invention do not require the use of water or a strong oxidizer, thus reducing (and typically avoiding) the problems of producing an undesirable interfacial oxide layer between the desired metal oxide layer and the substrate, and oxidizing other layers beneath the top layer. Typically and preferably, the layer is a dielectric layer. [0008]
  • The methods of the present invention involve forming a metal oxide layer on a substrate, such as a semiconductor substrate or substrate assembly in the manufacturing of a semiconductor structure. Such methods include: providing a substrate (preferably, a semiconductor substrate or substrate assembly); providing at least one alcohol of the formula R(OH)[0009] r wherein R is an organic group and r is 1 to 3; providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II; and contacting the precursor compounds to form a metal oxide layer on one or more surfaces of the substrate using a vapor deposition process. In Formulas I and II: M1 and M2 are each independently a metal (which is used herein to include metalloids or semimetals); R1, R2, R3, and R4 are each independently hydrogen or an organic group; w is 0 to 4; z is 1 to 8; q is 1 to 5; and w, z, and q are dependent on the oxidation states of the metals.
  • In a preferred embodiment of the invention, a method is provided that includes: providing a substrate (preferably, a semiconductor substrate or substrate assembly) within a deposition chamber; providing at least one alcohol of the formula R(OH)[0010] r wherein R is an organic group and r is 1 to 3; providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula H), or Lewis Base adducts of Formula II; vaporizing the precursor compounds to form vaporized precursor compounds; and directing the vaporized precursor compounds to the substrate to form a metal oxide dielectric layer on one or more surfaces of the substrate. In Formulas I and II: M1 and M2 are each independently a metal; R1, R2, R3, and R4 are each independently hydrogen or an organic group; w is 0 to 4; z is 1 to 8; q is 1 to 5; and w, z, and q are dependent on the oxidation states of the metals.
  • In another preferred embodiment of the invention, a method of manufacturing a memory device structure is provided. The method includes: providing a substrate having a first electrode thereon; providing at least one alcohol of the formula R(OH)[0011] r wherein R is an organic group and r is 1 to 3; providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II; vaporizing the precursor compounds to form vaporized precursor compounds; directing the vaporized precursor compounds to the substrate to form a metal oxide dielectric layer on the first electrode of the substrate; and forming a second electrode on the dielectric layer. In Formulas I and II: M1 and M2 are each independently a metal; R1, R2, R3, and R4 are each independently hydrogen or an organic group; w is 0 to 4; z is 1 to 8; q is 1 to 5; and w, z, and q are dependent on the oxidation states of the metals.
  • Also provided is a vapor deposition apparatus that includes: a vapor deposition chamber having a substrate positioned therein; one or more vessels comprising one or more alcohols of the formula R(OH)[0012] r wherein R is an organic group and r is 1 to 3; one or more vessels comprising one or more precursor compounds of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II. In Formulas I and II: M1 and M2 are each independently a metal; R1, R2, R3, and R4 are each independently hydrogen or an organic group; w is 0 to 4; z is 1 to 8; q is 1 to 5; and w, z, and q are dependent on the oxidation states of the metals.
  • The methods of the present invention can utilize a chemical vapor deposition (CVD) process, which can be pulsed, or an atomic layer deposition (ALD) process (a self-limiting vapor deposition process that includes a plurality of deposition cycles, typically with purging between the cycles). Preferably, the methods of the present invention use ALD. For certain ALD processes, the precursor compounds can be alternately introduced into a deposition chamber during each deposition cycle. [0013]
  • “Semiconductor substrate” or “substrate assembly” as used herein refers to a semiconductor substrate such as a base semiconductor layer or a semiconductor substrate having one or more layers, structures, or regions formed thereon. A base semiconductor layer is typically the lowest layer of silicon material on a wafer or a silicon layer deposited on another material, such as silicon on sapphire. When reference is made to a substrate assembly, various process steps may have been previously used to form or define regions, junctions, various structures or features, and openings such as capacitor plates or barriers for capacitors. [0014]
  • “Layer” as used herein refers to any metal oxide layer that can be formed on a substrate from the precursor compounds of this invention using a vapor deposition process. The term “layer” is meant to include layers specific to the semiconductor industry, such as “barrier layer,” “dielectric layer,” and “conductive layer.” (The term “layer” is synonymous with the term “film” frequently used in the semiconductor industry.) The term “layer” is also meant to include layers found in technology outside of semiconductor technology, such as coatings on glass. [0015]
  • “Precursor compound” as used herein refers to an alcohol or a metal-containing compound capable of forming, either alone or with other precursor compounds, a metal oxide layer on a substrate in a vapor deposition process. [0016]
  • “Deposition process” and “vapor deposition process” as used herein refer to a process in which a metal oxide layer is formed on one or more surfaces of a substrate (e.g., a doped polysilicon wafer) from vaporized precursor compound(s). Specifically, one or more metal precursor (i.e., metal-containing precursor) compounds are vaporized and directed to one or more surfaces of a heated substrate (e.g., semiconductor substrate or substrate assembly) placed in a deposition chamber. These precursor compounds form (e.g., by reacting or decomposing) a non-volatile, thin, uniform, metal oxide layer on the surface(s) of the substrate. For the purposes of this invention, the term “vapor deposition process” is meant to include both chemical vapor deposition processes (including pulsed chemical vapor deposition processes) and atomic layer deposition processes. [0017]
  • “Chemical vapor deposition” (CVD) as used herein refers to a vapor deposition process wherein the desired layer is deposited on the substrate from vaporized metal precursor compounds (and any optional reaction gases used) within a deposition chamber with no effort made to separate the reaction components. In contrast to a “simple” CVD process that involves the substantial simultaneous use of the precursor compounds and any reaction gases, “pulsed” CVD alternately pulses these materials into the deposition chamber, but does not rigorously avoid intermixing of the precursor and reaction gas streams, as is typically done in atomic layer deposition or ALD (discussed in greater detail below). [0018]
  • “Atomic layer deposition” (ALD) as used herein refers to a vapor deposition process in which numerous consecutive deposition cycles are conducted in a deposition chamber. Typically, during each cycle the metal precursor is chemisorbed to the substrate surface; excess precursor is purged out; a subsequent precursor and/or reaction gas is introduced to react with the chemisorbed layer; and excess reaction gas (if used) and by-products are removed. As compared to the one cycle chemical vapor deposition (CVD) process, the longer duration multi-cycle ALD process allows for improved control of layer thickness by self-limiting layer growth and minimizing detrimental gas phase reactions by separation of the reaction components. The term “atomic layer deposition” as used herein is also meant to include the related terms “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor compound(s), reaction gas(es), and purge (i.e., inert carrier) gas. [0019]
  • “Chemisorption” as used herein refers to the chemical adsorption of vaporized reactive precursor compounds on the surface of a substrate. The adsorbed species are irreversibly bound to the substrate surface as a result of relatively strong binding forces characterized by high adsorption energies (e.g., >30 kcal/mol), comparable in strength to ordinary chemical bonds. The chemisorbed species typically form a mononolayer on the substrate surface. (See “The Condensed Chemical Dictionary”, 10th edition, revised by G. G. Hawley, published by Van Nostrand Reinhold Co., New York, 225 (1981)). The technique of ALD is based on the principle of the formation of a saturated monolayer of reactive precursor molecules by cherisorption. In ALD one or more appropriate precursor compounds or reaction gases are alternately introduced (e.g., pulsed) into a deposition chamber and chemisorbed onto the surfaces of a substrate. Each sequential introduction of a reactive compound (e.g., one or more precursor compounds and one or more reaction gases) is typically separated by an inert carrier gas purge. Each precursor compound co-reaction adds a new atomic layer to previously deposited layers to form a cumulative solid layer. The cycle is repeated, typically for several hundred times, to gradually form the desired layer thickness. It should be understood that ALD can alternately utilize one precursor compound, which is chemisorbed, and one reaction gas, which reacts with the chemisorbed species.[0020]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. [0021] 1-3 are exemplary capacitor constructions.
  • FIG. 4 is a perspective view of a vapor deposition coating system suitable for use in the method of the present invention.[0022]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
  • The present invention provides methods of forming a metal oxide layer on a substrate (preferably a semiconductor substrate or substrate assembly) using one or more alcohols of the formula R(OH)[0023] r wherein r is 1 to 3 (preferably, I) and one or more metal-containing precursor compounds of the formulas M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II. In Formulas I and II: M1 and M2 are each independently any metal (main group, transition metal, lanthamide); each of R1, R2, and R3 is independently hydrogen or an organic group; w is 0 to 4 (preferably, 0 to 2); z is 1 to 8 (preferably, 2 to 6); q is 1 to 5 (preferably, 2 to 3); and w, z, and q are dependent on the oxidation states of the metals.
  • The metal oxide layer may include one or more different metals and is typically of the formula M[0024] nOm (Formula III), wherein M can be one or more of M1 and M2 as defined above (i.e., the oxide can be a single metal oxide or a mixed metal oxide). Optionally, the metal oxide layer is a mixed metal oxide (i.e., it includes two or more metals). More preferably, the metal oxide layer includes only one metal.
  • The metal oxide layer (particularly if it is a dielectric layer) preferably includes one or more of ZrO[0025] 2, HfO2, Ta2O3, Al2O3, TiO2, and an oxide of a lanthamide. A particularly preferred metal oxide layer includes TiO2, which is preferably in the anatase phase.
  • If the metal oxide layer includes two or more different metals, the metal oxide layer can be in the form of alloys, solid solutions, or nanolaminates. Preferably, these have dielectric properties. [0026]
  • The substrate on which the metal oxide layer is formed is preferably a semiconductor substrate or substrate assembly. Any suitable semiconductor material is contemplated, such as for example, conductively doped polysilicon (for this invention simply referred to as “silicon”). A substrate assembly may also contain a layer that includes platinum, iridium, rhodium, ruthenium, ruthenium oxide, titanium nitride, tantalum nitride, tantalum-silicon-nitride, silicon dioxide, aluminum, gallium arsenide, glass, etc., and other existing or to-be-developed materials used in semiconductor constructions, such as dynamic random access memory (DRAM) devices and static random access memory (SRAM) devices, for example. [0027]
  • Substrates other than semiconductor substrates or substrate assemblies can be used in methods of the present invention. These include, for example, fibers, wires, etc. If the substrate is a semiconductor substrate or substrate assembly, the layers can be formed directly on the lowest semiconductor surface of the substrate, or they can be formed on any of a variety of the layers (i.e., surfaces) as in a patterned wafer, for example. [0028]
  • The precursor compounds described herein may include a wide variety of metals. As used herein, “metal” includes all metals of the periodic table (including main group metals, transition metals, lanthamides, actinides) as well as metalloids or semimetals. For certain methods of the present invention, preferably, each metal M is selected from the group of metals of Groups IIIB (Sc, Y), IVB (Ti, Zr, Hf), VB (V, Nb, Ta), VIB (Cr, Mo, W), VIIB (Mn, Tc, Re), IIIA (Al, Ga, In, TI), UVA (Si, Ge, Sn, Pb), and the lanthamides (La, Ce, Pr, etc.), which are also referred to as Groups 3-7, 13, 14, and the lanthamides of the Periodic Chart. More preferably, each metal M is selected from the group of metals of Groups IIIB (Sc, Y), UVB (Ti, Zr, Hf), VB (V, Nb, Ta), VIB (Cr, Mo, W), VIIB (Mn, Tc, Re), IVA (Si, Ge, Sn, Pb), and the lanthamides (La, Ce, Pr, etc.), which are also referred to as Groups 3-7, 14, and the lanthamides of the Periodic Chart. Even more preferably, each metal M is selected from the group of metals of Groups IIIB (Sc, Y), IVB (Ti, Zr, Hf), VB (V, Nb, Ta), VIB (Cr, Mo, W), VIIB (Mn, Tc, Re), and the lanthamides (La, Ce, Pr, etc.), which are also referred to as Groups 3-7 and the lanthamides of the Periodic Chart. [0029]
  • For certain embodiments, a preferred group of metals for M[0030] 1 or M2 is selected from the group of Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Si, and Al. For certain other embodiments, a preferred group of metals for M2 is Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, and Si, and a more preferred group of metals for M2 is Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, and Ta.
  • Each R in the precursor compounds (i.e., the alcohols and the metalcontaining precursor compounds of the formulas M[0031] 1(NR1)w(NR2R3)z (Formula I) and M2R4 q (Formula II)) are each independently hydrogen or an organic group, preferably an organic group. As used herein, the term “organic group” is used for the purpose of this invention to mean a hydrocarbon group that is classified as an aliphatic group, cyclic group, or combination of aliphatic and cyclic groups (e.g., alkaryl and aralkyl groups). In the context of the present invention, suitable organic groups for precursor compounds of this invention are those that do not interfere with the formation of a metal oxide layer using vapor deposition techniques. In the context of the present invention, the term “aliphatic group” means a saturated or unsaturated linear or branched hydrocarbon group. This term is used to encompass alkyl, alkenyl, and alkynyl groups, for example. The term “alkyl group” means a saturated linear or branched monovalent hydrocarbon group including, for example, methyl, ethyl, n-propyl, isopropyl, t-butyl, amyl, heptyl, and the like. The term “alkenyl group” means an unsaturated, linear or branched monovalent hydrocarbon group with one or more olefinically unsaturated groups (i.e., carbon-carbon double bonds), such as a vinyl group. The term “alkynyl group” means an unsaturated, linear or branched monovalent hydrocarbon group with one or more carbon-carbon triple bonds. The term “cyclic group” means a closed ring hydrocarbon group that is classified as an alicyclic group, aromatic group, or heterocyclic group. The term “alicyclic group” means a cyclic hydrocarbon group having properties resembling those of aliphatic groups. The term “aromatic group” or “aryl group” means a mono- or polynuclear aromatic hydrocarbon group. The term “heterocyclic group” means a closed ring hydrocarbon in which one or more of the atoms in the ring is an element other than carbon (e.g., nitrogen, oxygen, sulfur, etc.).
  • As a means of simplifying the discussion and the recitation of certain terminology used throughout this application, the terms “group” and “moiety” are used to differentiate between chemical species that allow for substitution or that may be substituted and those that do not so allow for substitution or may not be so substituted. Thus, when the term “group” is used to describe a chemical substituent, the described chemical material includes the unsubstituted group and that group with nonperoxidic O, N, Si, F, or S atoms, for example, in the chain as well as carbonyl groups or other conventional substituents. Where the term “moiety” is used to describe a chemical compound or substituent, only an unsubstituted chemical material is intended to be included. For example, the phrase “alkyl group” is intended to include not only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like, but also alkyl substituents bearing further substituents known in the art, such as hydroxy, alkoxy, alkylsulfonyl, halogen atoms, cyano, nitro, amino, carboxyl, etc. Thus, “alkyl group” includes ether groups, haloalkyls, nitroalkyls, carboxyalkyls, hydroxyalkyls, sulfoalkyls, etc. On the other hand, the phrase “alkyl moiety” is limited to the inclusion of only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like. [0032]
  • For all the precursor compounds (both metal-containing and alcohols) of this invention, each R is independently and preferably hydrogen or an organic group, more preferably a (C1-C10) organic group, even more preferably a (C1-C8) organic group, even more preferably a (C1-C6) organic group, and even more preferably a “lower” (i.e., C1-C4) organic group. Even more preferably, each of these organic groups is an alkyl group. Most preferably, each organic group is an organic moiety, and preferably, an alkyl moiety. [0033]
  • In certain embodiments, the carbon atoms of the R groups of the alcohol precursor compounds can be substituted with fluorine atoms. Preferred alcohols include ethanol, isopropyl alcohol, n-propyl alcohol, n-butanol, and ethylene glycol monomethyl ether. [0034]
  • In certain embodiments, the carbon atoms of the R groups of the metalcontaining precursor compounds are optionally replaced by or substituted with silicon, fluorine, oxygen, and/or nitrogen atoms or groups containing such atoms. Thus, silylated amines and silylated imine-amines are within the scope of Formula I. [0035]
  • For the compounds of Formula I, M[0036] 1(NR1)w(NR2R3)z, R1, R2, and R3 are each preferably a (C1-C6) organic group. Examples of suitable precursor compounds include tetrakis(dimethylamino) titanium, tetrakis(dimethylamino) hafnium, tetrakis(ethylmethylamino) hafnium, and Al(NMe2)2(N(Me)CH2CH2NMe2) (wherein Me=methyl). Such compounds are either commercially available from sources such as Strem Chemical Co., or they can be prepared using standard techniques (e.g., by reacting metal chlorides with the corresponding lithium dialkyl amides).
  • For the compounds of Formula II, M[0037] 2R4 q and Lewis Base adducts thereof, each R4 is preferably hydrogen or a (C1-C4) organic group. Preferably, the compounds of Formula II do not include compounds in which all R4 groups are methyl (particularly when M2 is aluminum). Examples of suitable precursor compounds include AlH3, AlMe3, AlHMe2, ZnEt2 and AlH3NMe3. Such compounds are either commercially available from sources such as Sigma-Aldrich, or they can be prepared using standard techniques (e.g., by reacting Grignard Reagents with metal halides).
  • Various precursor compounds can be used in various combinations, optionally with one or more organic solvents (particularly for CVD processes), to form a precursor composition. The precursor compounds may be liquids or solids at room temperature (preferably, they are liquids at the vaporization temperature). Typically, they are liquids sufficiently volatile to be employed using known vapor deposition techniques. However, as solids they may also be sufficiently volatile that they can be vaporized or sublimed from the solid state using known vapor deposition techniques. If they are less volatile solids, they are preferably sufficiently soluble in an organic solvent or have melting points below their decomposition temperatures such that they can be used in flash vaporization, bubbling, microdroplet formation techniques, etc. Herein, vaporized precursor compounds may be used either alone or optionally with vaporized molecules of other precursor compounds or optionally with vaporized solvent molecules, if used. As used herein, “liquid” refers to a solution or a neat liquid (a liquid at room temperature or a solid at room temperature that melts at an elevated temperature). As used herein, “solution” does not require complete solubility of the solid but may allow for some undissolved solid, as long as there is a sufficient amount of the solid delivered by the organic solvent into the vapor phase for chemical vapor deposition processing. If solvent dilution is used in deposition, the total molar concentration of solvent vapor generated may also be considered as a inert carrier gas. [0038]
  • For metal-containing precursors, solvents can be used if desired. The solvents that are suitable for this application (particularly for a CVD process) can be one or more of the following: aliphatic hydrocarbons or unsaturated hydrocarbons (C3-C20, and preferably C5-C10, cyclic, branched, or linear), aromatic hydrocarbons (C5-C20, and preferably C5-C10), halogenated hydrocarbons, silylated hydrocarbons such as alkylsilanes, alkylsilicates, ethers, polyethers, thioethers, esters, lactones, ammonia, amides, amines (aliphatic or aromatic, primary, secondary, or tertiary), polyamines, nitrites, cyanates, isocyanates, thiocyanates, silicone oils, alcohols, or compounds containing combinations of any of the above or mixtures of one or more of the above. The compounds are also generally compatible with each other, so that mixtures of variable quantities of the precursor compounds will not interact to significantly change their physical properties. [0039]
  • For this invention, preferably no reaction gas is employed to minimize oxidation of the substrate (typically silicon) to its oxide (typically silicon dioxide). That oxidizing process can also cause detrimental oxidation to other substrates such as metal electrodes or nitride barriers. Also, as is known in the art some layers can be pervious to oxidizing gases and cause detrimental oxidation of a layer below the top substrate layer. [0040]
  • The precursor compounds can be vaporized in the presence of an inert carrier gas if desired. Additionally, an inert carrier gas can be used in purging steps in an ALD process. The inert carrier gas is typically selected from the group consisting of nitrogen, helium, argon, and combinations thereof. In the context of the present invention, an inert carrier gas is one that does not interfere with the formation of the metal oxide layer. Whether done in the presence of a inert carrier gas or not, the vaporization is preferably done in the absence of oxygen to avoid oxygen contamination of the layer (e.g., oxidation of silicon to form silicon dioxide). [0041]
  • The deposition process for this invention is a vapor deposition process. Vapor deposition processes are generally favored in the semiconductor industry due to the process capability to quickly provide highly conformal layers even within deep contacts and other openings. Chemical vapor deposition (CVD) and atomic layer deposition (ALD) are two vapor deposition processes often employed to form thin, continuous, uniform, metal oxide (preferably dielectric) layers onto semiconductor substrates. Using either vapor deposition process, typically one or more precursor compounds are vaporized in a deposition chamber and optionally combined with one or more reaction gases to form a metal oxide layer onto a substrate. It will be readily apparent to one skilled in the art that the vapor deposition process may be enhanced by employing various related techniques such as plasma assistance, photo assistance, laser assistance, as well as other techniques. [0042]
  • The final layer (preferably, a dielectric layer) formed preferably has a thickness in the range of about 10 Å to about 500 Å. More preferably, the thickness of the metal oxide layer is in the range of about 30 Å to about 80 Å. [0043]
  • In most vapor deposition processes, the precursor compound(s) are typically reacted with an oxidizing or reducing reaction gas at elevated temperatures to form the metal oxide layer. However, in the practice of this invention, no such reaction gas is needed because the alcohol provides the oxygen for the film formed. However, oxidizing gases, such as O[0044] 2, O3, H2O, H2O2, and N2O can be used if desired.
  • Chemical vapor deposition (CVD) has been extensively used for the preparation of metal oxide layers, such as dielectric layers, in semiconductor processing because of its ability to provide highly conformal and high quality dielectric layers at relatively fast processing times. The desired precursor compounds are vaporized and then introduced into a deposition chamber containing a heated substrate with optional reaction gases and/or inert carrier gases. In a typical CVD process, vaporized precursors are contacted with reaction gas(es) at the substrate surface to form a layer (e.g., dielectric layer). The single deposition cycle is allowed to continue until the desired thickness of the layer is achieved. [0045]
  • Typical CVD processes generally employ precursor compounds in vaporization chambers that are separated from the process chamber wherein the deposition surface or wafer is located. For example, liquid precursor compounds are typically placed in bubblers and heated to a temperature at which they vaporize, and the vaporized liquid precursor compound is then transported by an inert carrier gas passing over the bubbler or through the liquid precursor compound. The vapors are then swept through a gas line to the deposition chamber for depositing a layer on substrate surface(s) therein. Many techniques have been developed to precisely control this process. For example, the amount of precursor material transported to the deposition chamber can be precisely controlled by the temperature of the reservoir containing the precursor compound and by the flow of an inert carrier gas bubbled through or passed over the reservoir. [0046]
  • Preferred embodiments of the precursor compounds described herein are particularly suitable for chemical vapor deposition (CVD). The deposition temperature at the substrate surface is preferably held at a temperature in a range of about 100° C. to about 600° C., more preferably in the range of about 200° C. to about 500° C. The deposition chamber pressure is preferably maintained at a deposition pressure of about 0.1 torr to about 10 torr. The partial pressure of precursor compounds in the inert carrier gas is preferably about 0.001 torr to about 10 torr. [0047]
  • Several modifications of the CVD process and chambers are possible, for example, using atmospheric pressure chemical vapor deposition, low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), hot wall or cold wall reactors or any other chemical vapor deposition technique. Furthermore, pulsed CVD can be used, which is similar to ALD (discussed in greater detail below) but does not rigorously avoid intermixing of percursor and reactant gas streams. Also, for pulsed CVD, the deposition thickness is dependent on the exposure time, as opposed to ALD, which is self-limiting (discussed in greater detail below). [0048]
  • A typical CVD process may be carried out in a chemical vapor deposition reactor, such as a deposition chamber available under the trade designation of 7000 from Genus, Inc. (Sunnyvale, Calif.), a deposition chamber available under the trade designation of 5000 from Applied Materials, Inc. (Santa Clara, Calif.), or a deposition chamber available under the trade designation of Prism from Novelus, Inc. (San Jose, Calif.). However, any deposition chamber suitable for performing CVD may be used. [0049]
  • Alternatively, and preferably, the vapor deposition process employed in the methods of the present invention is a multi-cycle ALD process. Such a process is advantageous (particularly over a CVD process) in that in provides for optimum control of atomic-level thickness and uniformity to the deposited layer (e.g., dielectric layer) and to expose the metal precursor compounds to lower volatilization and reaction temperatures to minimize degradation. Typically, in an ALD process, each reactant is pulsed sequentially onto a suitable substrate, typically at deposition temperatures of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes. Under such conditions the film growth is typically self-limiting (i.e., when the reactive sites on a surface are used up in an ALD process, the deposition generally stops), insuring not only excellent conformality but also good large area uniformity plus simple and accurate thickness control. Due to alternate dosing of the precursor compounds and/or reaction gases, detrimental vapor-phase reactions are inherently eliminated, in contrast to the CVD process that is carried out by continuous coreaction of the precursors and/or reaction gases. (See Vehkamaki et al, “Growth of SrTiO[0050] 3 and BaTiO3 Thin Films by Atomic Layer Deposition,” Electrochemical and Solid-State Letters, 2(10):504-506 (1999)).
  • A typical ALD process includes exposing an initial substrate to a first chemical species (e.g., a precursor compound of Formula I) to accomplish chemisorption of the species onto the substrate. Theoretically, the chemisorption forms a monolayer that is uniformly one atom or molecule thick on the entire exposed initial substrate. In other words, a saturated monolayer. Practically, chemisorption might not occur on all portions of the substrate. Nevertheless, such an imperfect monolayer is still a monolayer in the context of the present invention. In many applications, merely a substantially saturated monolayer may be suitable. A substantially saturated monolayer is one that will still yield a deposited layer exhibiting the quality and/or properties desired for such layer. [0051]
  • The first species is purged from over the substrate and a second chemical species (e.g., a different precursor compound of Formula I or a precursor compound of Formula II) is provided to react with the first monolayer of the first species. The second species is then purged and the steps are repeated with exposure of the second species monolayer to the first species. In some cases, the two monolayers may be of the same species. As an option, the second species can react with the first species, but not chemisorb additional material thereto. That is, the second species can cleave some portion of the chemisorbed first species, altering such monolayer without forming another monolayer thereon. Also, a third species or more may be successively chemisorbed (or reacted) and purged just as described for the first and second species. Optionally, the second species (or third or subsequent) can include at least one reaction gas if desired. [0052]
  • Purging may involve a variety of techniques including, but not limited to, contacting the substrate and/or monolayer with a carrier gas and/or lowering pressure to below the deposition pressure to reduce the concentration of a species contacting the substrate and/or chemisorbed species. Examples of carrier gases include N[0053] 2, Ar, He, etc. Purging may instead include contacting the substrate and/or monolayer with any substance that allows chemisorption by-products to desorb and reduces the concentration of a contacting species preparatory to introducing another species. The contacting species may be reduced to some suitable concentration or partial pressure known to those skilled in the art based on the specifications for the product of a particular deposition process.
  • ALD is often described as a self-limiting process, in that a finite number of sites exist on a substrate to which the first species may form chemical bonds. The second species might only bond to the first species and thus may also be self-limiting. Once all of the finite number of sites on a substrate are bonded with a first species, the first species will often not bond to other of the first species already bonded with the substrate. However, process conditions can be varied in ALD to promote such bonding and render ALD not self-limiting. Accordingly, ALD may also encompass a species forming other than one monolayer at a time by stacking of a species, forming a layer more than one atom or molecule thick. [0054]
  • The described method indicates the “substantial absence” of the second precursor (i.e., second species) during chemisorption of the first precursor since insignificant amounts of the second precursor might be present. According to the knowledge and the preferences of those with ordinary skill in the art, a determination can be made as to the tolerable amount of second precursor and process conditions selected to achieve the substantial absence of the second precursor. [0055]
  • Thus, during the ALD process, numerous consecutive deposition cycles are conducted in the deposition chamber, each cycle depositing a very thin metal oxide layer (usually less than one monolayer such that the growth rate on average is from about 0.2 to about 3.0 Angstroms per cycle), until a layer of the desired thickness is built up on the substrate of interest. The layer deposition is accomplished by alternately introducing (i.e., by pulsing) precursor compounds into the deposition chamber containing a semiconductor substrate, chemisorbing the precursor compound(s) as a monolayer onto the substrate surfaces, and then reacting the chemisorbed precursor compound(s) with the other co-reactive precursor compound(s). The pulse duration of precursor compound(s) and inert carrier gas(es) is sufficient to saturate the substrate surface. Typically, the pulse duration is from about 0.1 to about 5 seconds, preferably from about 0.2 to about 1 second. [0056]
  • In comparison to the predominantly thermally driven CVD, ALD is predominantly chemically driven. Accordingly, ALD is often conducted at much lower temperatures than CVD. During the ALD process, the substrate temperature is maintained at a temperature sufficiently low to maintain intact bonds between the chemisorbed precursor compound(s) and the underlying substrate surface and to prevent decomposition of the precursor compound(s). The temperature is also sufficiently high to avoid condensation of the precursor compounds(s). Typically the substrate temperature is kept within the range of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes. Thus, the first species or precursor compound is chemisorbed at this temperature. Surface reaction of the second species or precursor compound can occur at substantially the same temperature as chemisorption of the first precursor or, less preferably, at a substantially different temperature. Clearly, some small variation in temperature, as judged by those of ordinary skill, can occur but still be a substantially same temperature by providing a reaction rate statistically the same as would occur at the temperature of the first precursor chemisorption. Chemisorption and subsequent reactions could instead occur at exactly the same temperature. [0057]
  • For a typical ALD process, the pressure inside the deposition chamber is kept at about 10[0058] −4 torr to about 1 torr, preferably about 10−4 torr to about 0.1 torr. Typically, the deposition chamber is purged with an inert carrier gas after the vaporized precursor compound(s) have been introduced into the chamber and/or reacted for each cycle. The inert carrier gas(es) can also be introduced with the vaporized precursor compound(s) during each cycle.
  • The reactivity of a precursor compound can significantly influence the process parameters in ALD. Under typical CVD process conditions, a highly reactive compound may react in the gas phase generating particulates, depositing prematurely on undesired surfaces, producing poor films, and/or yielding poor step coverage or otherwise yielding non-uniform deposition. For at least such reason, a highly reactive compound might be considered not suitable for CVD. However, some compounds not suitable for CVD are superior ALD precursors. For example, if the first precursor is gas phase reactive with the second precursor, such a combination of compounds might not be suitable for CVD, although they could be used in ALD. In the CVD context, concern might also exist regarding sticking coefficients and surface mobility, as known to those skilled in the art, when using highly gas-phase reactive precursors, however, little or no such concern would exist in the ALD context. [0059]
  • After layer formation on the substrate, an annealing process can be optionally performed in situ in the deposition chamber in a nitrogen atmosphere or oxidizing atmosphere. Preferably, the annealing temperature is within the range of about 400° C. to about 1000° C. Particularly after ALD, the annealing temperature is more preferably about 400° C. to about 750° C., and most preferably about 600° C. to about 700° C. The annealing operation is preferably performed for a time period of about 0.5 minute to about 60 minutes and more preferably for a time period of about 1 minute to about 10 minutes. One skilled in the art will recognize that such temperatures and time periods may vary. For example, furnace anneals and rapid thermal annealing may be used, and further, such anneals may be performed in one or more annealing steps. [0060]
  • As stated above, the use of the complexes and methods of forming films of the present invention are beneficial for a wide variety of thin film applications in semiconductor structures, particularly those using high dielectric materials. For example, such applications include capacitors such as planar cells, trench cells (e.g., double sidewall trench capacitors), stacked cells (e.g., crown, V-cell, delta cell, multi-fingered, or cylindrical container stacked capacitors), as well as field effect transistor devices. [0061]
  • A specific example of where a dielectric layer is formed according to the present invention is a capacitor construction. Exemplary capacitor constructions are described with reference to FIGS. [0062] 1-3. Referring to FIG. 1, a semiconductor wafer fragment 10 includes a capacitor construction 25 formed by a method of the present invention. Wafer fragment 10 includes a substrate 12 having a conductive diffusion area 14 formed therein. Substrate 12 can include, for example, monocrystalline silicon. An insulating layer 16, typically borophosphosilicate glass (BPSG), is provided over substrate 12, with a contact opening 18 provided therein to diffusion area 14. A conductive material 20 fills contact opening 18, with material 20 and oxide layer 18 having been planarized as shown. Material 20 might be any suitable conductive material, such as, for example, tungsten or conductively doped polysilicon. Capacitor construction 25 is provided atop layer 16 and plug 20, and electrically connected to node 14 through plug 20.
  • [0063] Capacitor construction 25 includes a first capacitor electrode 26, which has been provided and patterned over node 20. Examplary materials include conductively doped polysilicon, Pt, Ir, Rh, Ru, RuO2, IrO2, RhO2. A capacitor dielectric layer 28 is provided over first capacitor electrode 26. The materials of the present invention can be used to form the capacitor dielectric layer 28. Preferably, if first capacitor electrode 26 includes polysilicon, a surface of the polysilicon is cleaned by an in situ HF dip prior to deposition of the dielectric material. An exemplary thickness for layer 28 in accordance with 256 Mb integration is 100 Angstroms.
  • A [0064] diffusion barrier layer 30 is provided over dielectric layer 28. Diffusion barrier layer 30 includes conductive materials such as TiN, TaN, metal silicide, or metal silicide-nitride, and can be provided by CVD, for example, using conditions well known to those of skill in the art. After formation of barrier layer 30, a second capacitor electrode 32 is formed over barrier layer 30 to complete construction of capacitor 25. Second capacitor electrode 32 can include constructions similar to those discussed above regarding the first capacitor electrode 26, and can accordingly include, for example, conductively doped polysilicon. Diffusion barrier layer 30 preferably prevents components (e.g., oxygen) from diffusing from dielectric material 28 into electrode 32. If, for example, oxygen diffuses into a silicon-containing electrode 32, it can undesirably form SiO2, which will significantly reduce the capacitance of capacitor 25. Diffusion barrier layer 30 can also prevent diffusion of silicon from metal electrode 32 to dielectric layer 28.
  • FIG. 2 illustrates an alternative embodiment of a capacitor construction. Like numerals from FIG. 1 have been utilized where appropriate, with differences indicated by the suffix “a”. Wafer fragment [0065] 10 a includes a capacitor construction 25 a differing from the construction 25 of FIG. 2 in provision of a barrier layer 30 a between first electrode 26 and dielectric layer 28, rather than between dielectric layer 28 and second capacitor electrode 32. Barrier layer 30 a can include constructions identical to those discussed above with reference to FIG. 1.
  • FIG. 3 illustrates yet another alternative embodiment of a capacitor construction. Like numerals from FIG. 1 are utilized where appropriate, with differences being indicated by the suffix “b” or by different numerals. [0066] Wafer fragment 10 b includes a capacitor construction 25 b having the first and second capacitor plate 26 and 32, respectively, of the first described embodiment. However, wafer fragment 10 b differs from wafer fragment 10 of FIG. 2 in that wafer fragment 10 b includes a second barrier layer 40 in addition to the barrier layer 30. Barrier layer 40 is provided between first capacitor electrode 26 and dielectric layer 28, whereas barrier layer 30 is between second capacitor electrode 32 and dielectric layer 28. Barrier layer 40 can be formed by methods identical to those discussed above with reference to FIG. 1 for formation of the barrier layer 30.
  • In the embodiments of FIGS. [0067] 1-3, the barrier layers are shown and described as being distinct layers separate from the capacitor electrodes. It is to be understood, however, that the barrier layers can include conductive materials and can accordingly, in such embodiments, be understood to include at least a portion of the capacitorr electrodes. In particular embodiments an entirety of a capacitor electrode can include conductive barrier layer materials.
  • A system that can be used to perform vapor deposition processes (chemical vapor deposition or atomic layer deposition) of the present invention is shown in FIG. 4. The system includes an enclosed [0068] vapor deposition chamber 110, in which a vacuum may be created using turbo pump 112 and backing pump 114. One or more substrates 116 (e.g., semiconductor substrates or substrate assemblies) are positioned in chamber 110. A constant nominal temperature is established for substrate 116, which can vary depending on the process used. Substrate 116 may be heated, for example, by an electrical resistance heater 118 on which substrate 116 is mounted. Other known methods of heating the substrate may also be utilized.
  • In this process, precursor compounds [0069] 160 (e.g., a refractory metal precursor compound and an ether) are stored in vessels 162. The precursor compounds are vaporized and separately fed along lines 164 and 166 to the deposition chamber 110 using, for example, an inert carrier gas 168. A reaction gas 170 may be supplied along line 172 as needed. Also, a purge gas 174, which is often the same as the inert carrier gas 168, may be supplied along line 176 as needed. As shown, a series of valves 180-185 are opened and closed as required.
  • The following examples are offered to further illustrate the various specific and preferred embodiments and techniques. It should be understood, however, that many variations and modifications may be made while remaining within the scope of the present invention, so the scope of the invention is not intended to be limited by the examples. Unless specified otherwise, all percentages shown in the examples are percentages by weight. [0070]
  • EXAMPLES Example 1 Pulsed Chemical Vapor Deposition of TiO2
  • A chamber of configuration shown in FIG. 4 was set up with pneumatic valves under computer control to pulse the valves open in sequential manner. Two reservoirs connected to the chamber contained Ti(NMe[0071] 2)4 (Strem Chemical, Newburyport, Mass.) and isopropyl alcohol (General Chemical, Parsippany, N.J.). The substrate was a silicon wafer having doped poly-silicon as a top layer and was maintained at 220° C. for the deposition.
  • Each cycle involved a 5-second pulse of Ti(NMe[0072] 2)4 and a 5-second pulse of isopropyl alcohol, each separated by a 5-second purge with argon and a 5-second pump down under dynamic vacuum. The precursors were introduced without helium carrier gas, using only a mass flow controller downstream of the isopropyl alcohol reservoir set at 50 sccm. After 400 cycles a TiO2 film 1750 Å thick was obtained. The film contained only titanium and oxygen based on x-ray photoelectron spectroscopy (XPS) analysis, and had no detectable nitrogen or carbon. X-ray diffraction analysis of the film revealed the anatase crystal phase had been formed as-deposited.
  • Example 2 Atomic Layer Deposition of HfO2
  • A chamber of configuration shown in FIG. 4 was set up with pneumatic valves under computer control to pulse the valves open in sequential manner. Two reservoirs connected to the chamber contained Hf(NMe[0073] 2)4 (Strem Chemical, Newburyport, Mass.) and isopropyl alcohol (General Chemical, Parsippany, N.J.). The Hf(NMe2)4 precursor was heated to 40° C. while the isopropyl alcohol remained at ambient. The substrate was a silicon wafer having doped poly-silicon as a top layer and was maintained at 215° C. for the deposition.
  • Each cycle involved a 2-second pulse of Hf(NMe[0074] 2)4 and a 1-second pulse of isopropyl alcohol, each separated by a 5-second purge with argon and a 5-second pump down under dynamic vacuum. The precursors were introduced without helium carrier gas, using only a mass flow controller downstream of the isopropyl alcohol reservoir set at 25 sccm. After 400 cycles a HfO2 film 250 Å thick was obtained. The film contained only hafnium and oxygen based on x-ray photoelectron spectroscopy (XPS) analysis, and had no detectable nitrogen or carbon within the HfO2 layer. X-ray diffraction analysis revealed an amorphous film had been formed as-deposited, but after a 600° C. rapid thermal process (RTP) under nitrogen for 1 min the film was crystalline HfO2.
  • The complete disclosures of the patents, patent documents, and publications cited herein are incorporated by reference in their entirety as if each were individually incorporated. Various modifications and alterations to this invention will become apparent to those skilled in the art without departing from the scope and spirit of this invention. It should be understood that this invention is not intended to be unduly limited by the illustrative embodiments and examples set forth herein and that such examples and embodiments are presented by way of example only with the scope of the invention intended to be limited only by the claims set forth herein as follows. [0075]

Claims (49)

What is claimed is:
1. A method of manufacturing a semiconductor structure, the method comprising:
providing a semiconductor substrate or substrate assembly;
providing at least one alcohol of the formula R(OH)r wherein R is an organic group and r is 1 to 3;
providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II, wherein:
M1 and M2 are each independently a metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
w is 0 to 4;
z is 1 to 8;
q is 1 to 5; and
w, z, and q are dependent on the oxidation states of the metals; and
contacting the precursor compounds to form a metal oxide layer on one or more surfaces of the semiconductor substrate or substrate assembly using a vapor deposition process.
2. The method of claim 1 wherein the semiconductor substrate or substrate assembly is a silicon wafer.
3. The method of claim 1 wherein the metal oxide layer is a dielectric layer.
4. The method of claim 3 wherein the metal oxide dielectric layer comprises two or more different metals.
5. The method of claim 4 wherein the two or more different metals are in the form of alloys, solid solutions, or nanolaminates.
6. The method of claim 1 wherein M1 and M2 are each independently selected from the group of metals consisting of Groups 3, 4, 5, 6, 7, 13, 14, and the lanthamides.
7. The method of claim 6 wherein M1 and M2 are each independently selected from the group of metals consisting of Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Al, and Si.
8. The method of claim 1 wherein the metal oxide layer has a thickness of about 30 Å to about 80 Å.
9. The method of claim 1 wherein each R is independently a (C1C10) organic group.
10. The method of claim 1 wherein R1, R2, R3, and R4 are each independently hydrogen or a (C1-C6) organic group.
11. The method of claim 1 wherein w is 0 to 2 and z is 2 to 6.
12. The method of claim 1 wherein q is 2 to 3.
13. The method of claim 1 wherein the metal oxide layer comprises one metal.
14. The method of claim 1 wherein the metal oxide layer comprises anatase TiO2.
15. A method of manufacturing a semiconductor structure, the method comprising:
providing a semiconductor substrate or substrate assembly within a deposition chamber;
providing at least one alcohol of the formula R(OH)r wherein R is an organic group and r is 1 to 3;
providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II, wherein:
M1 and M2 are each independently a metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
w is 0 to 4;
z is 1 to 8;
q is 1 to 5; and
w, z, and q are dependent on the oxidation states of the metals;
vaporizing the precursor compounds to form vaporized precursor compounds; and
directing the vaporized precursor compounds to the semiconductor substrate or substrate assembly to form a metal oxide dielectric layer on one or more surfaces of the semiconductor substrate or substrate assembly.
16. The method of claim 15 wherein the precursor compounds are vaporized in the presence of an inert carrier gas.
17. The method of claim 15 wherein M1 and M2 are each independently selected from the group of metals consisting of Groups 3, 4, 5, 6, 7, 13, 14, and the lanthamides.
18. The method of claim 15 wherein vaporizing and directing the precursor compounds is accomplished using a chemical vapor deposition process.
19. The method of claim 18 wherein the temperature of the semiconductor substrate or substrate assembly is about 100° C. to about 600° C.
20. The method of claim 18 wherein the semiconductor substrate or substrate assembly is in a deposition chamber having a pressure of about 0.1 torr to about 10 torr.
21. The method of claim 18 wherein vaporizing and directing the precursor compounds is accomplished using an atomic layer deposition process comprising a plurality of deposition cycles.
22. The method of claim 21 wherein during the atomic layer deposition process the metal-containing layer is formed by alternately introducing the precursor compounds during each deposition cycle.
23. The method of claim 21 wherein the temperature of the semiconductor substrate or substrate assembly is about 25° C. to about 400° C.
24. The method of claim 21 wherein the semiconductor substrate or substrate assembly is in a deposition chamber having a pressure of about 10−4 torr to about 1 torr.
25. The method of claim 15 wherein the metal oxide layer comprises one metal.
26. A method of forming a metal oxide layer on a substrate, the method comprising:
providing a substrate;
providing at least one alcohol of the formula R(OH)r wherein R is an organic group and r is 1 to 3;
providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II, wherein:
M1 and M2 are each independently a metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
w is 0 to 4;
z is 1 to 8;
q is 1 to 5; and
w, z, and q are dependent on the oxidation states of the metals; and contacting the precursor compounds to form a metal oxide layer on the substrate using a vapor deposition process.
27. The method of claim 26 wherein the substrate is a silicon wafer.
28. The method of claim 26 wherein M1 and M2 are each independently selected from the group of metals consisting of Groups 3, 4, 5, 6, 7, 13, 14, and the lanthamides.
29. The method of claim 28 wherein M1 and M2 are each independently selected from the group of metals consisting of Y, La, Pr, Nd, Gd, Ti, Zr, Hf, Nb, Ta, Al, and Si.
30. The method of claim 26 wherein the metal oxide layer has a thickness of about 30 Å to about 80 Å.
31. The method of claim 26 wherein each R is independently a (C1C10) organic group.
32. The method of claim 26 wherein R1, R2, R3, and R4 are each independently hydrogen or a (C1-C6) organic group.
33. The method of claim 26 wherein w is 0 to 2 and z is 2 to 6.
34. The method of claim 26 wherein q is 2 to 3.
35. The method of claim 26 wherein the metal oxide comprises one metal.
36. The method of claim 26 wherein the metal oxide layer comprises anatase TiO2.
37. A method of forming a metal oxide layer on a substrate, the method comprising:
providing a substrate;
providing at least one alcohol of the formula R(OH)r wherein R is an organic group and r is 1 to 3;
providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II, wherein:
M1 and M2 are each independently a metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
w is 0 to 4;
z is 1 to 8;
q is 1 to 5; and
w, z, and q are dependent on the oxidation states of the metals;
vaporizing the precursor compounds to form vaporized precursor compounds; and
directing the vaporized precursor compounds to the substrate to form a metal oxide layer on the substrate.
38. The method of claim 37 wherein vaporizing and directing the precursor compounds is accomplished using a chemical vapor deposition process.
39. The method of claim 37 wherein vaporizing and directing the precursor compounds is accomplished using an atomic layer deposition process comprising a plurality of deposition cycles.
40. The method of claim 37 wherein the metal oxide layer comprises one metal.
41. A method of manufacturing a memory device structure, the method comprising:
providing a substrate having a first electrode thereon;
providing at least one alcohol of the formula R(OH)r wherein R is an organic group and r is 1 to 3;
providing at least one metal-containing precursor compound of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II, wherein:
M1 and M2 are each independently a metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
w is 0 to 4;
z is 1 to 8;
q is 1 to 5; and
w, z, and q are dependent on the oxidation states of the metals;
vaporizing the precursor compounds to form vaporized precursor compounds;
directing the vaporized precursor compounds to the substrate to form a metal oxide dielectric layer on the first electrode of the substrate; and
forming a second electrode on the dielectric layer.
42. The method of claim 41 wherein vaporizing and directing the precursor compounds is accomplished using a chemical vapor deposition process.
43. The method of claim 41 wherein vaporizing and directing the precursor compounds is accomplished using an atomic layer deposition process comprising a plurality of deposition cycles.
44. The method of claim 41 wherein the metal oxide dielectric layer comprises two or more different metals.
45. The method of claim 44 wherein the two or more different metals are in the form of alloys, solid solutions, or nanolaminates.
46. The method of claim 41 wherein the metal oxide dielectric layer comprises one or more of ZrO2, HfO2, Ta2O3, Al2O3, TiO2, and an oxide of a lanthamide.
47. A vapor deposition apparatus comprising:
a vapor deposition chamber having a substrate positioned therein;
one or more vessels comprising one or more alcohols of the formula R(OH)r wherein R is an organic group and r is 1 to 3; and
one or more vessels comprising one or more precursor compounds of the formula M1(NR1)w(NR2R3)z (Formula I), M2R4 q (Formula II), or Lewis Base adducts of Formula II, wherein:
M1 and M2 are each independently a metal;
R1, R2, R3, and R4 are each independently hydrogen or an organic group;
w is 0 to 4;
z is 1 to 8;
q is 1 to 5; and
w, z, and q are dependent on the oxidation states of the metals.
48. The apparatus of claim 47 wherein the substrate is a silicon wafer.
49. The apparatus of claim 47 further comprising one or more sources of an inert carrier gas for transferring the precursors to the vapor deposition chamber.
US10/229,473 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols Expired - Fee Related US7041609B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US10/229,473 US7041609B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols
EP03791828A EP1534875A1 (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols
JP2004531531A JP2005537639A (en) 2002-08-28 2003-08-27 System and method for forming metal oxides using alcohols
AU2003262902A AU2003262902A1 (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols
TW092123653A TWI320061B (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols
PCT/US2003/026794 WO2004020690A1 (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols
KR1020057003576A KR101003214B1 (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols
CNB038245760A CN100422383C (en) 2002-08-28 2003-08-27 Systems and methods for forming metal oxides using alcohols
US11/374,851 US7410918B2 (en) 2002-08-28 2006-03-14 Systems and methods for forming metal oxides using alcohols

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/229,473 US7041609B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/374,851 Division US7410918B2 (en) 2002-08-28 2006-03-14 Systems and methods for forming metal oxides using alcohols

Publications (3)

Publication Number Publication Date
US20040043632A1 true US20040043632A1 (en) 2004-03-04
US20050136689A9 US20050136689A9 (en) 2005-06-23
US7041609B2 US7041609B2 (en) 2006-05-09

Family

ID=31976227

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/229,473 Expired - Fee Related US7041609B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming metal oxides using alcohols
US11/374,851 Expired - Lifetime US7410918B2 (en) 2002-08-28 2006-03-14 Systems and methods for forming metal oxides using alcohols

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/374,851 Expired - Lifetime US7410918B2 (en) 2002-08-28 2006-03-14 Systems and methods for forming metal oxides using alcohols

Country Status (8)

Country Link
US (2) US7041609B2 (en)
EP (1) EP1534875A1 (en)
JP (1) JP2005537639A (en)
KR (1) KR101003214B1 (en)
CN (1) CN100422383C (en)
AU (1) AU2003262902A1 (en)
TW (1) TWI320061B (en)
WO (1) WO2004020690A1 (en)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040043625A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20040043635A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20050221006A1 (en) * 2002-08-28 2005-10-06 Micron Technology, Inc. Metal-doped alumina and layers thereof
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US20060148180A1 (en) * 2005-01-05 2006-07-06 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060172485A1 (en) * 2002-08-28 2006-08-03 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US20060281330A1 (en) * 2005-06-14 2006-12-14 Micron Technology, Inc. Iridium / zirconium oxide structure
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US20070099366A1 (en) * 2004-08-31 2007-05-03 Micron Technology, Inc. Lanthanum aluminum oxide dielectric layer
US20070134942A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US20070158765A1 (en) * 2006-01-10 2007-07-12 Micron Technology, Inc. Gallium lanthanide oxide films
US20070234949A1 (en) * 2006-04-07 2007-10-11 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US20080032465A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. Deposition of ZrAION films
US20080057690A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US20080054330A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US20080121962A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Tantalum aluminum oxynitride high-k dielectric and metal gates
US20080124907A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20080124908A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
WO2008098963A2 (en) * 2007-02-13 2008-08-21 University Of Aveiro Method of forming an oxide thin film
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US20080224240A1 (en) * 2005-08-29 2008-09-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS
US20080274625A1 (en) * 2002-12-04 2008-11-06 Micron Technology, Inc. METHODS OF FORMING ELECTRONIC DEVICES CONTAINING Zr-Sn-Ti-O FILMS
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20100125128A1 (en) * 2008-11-14 2010-05-20 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US8581352B2 (en) 2006-08-25 2013-11-12 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US9194041B2 (en) 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same

Families Citing this family (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100468847B1 (en) * 2002-04-02 2005-01-29 삼성전자주식회사 Chemical vapor deposition method using alcohols for forming metal-oxide thin film
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7141500B2 (en) * 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
KR20050056408A (en) * 2003-12-10 2005-06-16 주식회사 하이닉스반도체 Method of manufacturing capacitor for semiconductor device
KR100682926B1 (en) * 2005-01-31 2007-02-15 삼성전자주식회사 Nonvolatile memory device using resistance material and fabrication method of the same
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
KR100707602B1 (en) 2005-10-20 2007-04-13 삼성에스디아이 주식회사 Organic emitting device and method for preparing the same
KR100875034B1 (en) * 2007-01-02 2008-12-19 주식회사 하이닉스반도체 Dielectric Film Formation Method of Flash Memory Device
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
KR101227446B1 (en) * 2007-07-31 2013-01-29 삼성전자주식회사 Method of forming a ferro-electric layer and method of manufacturing a ferro-electric capacitor
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2968677A1 (en) * 2010-12-09 2012-06-15 Commissariat Energie Atomique PROCESS FOR PRODUCING LITHIUM-BASED CVD LAYERS
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
TWI736631B (en) * 2016-06-06 2021-08-21 韋恩州立大學 Reaction of diazadiene complexes with amines
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6613213B2 (en) * 2016-07-26 2019-11-27 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9793397B1 (en) 2016-09-23 2017-10-17 International Business Machines Corporation Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (en) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (en) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019099976A1 (en) * 2017-11-19 2019-05-23 Applied Materials, Inc. Methods for ald of metal oxides on metal surfaces
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US20190309412A1 (en) * 2018-04-05 2019-10-10 Applied Materials, Inc. Methods For Low Temperature ALD Of Metal Oxides
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11915926B2 (en) 2021-09-27 2024-02-27 International Business Machines Corporation Percolation doping of inorganic-organic frameworks for multiple device applications

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US5195018A (en) * 1991-07-03 1993-03-16 Samsung Electronics Co., Ltd. High dielectric constant capacitor and method for manufacturing the same
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6040594A (en) * 1994-07-27 2000-03-21 Fujitsu Limited High permittivity ST thin film and a capacitor for a semiconductor integrated circuit having such a thin film
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6221712B1 (en) * 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6313233B1 (en) * 1996-11-20 2001-11-06 Japan Synthetic Rubber Co., Ltd. Curable resin composition cured products
US6316064B1 (en) * 1999-01-25 2001-11-13 Asahi Denka Kogyo Kabushiki Kaisha Process of producing a ruthenium or ruthenium oxide thin film
US20010041374A1 (en) * 1997-06-26 2001-11-15 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic thin films useful in ferroelectric memory devices
US20010053615A1 (en) * 2000-06-20 2001-12-20 Chan Lim Method of manufacturing an aluminum oxide film in a semiconductor device
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US20030113480A1 (en) * 2001-12-10 2003-06-19 Hynix Semiconductor Inc. Method for forming high dielectric layers using atomic layer deposition
US20030213987A1 (en) * 2002-05-16 2003-11-20 Cem Basceri MIS capacitor and method of formation
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US20050054213A1 (en) * 2003-09-05 2005-03-10 Derderian Garo J. Methods of depositing a silicon dioxide comprising layer in the fabrication of integrated circuitry, and methods of forming trench isolation in the fabrication of integrated circuitry
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6884675B2 (en) * 2001-01-19 2005-04-26 Samsung Electronics Co., Ltd. Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221712A (en) * 1988-08-23 1993-06-22 Sumitomo Chemical Company, Limited Process for producing impact-resistant polyamide resin compositions
US5020243A (en) * 1989-12-01 1991-06-04 Macmillan Bloedel Limited Dryer syphon
JPH05239650A (en) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd Production of silicon oxide film
AU1955395A (en) 1994-03-26 1995-10-17 Drake, Simone Robert Tantalum compounds
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6312831B1 (en) 1999-04-30 2001-11-06 Visteon Global Technologies, Inc. Highly reflective, durable titanium/tin oxide films
JP2001108199A (en) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk Fluid transferring piping device and fluid supply device which facilitate purging of residual material, purging method for residual material in piping device, and fluid supply method
DE60125338T2 (en) * 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
JP2001257344A (en) 2000-03-10 2001-09-21 Toshiba Corp Semiconductor device and manufacturing method of semiconductor device
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
TW486392B (en) 2000-09-29 2002-05-11 Air Prod & Chem Solvent blend for use in high purity precursor removal
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
JP3627106B2 (en) 2002-05-27 2005-03-09 株式会社高純度化学研究所 Method for producing hafnium silicate thin film by atomic layer adsorption deposition
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US5195018A (en) * 1991-07-03 1993-03-16 Samsung Electronics Co., Ltd. High dielectric constant capacitor and method for manufacturing the same
US6040594A (en) * 1994-07-27 2000-03-21 Fujitsu Limited High permittivity ST thin film and a capacitor for a semiconductor integrated circuit having such a thin film
US6573182B2 (en) * 1996-05-31 2003-06-03 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6674169B2 (en) * 1996-05-31 2004-01-06 Micron Technology, Inc. Semiconductor device with titanium silicon oxide layer
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6313233B1 (en) * 1996-11-20 2001-11-06 Japan Synthetic Rubber Co., Ltd. Curable resin composition cured products
US20010041374A1 (en) * 1997-06-26 2001-11-15 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic thin films useful in ferroelectric memory devices
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6316064B1 (en) * 1999-01-25 2001-11-13 Asahi Denka Kogyo Kabushiki Kaisha Process of producing a ruthenium or ruthenium oxide thin film
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6221712B1 (en) * 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US20010053615A1 (en) * 2000-06-20 2001-12-20 Chan Lim Method of manufacturing an aluminum oxide film in a semiconductor device
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6884675B2 (en) * 2001-01-19 2005-04-26 Samsung Electronics Co., Ltd. Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20020187644A1 (en) * 2001-03-30 2002-12-12 Baum Thomas H. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20030113480A1 (en) * 2001-12-10 2003-06-19 Hynix Semiconductor Inc. Method for forming high dielectric layers using atomic layer deposition
US20030213987A1 (en) * 2002-05-16 2003-11-20 Cem Basceri MIS capacitor and method of formation
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050054213A1 (en) * 2003-09-05 2005-03-10 Derderian Garo J. Methods of depositing a silicon dioxide comprising layer in the fabrication of integrated circuitry, and methods of forming trench isolation in the fabrication of integrated circuitry

Cited By (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7473662B2 (en) 2002-08-28 2009-01-06 Micron Technology, Inc. Metal-doped alumina and layers thereof
US20040043635A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US8653573B2 (en) 2002-08-28 2014-02-18 Micron Technology, Inc. Dielectric layers and memory cells including metal-doped alumina
US7648926B2 (en) 2002-08-28 2010-01-19 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20060172485A1 (en) * 2002-08-28 2006-08-03 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7087481B2 (en) * 2002-08-28 2006-08-08 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20060252279A1 (en) * 2002-08-28 2006-11-09 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US8034728B2 (en) 2002-08-28 2011-10-11 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20110121376A1 (en) * 2002-08-28 2011-05-26 Micron Technology, Inc. Dielectric Layers and Memory Cells Including Metal-Doped Alumina
US20050221006A1 (en) * 2002-08-28 2005-10-06 Micron Technology, Inc. Metal-doped alumina and layers thereof
US20100099272A1 (en) * 2002-08-28 2010-04-22 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7902099B2 (en) 2002-08-28 2011-03-08 Micron Technology, Inc. Dielectric layers and memory cells including metal-doped alumina
US20040043625A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US7410918B2 (en) 2002-08-28 2008-08-12 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7253122B2 (en) 2002-08-28 2007-08-07 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US20080274625A1 (en) * 2002-12-04 2008-11-06 Micron Technology, Inc. METHODS OF FORMING ELECTRONIC DEVICES CONTAINING Zr-Sn-Ti-O FILMS
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20070087563A1 (en) * 2004-08-02 2007-04-19 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7776762B2 (en) 2004-08-02 2010-08-17 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20060264064A1 (en) * 2004-08-02 2006-11-23 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US20070099366A1 (en) * 2004-08-31 2007-05-03 Micron Technology, Inc. Lanthanum aluminum oxide dielectric layer
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20060148180A1 (en) * 2005-01-05 2006-07-06 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US20080220618A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US20060281330A1 (en) * 2005-06-14 2006-12-14 Micron Technology, Inc. Iridium / zirconium oxide structure
US8497542B2 (en) 2005-08-29 2013-07-30 Micron Technology, Inc. ZrXHfYSn1-X-YO2 films as high K gate dielectrics
US20110121378A1 (en) * 2005-08-29 2011-05-26 Ahn Kie Y ZrXHfYSn1-X-YO2 FILMS AS HIGH K GATE DIELECTRICS
US20080224240A1 (en) * 2005-08-29 2008-09-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US7875912B2 (en) 2005-08-29 2011-01-25 Micron Technology, Inc. Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070134942A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20100006918A1 (en) * 2005-12-08 2010-01-14 Ahn Kie Y Hafnium tantalum titanium oxide films
US8399320B2 (en) 2005-12-08 2013-03-19 Micron Technology, Inc. Electronic apparatus containing lanthanide yttrium aluminum oxide
US8405167B2 (en) 2005-12-08 2013-03-26 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8093666B2 (en) 2005-12-08 2012-01-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US20100052033A1 (en) * 2005-12-08 2010-03-04 Ahn Kie Y Lanthanide yttrium aluminum oxide dielectric films
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7999334B2 (en) 2005-12-08 2011-08-16 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8685815B2 (en) 2005-12-08 2014-04-01 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US20070158765A1 (en) * 2006-01-10 2007-07-12 Micron Technology, Inc. Gallium lanthanide oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20090314345A1 (en) * 2006-04-07 2009-12-24 Ahn Kie Y Atomic layer deposited titanium-doped indium oxide films
US8273177B2 (en) 2006-04-07 2012-09-25 Micron Technology, Inc. Titanium-doped indium oxide films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US20070234949A1 (en) * 2006-04-07 2007-10-11 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US8628615B2 (en) 2006-04-07 2014-01-14 Micron Technology, Inc. Titanium-doped indium oxide films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US20100237403A1 (en) * 2006-08-03 2010-09-23 Ahn Kie Y ZrAlON FILMS
US9236245B2 (en) 2006-08-03 2016-01-12 Micron Technology, Inc. ZrA1ON films
US9502256B2 (en) 2006-08-03 2016-11-22 Micron Technology, Inc. ZrAION films
US8993455B2 (en) 2006-08-03 2015-03-31 Micron Technology, Inc. ZrAlON films
US20080032465A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. Deposition of ZrAION films
US8581352B2 (en) 2006-08-25 2013-11-12 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US9202686B2 (en) 2006-08-25 2015-12-01 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US7902582B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20090236650A1 (en) * 2006-08-31 2009-09-24 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20080057690A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US20080121962A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Tantalum aluminum oxynitride high-k dielectric and metal gates
US8519466B2 (en) 2006-08-31 2013-08-27 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US20080124907A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8557672B2 (en) 2006-08-31 2013-10-15 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US20080124908A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US8168502B2 (en) 2006-08-31 2012-05-01 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US20100301428A1 (en) * 2006-08-31 2010-12-02 Leonard Forbes Tantalum silicon oxynitride high-k dielectrics and metal gates
US8772851B2 (en) 2006-08-31 2014-07-08 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US20100283537A1 (en) * 2006-08-31 2010-11-11 Leonard Forbes Tantalum aluminum oxynitride high-k dielectric
US8951880B2 (en) 2006-08-31 2015-02-10 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US20080054330A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum lanthanide oxynitride films
WO2008098963A3 (en) * 2007-02-13 2009-01-15 Univ Aveiro Method of forming an oxide thin film
US20100109130A1 (en) * 2007-02-13 2010-05-06 University Of Aveiro Method of forming an oxide thin film
WO2008098963A2 (en) * 2007-02-13 2008-08-21 University Of Aveiro Method of forming an oxide thin film
US20100125128A1 (en) * 2008-11-14 2010-05-20 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US9194041B2 (en) 2011-11-02 2015-11-24 Ube Industries, Ltd. Tris(dialkylamide)aluminum compound, and method for producing aluminum-containing thin film using same

Also Published As

Publication number Publication date
CN1688742A (en) 2005-10-26
CN100422383C (en) 2008-10-01
TW200422426A (en) 2004-11-01
US20050136689A9 (en) 2005-06-23
EP1534875A1 (en) 2005-06-01
WO2004020690A1 (en) 2004-03-11
AU2003262902A1 (en) 2004-03-19
JP2005537639A (en) 2005-12-08
TWI320061B (en) 2010-02-01
KR101003214B1 (en) 2010-12-21
US7041609B2 (en) 2006-05-09
US7410918B2 (en) 2008-08-12
KR20050057087A (en) 2005-06-16
US20060172485A1 (en) 2006-08-03

Similar Documents

Publication Publication Date Title
US7410918B2 (en) Systems and methods for forming metal oxides using alcohols
US6958300B2 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6984592B2 (en) Systems and methods for forming metal-doped alumina
US7648926B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US7666801B2 (en) Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US6784049B2 (en) Method for forming refractory metal oxide layers with tetramethyldisiloxane
US7678708B2 (en) Systems and methods for forming metal oxide layers

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:VAARTSTRA, BRIAN A.;REEL/FRAME:013245/0071

Effective date: 20020822

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140509