US20040040501A1 - Systems and methods for forming zirconium and/or hafnium-containing layers - Google Patents

Systems and methods for forming zirconium and/or hafnium-containing layers Download PDF

Info

Publication number
US20040040501A1
US20040040501A1 US10/229,779 US22977902A US2004040501A1 US 20040040501 A1 US20040040501 A1 US 20040040501A1 US 22977902 A US22977902 A US 22977902A US 2004040501 A1 US2004040501 A1 US 2004040501A1
Authority
US
United States
Prior art keywords
substrate
metal
precursor compounds
zirconium
hafnium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/229,779
Other versions
US7112485B2 (en
US20050160981A9 (en
Inventor
Brian Vaartstra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US10/229,779 priority Critical patent/US7112485B2/en
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to CNA038245876A priority patent/CN1688744A/en
Priority to KR1020107013410A priority patent/KR20100072378A/en
Priority to EP03754423A priority patent/EP1532290B1/en
Priority to AU2003272249A priority patent/AU2003272249A1/en
Priority to DE60325484T priority patent/DE60325484D1/en
Priority to PCT/US2003/027152 priority patent/WO2004020691A2/en
Priority to CNA2006101425235A priority patent/CN1937253A/en
Priority to AT03754423T priority patent/ATE418627T1/en
Priority to TW092123651A priority patent/TWI249587B/en
Priority to KR1020057003574A priority patent/KR20050042171A/en
Priority to JP2004531945A priority patent/JP2005537645A/en
Publication of US20040040501A1 publication Critical patent/US20040040501A1/en
Publication of US20050160981A9 publication Critical patent/US20050160981A9/en
Priority to US11/493,967 priority patent/US9184061B2/en
Application granted granted Critical
Publication of US7112485B2 publication Critical patent/US7112485B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02161Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2

Definitions

  • This invention relates to methods of forming a layer on a substrate using one or more silicon precursor compounds and one or more zirconium and/or hafnium precursor compounds during a vapor deposition process.
  • the precursor compounds and methods are particularly suitable for the formation of a metal silicate dielectric layer, particularly a zirconium and/or hafnium silicate dielectric layer, onto a semiconductor substrate or substrate assembly.
  • Capacitors are the basic energy storage devices in random access memory devices, such as dynamic random access memory (DRAM) devices and static random access memory (SRAM) devices. They consist of two conductors, such as parallel metal or polysilicon plates, which act as the electrodes (i.e., the storage node electrode and the cell plate capacitor electrode), insulated from each other by a dielectric material.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • High quality dielectric materials based on ZrO 2 and HfO 2 have high dielectric constants, so are being investigated as replacements in memories for SiO 2 where very thin layers are required. These high crystalline multivalent metal oxide layers are thermodynamically stable in the presence of silicon, minimizing silicon oxidation upon thermal annealing, and appear to be compatible with metal gate electrodes.
  • This invention provides methods of vapor depositing a metal-containing layer on a substrate. These vapor deposition methods involve forming the layer by combining one or more zirconium and/or hafnium diorganoamide (e.g., dialkylamide) precursor compounds with one or more tetraorganooxysilane (e.g., tetraalkoxysilane) precursor compounds.
  • the methods of the present invention do not require the use of water or a strong oxidizer, thus reducing (and typically avoiding) the problem of producing an undesirable interfacial oxide layer between the desired metal-containing layer and the substrate.
  • the layer is a dielectric layer that is primarily composed of zirconium silicate, hafnium silicate, zirconium-hafnium silicate, or related SiO 2 -stabilized zirconium oxide and/or SiO 2 -stabilized hafnium oxide.
  • the methods of the present invention involve forming a metal-containing layer on a substrate.
  • a substrate preferably a semiconductor substrate or substrate assembly such as a silicon wafer
  • a method of the present invention involves: providing a substrate (preferably a semiconductor substrate or substrate assembly such as a silicon wafer) within a deposition chamber; providing at least one silicon precursor compound having the formula Si(OR) 4 and at least one precursor compound of the formula M(NR′R′′) 4 , wherein R, R′, and R′′ are each independently an organic group and M is zirconium or hafnium; vaporizing the precursor compounds to form vaporized precursor compounds; and directing the vaporized precursor compounds toward the substrate to form a metal-containing layer (preferably a dielectric layer) on one or more surfaces of the substrate.
  • Another preferred method involves manufacturing a memory device structure, wherein the method includes: providing a substrate (preferably a semiconductor substrate or substrate assembly such as a silicon wafer) having a first electrode thereon; providing at least one silicon precursor compound having the formula Si(OR) 4 and at least one precursor compound of the formula M(NR′R′′) 4 , wherein R, R′, and R′′ are each independently an organic group and M is zirconium or hafnium; vaporizing the precursor compounds to form vaporized precursor compounds; directing the vaporized precursor compounds to the substrate to form a layer (preferably a dielectric layer) on the first electrode of the substrate; and forming a second electrode on the dielectric layer.
  • the dielectric forms a capacitor layer, although a gate is also possible.
  • the methods of the present invention can utilize a chemical vapor deposition (CVD) process, which can be pulsed, or an atomic layer deposition (ALD) process (a self-limiting vapor deposition process that includes a plurality of deposition cycles, typically with purging between the cycles).
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • the methods of the present invention use ALD.
  • the precursor compounds can be alternately introduced into a deposition chamber during each deposition cycle.
  • the metal-containing layer can include metal silicates (e.g., zirconium silicate, hafnium silicate, zirconium-hafnium silicate), metal oxides, silicon oxides, and combinations thereof.
  • the metal-containing layer can include a solid solution that includes, for example, zirconium oxide, hafnium oxide, and silicon oxides.
  • the present invention also provides a vapor deposition apparatus that includes: a vapor deposition chamber having a substrate positioned therein; one or more vessels comprising one or more silicon precursor compounds having the formula Si(OR) 4 ; and one or more vessels comprising one or more one precursor compounds of the formula M(NR′R′′) 4 , wherein R, R′, and R′′ are each independently an organic group and M is zirconium or hafnium.
  • semiconductor substrate or “substrate assembly” as used herein refers to a semiconductor substrate such as a base semiconductor layer or a semiconductor substrate having one or more layers, structures, or regions formed thereon.
  • a base semiconductor layer is typically the lowest layer of silicon material on a wafer or a silicon layer deposited on another material, such as silicon on sapphire.
  • various process steps may have been previously used to form or define regions, junctions, various structures or features, and openings such as capacitor plates or barriers for capacitors.
  • Layer refers to any metal-containing layer that can be formed on a substrate from the precursor compounds of this invention using a vapor deposition process.
  • layer is meant to include layers specific to the semiconductor industry, such as “barrier layer,” “dielectric layer,” and “conductive layer.” (The term “layer” is synonymous with the term “film” frequently used in the semiconductor industry.)
  • layer is also meant to include layers found in technology outside of semiconductor technology, such as coatings on glass.
  • Dielectric layer refers to a layer (or film) having a high dielectric constant containing primarily zirconium silicate and/or hafnium silicate (or SiO 2 stabilized zirconium oxide and/or hafnium oxide).
  • Metal-containing layers containing mixed zirconium/hafnium silicates of the general formula Zr a Hf b Si c O d , wherein d 2 (a+b+c), are also contemplated to be included within the scope of this invention.
  • Precursor compound refers to a zirconium, hafnium, or silicon compound, for example, capable of forming, either alone or with other precursor compounds, a metal-containing layer on a substrate in a vapor deposition process.
  • the zirconium, hafnium, and silicon precursor compounds are all preferably liquid at the vaporization temperature, and more preferably at room temperature.
  • the precursor compounds are organometallic compounds that form volatile by-products upon reacting.
  • Deposition process and “vapor deposition process” as used herein refer to a process in which a metal-containing layer is formed on one or more surfaces of a substrate (e.g., a doped polysilicon wafer) from vaporized precursor compound(s). Specifically, one or more metal precursor compounds are vaporized and directed to one or more surfaces of a heated substrate (e.g., semiconductor substrate or substrate assembly) placed in a deposition chamber. These precursor compounds form (e.g., by reacting or decomposing) a non-volatile, thin, uniform, metal-containing layer on the surface(s) of the substrate.
  • the term “vapor deposition process” is meant to include both chemical vapor deposition processes (including pulsed chemical vapor deposition processes) and atomic layer deposition processes.
  • “Chemical vapor deposition” refers to a vapor deposition process wherein the desired layer is deposited on the substrate from vaporized metal precursor compounds (and any reaction gases used) within a deposition chamber with no effort made to separate the reaction components.
  • “pulsed” CVD alternately pulses these materials into the deposition chamber, but does not rigorously avoid intermixing of the precursor and reaction gas streams, as is typically done in atomic layer deposition or ALD (discussed in greater detail below).
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • atomic layer deposition as used herein is also meant to include the related terms “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor compound(s), reaction gas(es), and purge (i.e., inert carrier) gas.
  • ALE atomic layer epitaxy
  • MBE molecular beam epitaxy
  • gas source MBE organometallic MBE
  • chemical beam epitaxy when performed with alternating pulses of precursor compound(s), reaction gas(es), and purge (i.e., inert carrier) gas.
  • “Chemisorption” as used herein refers to the chemical adsorption of vaporized reactive precursor compounds on the surface of a substrate.
  • the adsorbed species are irreversibly bound to the substrate surface as a result of relatively strong binding forces characterized by high adsorption energies (e.g., >30 kcal/mol), comparable in strength to ordinary chemical bonds.
  • the chemisorbed species typically form a mononolayer on the substrate surface. (See “The Condensed Chemical Dictionary”, 10th edition, revised by G. G. Hawley, published by Van Nostrand Reinhold Co., New York, 225 (1981)).
  • the technique of ALD is based on the principle of the formation of a saturated monolayer of reactive precursor molecules by chemisorption.
  • ALD one or more appropriate precursor compounds or reaction gases are alternately introduced (e.g., pulsed) into a deposition chamber and chemisorbed onto the surfaces of a substrate.
  • a reactive compound e.g., one or more precursor compounds and one or more reaction gases
  • Each sequential introduction of a reactive compound is typically separated by an inert carrier gas purge.
  • Each precursor compound co-reaction adds a new atomic layer to previously deposited layers to form a cumulative solid layer.
  • the cycle is repeated, typically for several hundred times, to gradually form the desired layer thickness.
  • ALD can alternately utilize one precursor compound, which is chemisorbed, and one reaction gas, which reacts with the chemisorbed species.
  • FIG. 1 is a cross-sectional view of a transistor made according to the present invention.
  • FIG. 2 is a perspective view of a vapor deposition coating system suitable for use in the method of the present invention.
  • the present invention provides methods of forming a layer (preferably a zirconium and/or hafnium silicate layer) on a substrate (preferably a semiconductor substrate or substrate assembly) using one or more silicon precursor compounds of the formula Si(OR) 4 and one or more zirconium and/or hafnium precursor compounds of the formula M(NR′R′′) 4 , wherein R, R′, and R′′ are each independently an organic group and M is zirconium or hafnium.
  • the layers or films formed can be in the form of metal-containing films, which is used herein to refer to zirconium silicate, hafnium silicate, or zirconium-hafnium silicate, as well as solid solutions of oxides of zirconium, hafnium, and silicon (e.g., SiO 2 stabilized zirconium oxide and/or hafnium oxide).
  • metal-containing films which is used herein to refer to zirconium silicate, hafnium silicate, or zirconium-hafnium silicate, as well as solid solutions of oxides of zirconium, hafnium, and silicon (e.g., SiO 2 stabilized zirconium oxide and/or hafnium oxide).
  • SiO 2 stabilized zirconium oxide and/or hafnium oxide e.g., SiO 2 stabilized zirconium oxide and/or hafnium oxide.
  • the substrate on which the metal-containing layer is formed is preferably a semiconductor substrate or substrate assembly.
  • Any suitable semiconductor material is contemplated, such as for example, conductively doped polysilicon (for this invention simply referred to as “silicon”).
  • a substrate assembly may also contain a layer that includes platinum, iridium, rhodium, ruthenium, ruthenium oxide, titanium nitride, tantalum nitride, tantalum-silicon-nitride, silicon dioxide, aluminum, gallium arsenide, glass, etc., and other existing or to-be-developed materials used in semiconductor constructions, such as dynamic random access memory (DRAM) devices and static random access memory (SRAM) devices, for example.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • Substrates other than semiconductor substrates or substrate assemblies can be used in methods of the present invention. These include, for example, fibers, wires, etc. If the substrate is a semiconductor substrate or substrate assembly, the layers can be formed directly on the lowest semiconductor surface of the substrate, or they can be formed on any of a variety of the layers (i.e., surfaces) as in a patterned wafer, for example.
  • the precursor compounds useful in this invention are of the formulas Si(OR) 4 and M(NR′R′′) 4 , wherein R, R′, and R′′ is each independently an organic group and M is zirconium or hafnium.
  • organic group is used for the purpose of this invention to mean a hydrocarbon group that is classified as an aliphatic group, cyclic group, or combination of aliphatic and cyclic groups (e.g., alkaryl and aralkyl groups).
  • suitable organic groups for precursor compounds of this invention are those that do not interfere with the formation of a metal-containing layer using vapor deposition techniques.
  • aliphatic group means a saturated or unsaturated linear or branched hydrocarbon group. This term is used to encompass alkyl, alkenyl, and alkynyl groups, for example.
  • alkyl group means a saturated linear or branched monovalent hydrocarbon group including, for example, methyl, ethyl, n-propyl, isopropyl, t-butyl, amyl, heptyl, and the like.
  • alkenyl group means an unsaturated, linear or branched monovalent hydrocarbon group with one or more olefinically unsaturated groups (i.e., carbon-carbon double bonds), such as a vinyl group.
  • alkynyl group means an unsaturated, linear or branched monovalent hydrocarbon group with one or more carbon-carbon triple bonds.
  • cyclic group means a closed ring hydrocarbon group that is classified as an alicyclic group, aromatic group, or heterocyclic group.
  • alicyclic group means a cyclic hydrocarbon group having properties resembling those of aliphatic groups.
  • aromatic group or “aryl group” means a mono- or polynuclear aromatic hydrocarbon group.
  • heterocyclic group means a closed ring hydrocarbon in which one or more of the atoms in the ring is an element other than carbon (e.g., nitrogen, oxygen, sulfur, etc.).
  • group and “moiety” are used to differentiate between chemical species that allow for substitution or that may be substituted and those that do not so allow for substitution or may not be so substituted.
  • group when the term “group” is used to describe a chemical substituent, the described chemical material includes the unsubstituted group and that group with nonperoxidic 0, N, S, Si, or F atoms, for example, in the chain as well as carbonyl groups or other conventional substituents.
  • moiety is used to describe a chemical compound or substituent, only an unsubstituted chemical material is intended to be included.
  • alkyl group is intended to include not only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like, but also alkyl substituents bearing further substituents known in the art, such as hydroxy, alkoxy, alkylsulfonyl, halogen atoms, cyano, nitro, amino, carboxyl, etc.
  • alkyl group includes ether groups, haloalkyls, nitroalkyls, carboxyalkyls, hydroxyalkyls, sulfoalkyls, etc.
  • the phrase “alkyl moiety” is limited to the inclusion of only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like.
  • R is an organic group (preferably, an organic moiety), preferably a (C1-C10)alkyl group (preferably, an alkyl moiety), more preferably a (C1-C8)alkyl group (preferably, an alkyl moiety), even more preferably a (C1-C6)alkyl group (preferably, an alkyl moiety), and most preferably a “lower” (i.e., C1-C4) alkyl group (preferably, an alkyl moiety).
  • R is preferably a (C1-C8)alkyl group (preferably, an alkyl moiety), more preferably a (C1-C6)alkyl group (preferably, an alkyl moiety), and most preferably a “lower” (i.e., C1-C4) alkyl group (preferably, an alkyl moiety—methyl, ethyl, n-propyl, isopropyl, or butyl).
  • all of the R groups are the same.
  • a compound represented by the formula Si(OR) 4 has two commonly used equivalent names: either tetraorganoxysilane (e.g., tetraalkoxysilane) or tetraorgano orthosilicate (e.g., tetraalkyl orthosilicate).
  • suitable silicon precursor compounds include tetramethyl orthosilicate, tetraethyl orthosilicate, tetrapropyl orthosilicate, tetrabutyl orthosilicate, tetrakis(2-butoxyethyl) orthosilicate, and tetraallyl orthosilicate, all available from Sigma-Aldrich Chemical Co., Milwaukee, Wis.
  • the silicon precursor compounds can also be prepared by reacting one mole of tetrachlorosilane with four moles of the alcohol needed to provide the desired R groups.
  • tetraisopropoxysilane a preferred silicon precursor compound
  • the silicon precursor compound is tetraisopropoxysilane or tetraethoxysilane.
  • R′ and R′′ are preferably both methyl, both ethyl, or one each of methyl and ethyl.
  • suitable zirconium precursor compounds include tetrakis(dimethylamino) zirconium, tetrakis(diethylamino) zirconium and tetrakis(ethylmethylamino) zirconium, all available from Sigma-Aldrich Chemical Co.
  • hafnium precursor compounds of the formula Hf(NR′R′′) 4 , R′, and R′′ are preferably both methyl, both ethyl, or one each of methyl and ethyl.
  • suitable hafnium precursor compounds include tetrakis(dimethylamino) hafnium and tetrakis(ethylmethylamino) hafnium, the latter available from Sigma-Aldrich Chemical Co.
  • the zirconium and hafnium dialkylamide compounds offer the advantages (compared to other zirconium and hafnium precursor compounds) of high reactivity with surface groups, high volatility, volatile by-products, and optimized reactivity with tetraalkoxysilanes, for example.
  • zirconium and hafnium dialkylamide compounds can be prepared using standard techniques. For example, zirconium and hafnium chlorides can be reacted with lithium dialkylamides. Alternatively, such compounds are commercially available. For example, tetrakis(dimethylamino) zirconium and tetrakis(dimentylamino)hafnium are available from Strem Chemical Co.
  • Various precursor compounds can be used in various combinations, optionally with one or more organic solvents (particularly for CVD processes), to form a precursor composition.
  • the precursor compounds may be liquids or solids at room temperature (preferably, they are liquids at the vaporization temperature). Typically, they are liquids sufficiently volatile to be employed using known vapor deposition techniques. However, as solids they may also be sufficiently volatile that they can be vaporized or sublimed from the solid state using known vapor deposition techniques. If they are less volatile solids, they are preferably sufficiently soluble in an organic solvent or have melting points below their decomposition temperatures such that they can be used in flash vaporization, bubbling, microdroplet formation techniques, etc.
  • vaporized precursor compounds may be used either alone or optionally with vaporized molecules of other precursor compounds or optionally with vaporized solvent molecules, if used.
  • liquid refers to a solution or a neat liquid (a liquid at room temperature or a solid at room temperature that melts at an elevated temperature).
  • solution does not require complete solubility of the solid but may allow for some undissolved solid, as long as there is a sufficient amount of the solid delivered by the organic solvent into the vapor phase for chemical vapor deposition processing. If solvent dilution is used in deposition, the total molar concentration of solvent vapor generated may also be considered as a inert carrier gas.
  • the solvents that are suitable for this application can be one or more of the following: aliphatic hydrocarbons or unsaturated hydrocarbons (C3-C20, and preferably C5-C10, cyclic, branched, or linear), aromatic hydrocarbons (C5-C20, and preferably C5-C10), halogenated hydrocarbons, silylated hydrocarbons such as alkylsilanes, alkylsilicates, ethers, polyethers, thioethers, esters, lactones, ammonia, amides, amines (aliphatic or aromatic, primary, secondary, or tertiary), polyamines, nitrites, cyanates, isocyanates, thiocyanates, silicone oils, alcohols, or compounds containing combinations of any of the above or mixtures of one or more of the above.
  • the compounds are also generally compatible with each other, so that mixtures of variable quantities of the precursor compounds will not interact to significantly change their
  • the orthosilicate precursor compound provides the source of both the oxygen and the silicon to form the desired metal silicate layer.
  • the precursor compounds can be vaporized in the presence of an inert carrier gas if desired.
  • an inert carrier gas can be used in purging steps in an ALD process.
  • the inert carrier gas is typically selected from the group consisting of nitrogen, helium, argon, and combinations thereof.
  • an inert carrier gas is one that does not interfere with the formation of the metal-containing layer. Whether done in the presence of a inert carrier gas or not, the vaporization is preferably done in the absence of oxygen to avoid oxygen contamination of the layer (e.g., oxidation of silicon to form silicon dioxide).
  • the deposition process for this invention is a vapor deposition process.
  • Vapor deposition processes are generally favored in the semiconductor industry due to the process capability to quickly provide highly conformal layers even within deep contacts and other openings.
  • Chemical vapor deposition (CVD) and atomic layer deposition (ALD) are two vapor deposition processes often employed to form thin, continuous, uniform, metal-containing (preferably dielectric) layers onto semiconductor substrates.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • Using either vapor deposition process typically one or more precursor compounds are vaporized in a deposition chamber and optionally combined with one or more reaction gases to form a metal-containing layer onto a substrate.
  • the vapor deposition process may be enhanced by employing various related techniques such as plasma assistance, photo assistance, laser assistance, as well as other techniques.
  • the final layer (preferably, a dielectric layer) formed preferably has a thickness in the range of about 10 A to about 500 ⁇ . More preferably, the thickness of the metal-containing layer is in the range of about 30 ⁇ to about 80 ⁇ .
  • the precursor compound(s) are typically reacted with an oxidizing or reducing reaction gas (e.g., water vapor, oxygen or ammonia) at elevated temperatures to form the metal-containing layer.
  • an oxidizing or reducing reaction gas e.g., water vapor, oxygen or ammonia
  • no such reaction gas is needed as the silicon precursor compound(s) provide the source of oxygen needed in the vapor deposition process when reacting with the zirconium and/or hafnium precursor compound(s) to form the zirconium and/or hafnium silicate layer (i.e., no oxidizing or hydrolyzing coreactant is needed).
  • oxidizing gases such as O 2 , O 3 , H 2 O, and H 2 O 2 , can be used if desired.
  • CVD Chemical vapor deposition
  • metal-containing layers such as dielectric layers
  • semiconductor processing because of its ability to provide highly conformal and high quality dielectric layers at relatively fast processing times.
  • the desired precursor compounds are vaporized and then introduced into a deposition chamber containing a heated substrate with optional reaction gases and/or inert carrier gases.
  • vaporized precursors are contacted with reaction gas(es) at the substrate surface to form a layer (e.g., dielectric layer).
  • the single deposition cycle is allowed to continue until the desired thickness of the layer is achieved.
  • Typical CVD processes generally employ precursor compounds in vaporization chambers that are separated from the process chamber wherein the deposition surface or wafer is located.
  • liquid precursor compounds are typically placed in bubblers and heated to a temperature at which they vaporize, and the vaporized liquid precursor compound is then transported by an inert carrier gas passing over the bubbler or through the liquid precursor compound.
  • the vapors are then swept through a gas line to the deposition chamber for depositing a layer on substrate surface(s) therein.
  • Many techniques have been developed to precisely control this process. For example, the amount of precursor material transported to the deposition chamber can be precisely controlled by the temperature of the reservoir containing the precursor compound and by the flow of an inert carrier gas bubbled through or passed over the reservoir.
  • Preferred embodiments of the precursor compounds described herein are particularly suitable for chemical vapor deposition (CVD).
  • the deposition temperature at the substrate surface is preferably held at a temperature in a range of about 100° C. to about 600° C., more preferably in the range of about 200° C. to about 500° C.
  • the deposition chamber pressure is preferably maintained at a deposition pressure of about 0.1 torr to about 10 torr.
  • the partial pressure of precursor compounds in the inert carrier gas is preferably about 0.001 torr to about 10 torr.
  • CVD chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • hot wall or cold wall reactors any other chemical vapor deposition technique.
  • pulsed CVD can be used, which is similar to ALD (discussed in greater detail below) but does not rigorously avoid intermixing of percursor and reactant gas streams.
  • the deposition thickness is dependent on the exposure time, as opposed to ALD, which is self-limiting (discussed in greater detail below).
  • a typical CVD process may be carried out in a chemical vapor deposition reactor, such as a deposition chamber available under the trade designation of 7000 from Genus, Inc. (Sunnyvale, Calif.), a deposition chamber available under the trade designation of 5000 from Applied Materials, Inc. (Santa Clara, Calif.), or a deposition chamber available under the trade designation of Prism from Novelus, Inc. (San Jose, Calif.).
  • a chemical vapor deposition reactor such as a deposition chamber available under the trade designation of 7000 from Genus, Inc. (Sunnyvale, Calif.), a deposition chamber available under the trade designation of 5000 from Applied Materials, Inc. (Santa Clara, Calif.), or a deposition chamber available under the trade designation of Prism from Novelus, Inc. (San Jose, Calif.).
  • any deposition chamber suitable for performing CVD may be used.
  • the vapor deposition process employed in the methods of the present invention is a multi-cycle ALD process.
  • Such a process is advantageous (particularly over a CVD process) in that in provides for optimum control of atomic-level thickness and uniformity to the deposited layer (e.g., dielectric layer) and to expose the metal precursor compounds to lower volatilization and reaction temperatures to minimize degradation.
  • each reactant is pulsed sequentially onto a suitable substrate, typically at deposition temperatures of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes.
  • the film growth is typically self-limiting (i.e., when the reactive sites on a surface are used up in an ALD process, the deposition generally stops), insuring not only excellent conformality but also good large area uniformity plus simple and accurate thickness control. Due to alternate dosing of the precursor compounds and/or reaction gases, detrimental vapor-phase reactions are inherently eliminated, in contrast to the CVD process that is carried out by continuous coreaction of the precursors and/or reaction gases. (See Vehkamaki et al, “Growth of SrTiO 3 and BaTiO 3 Thin Films by Atomic Layer Deposition,” Electrochemical and Solid-State Letters, 2(10):504-506 (1999)).
  • a typical ALD process includes exposing an initial substrate to a first chemical species (e.g., a silicon precursor compound) to accomplish chemisorption of the species onto the substrate.
  • a first chemical species e.g., a silicon precursor compound
  • the chemisorption forms a monolayer that is uniformly one atom or molecule thick on the entire exposed initial substrate.
  • a saturated monolayer Practically, chemisorption might not occur on all portions of the substrate. Nevertheless, such an imperfect monolayer is still a monolayer in the context of the present invention.
  • a substantially saturated monolayer may be suitable.
  • a substantially saturated monolayer is one that will still yield a deposited layer exhibiting the quality and/or properties desired for such layer.
  • the first species is purged from over the substrate and a second chemical species (e.g., a different silicon precursor compound or a zirconium or hafnium precursor compound) is provided to react with the first monolayer of the first species.
  • the second species is then purged and the steps are repeated with exposure of the second species monolayer to the first species.
  • the two monolayers may be of the same species.
  • the second species can react with the first species, but not chemisorb additional material thereto. That is, the second species can cleave some portion of the chemi sorbed first species, altering such monolayer without forming another monolayer thereon.
  • a third species or more may be successively chemisorbed (or reacted) and purged just as described for the first and second species.
  • the second species (or third or subsequent) can include at least one reaction gas if desired.
  • Purging may involve a variety of techniques including, but not limited to, contacting the substrate and/or monolayer with a carrier gas and/or lowering pressure to below the deposition pressure to reduce the concentration of a species contacting the substrate and/or chemisorbed species.
  • carrier gases include N 2 , Ar, He, etc.
  • Purging may instead include contacting the substrate and/or monolayer with any substance that allows chemisorption by-products to desorb and reduces the concentration of a contacting species preparatory to introducing another species.
  • the contacting species may be reduced to some suitable concentration or partial pressure known to those skilled in the art based on the specifications for the product of a particular deposition process.
  • ALD is often described as a self-limiting process, in that a finite number of sites exist on a substrate to which the first species may form chemical bonds. The second species might only bond to the first species and thus may also be self-limiting. Once all of the finite number of sites on a substrate are bonded with a first species, the first species will often not bond to other of the first species already bonded with the substrate.
  • process conditions can be varied in ALD to promote such bonding and render ALD not self-limiting. Accordingly, ALD may also encompass a species forming other than one monolayer at a time by stacking of a species, forming a layer more than one atom or molecule thick.
  • the described method indicates the “substantial absence” of the second precursor (i.e., second species) during chemisorption of the first precursor since insignificant amounts of the second precursor might be present. According to the knowledge and the preferences of those with ordinary skill in the art, a determination can be made as to the tolerable amount of second precursor and process conditions selected to achieve the substantial absence of the second precursor.
  • each cycle depositing a very thin metal-containing layer (usually less than one monolayer such that the growth rate on average is from about 0.2 to about 3.0 Angstroms per cycle), until a layer of the desired thickness is built up on the substrate of interest.
  • a very thin metal-containing layer usually less than one monolayer such that the growth rate on average is from about 0.2 to about 3.0 Angstroms per cycle
  • the layer deposition is accomplished by alternately introducing (i.e., by pulsing) silicon precursor compound(s) and zirconium/hafnium precursor compound(s) (i.e., tetraalkoxysilane(s) or zirconium/hafnium dialkylamide(s)) into the deposition chamber containing a semiconductor substrate, chemisorbing the precursor compound(s) as a monolayer onto the substrate surfaces, and then reacting the chemisorbed precursor compound(s) with the other co-reactive precursor compound(s).
  • the pulse duration of precursor compound(s) and inert carrier gas(es) is sufficient to saturate the substrate surface. Typically, the pulse duration is from about 0.1 to about 5 seconds, preferably from about 0.2 to about 1 second.
  • ALD is predominantly chemically driven. Accordingly, ALD is often conducted at much lower temperatures than CVD.
  • the substrate temperature is maintained at a temperature sufficiently low to maintain intact bonds between the chemisorbed precursor compound(s) and the underlying substrate surface and to prevent decomposition of the precursor compound(s).
  • the temperature is also sufficiently high to avoid condensation of the precursor compounds(s).
  • the substrate temperature is kept within the range of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes.
  • the first species or precursor compound is chemisorbed at this temperature.
  • Surface reaction of the second species or precursor compound can occur at substantially the same temperature as chemisorption of the first precursor or, less preferably, at a substantially different temperature.
  • some small variation in temperature can occur but still be a substantially same temperature by providing a reaction rate statistically the same as would occur at the temperature of the first precursor chemisorption. Chemisorption and subsequent reactions could instead occur at exactly the same temperature.
  • the pressure inside the deposition chamber is kept at about 10 ⁇ 4 torr to about 1 torr, preferably about 10 ⁇ 4 torr to about 0.1 torr.
  • the deposition chamber is purged with an inert carrier gas after the vaporized precursor compound(s) have been introduced into the chamber and/or reacted for each cycle.
  • the inert carrier gas(es) can also be introduced with the vaporized precursor compound(s) during each cycle.
  • the reactivity of a precursor compound can significantly influence the process parameters in ALD.
  • a highly reactive compound may react in the gas phase generating particulates, depositing prematurely on undesired surfaces, producing poor films, and/or yielding poor step coverage or otherwise yielding non-uniform deposition.
  • a highly reactive compound might be considered not suitable for CVD.
  • some compounds not suitable for CVD are superior ALD precursors.
  • the first precursor is gas phase reactive with the second precursor, such a combination of compounds might not be suitable for CVD, although they could be used in ALD.
  • concern might also exist regarding sticking coefficients and surface mobility, as known to those skilled in the art, when using highly gas-phase reactive precursors, however, little or no such concern would exist in the ALD context.
  • an annealing process can be optionally performed in situ in the deposition chamber in a nitrogen atmosphere or oxidizing atmosphere.
  • the annealing temperature is within the range of about 400° C. to about 1000° C.
  • the annealing temperature is more preferably about 400° C. to about 750° C., and most preferably about 600° C. to about 700° C.
  • the annealing operation is preferably performed for a time period of about 0.5 minute to about 60 minutes and more preferably for a time period of about 1 minute to about 10 minutes.
  • furnace anneals and rapid thermal annealing may be used, and further, such anneals may be performed in one or more annealing steps.
  • the use of the complexes and methods of forming films of the present invention are beneficial for a wide variety of thin film applications in semiconductor structures, particularly those using high dielectric materials.
  • such applications include capacitors such as planar cells, trench cells (e.g., double sidewall trench capacitors), stacked cells (e.g., crown, V-cell, delta cell, multi-fingered, or cylindrical container stacked capacitors), as well as field effect transistor devices.
  • a metal-containing layer formed according to the present invention would be useful is as a gate dielectric with either silicon-based gates or novel metal gates.
  • a patterned gate structure 66 is shown over substrate 50 and gate dielectric 54. This includes a gate polysilicon film 56, a barrier film 58 (e.g., nitrogen-doped polysilicon), a metallic layer 60, insulating cap 62 (e.g., silicon dioxide or silicon nitride), and sidewall spacers 68 (e.g., silicon dioxide or silicon nitride).
  • FIG. 2 A system that can be used to perform vapor deposition processes (chemical vapor deposition or atomic layer deposition) of the present invention is shown in FIG. 2.
  • the system includes an enclosed vapor deposition chamber 110 , in which a vacuum may be created using turbo pump 112 and backing pump 114 .
  • One or more substrates 116 e.g., semiconductor substrates or substrate assemblies
  • a constant nominal temperature is established for substrate 116 , which can vary depending on the process used.
  • Substrate 116 may be heated, for example, by an electrical resistance heater 118 on which substrate 116 is mounted. Other known methods of heating the substrate may also be utilized in this process, precursor compounds 160 (e.g., a silicon precursor compound) are stored in vessels 162 .
  • precursor compounds 160 e.g., a silicon precursor compound
  • the precursor compounds are vaporized and separately fed along lines 164 and 166 to the deposition chamber 110 using, for example, an inert carrier gas 168 .
  • a reaction gas 170 may be supplied along line 172 as needed.
  • a purge gas 174 which is often the same as the inert carrier gas 168 , may be supplied along line 176 as needed.
  • a series of valves 180 - 185 are opened and closed as required.
  • a dry argon-purged flask equipped with stirrer and thermometer was charged with 100 mL of anhydrous isopropyl alcohol (having a water content of 230 ppm as determined by Karl Fischer Analysis). Then 25 mL of silicon tetrachloride (available from Sigma-Aldrich Co., Milwaukee, Wis.) was added slowly to the alcohol at ambient temperature over a 25 minute period by syringe. During the reaction the contents of the flask formed an emulsion and exothermed to 35° C.
  • precursor compounds hafnium dimethylamide, Hf(N(CH 3 ) 2 ]) 4 (Strem Chemicals, Newbury Port, Mass.), and tetraisopropoxysilane, Si[OCH(CH 3 ) 2 ] 4 , were alternately pulsed for 200 cycles into a deposition chamber containing a silicon substrate with a top layer composed of 1500 Angstroms of p-doped polysilicon.
  • a 350 ⁇ layer of (Hf,Si)O 2 was deposited, containing 25 atom % Hf, 10 atom % Si and oxygen.
  • X-ray diffraction analysis (XRD) showed the layer to be amorphous, as measured immediately after the ALD process was completed and also after a 750° C./1 minute anneal in oxygen.

Abstract

A method of forming (and apparatus for forming) a zirconium and/or hafnium-containing layer on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process and one or more silicon precursor compounds of the formula Si(OR)4 with one or more zirconium and/or hafnium precursor compounds of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium.

Description

    FIELD OF THE INVENTION
  • This invention relates to methods of forming a layer on a substrate using one or more silicon precursor compounds and one or more zirconium and/or hafnium precursor compounds during a vapor deposition process. The precursor compounds and methods are particularly suitable for the formation of a metal silicate dielectric layer, particularly a zirconium and/or hafnium silicate dielectric layer, onto a semiconductor substrate or substrate assembly. [0001]
  • BACKGROUND OF THE INVENTION
  • Capacitors are the basic energy storage devices in random access memory devices, such as dynamic random access memory (DRAM) devices and static random access memory (SRAM) devices. They consist of two conductors, such as parallel metal or polysilicon plates, which act as the electrodes (i.e., the storage node electrode and the cell plate capacitor electrode), insulated from each other by a dielectric material. [0002]
  • The continuous shrinkage of microelectronic devices such as capacitors and gates over the years has led to a situation where the materials traditionally used in integrated circuit technology are approaching their performance limits. Silicon (i.e., doped polysilicon) has generally been the substrate of choice, and silicon dioxide (SiO[0003] 2) has frequently been used as the dielectric material with silicon to construct microelectronic devices. However, when the SiO2 layer is thinned to 1 nm (i.e., a thickness of only 4 or 5 molecules), as is desired in the newest micro devices, the layer no longer effectively performs as an insulator due to the tunneling current running through it.
  • Thus, new high dielectric constant materials are needed to extend device performance. Such materials need to demonstrate high permittivity, barrier height to prevent tunneling, stability in direct contact with silicon, and good interface quality and film morphology. Furthermore, such materials must be compatible with the gate material, semiconductor processing temperatures, and operating conditions. [0004]
  • High quality dielectric materials based on ZrO[0005] 2 and HfO2 have high dielectric constants, so are being investigated as replacements in memories for SiO2 where very thin layers are required. These high crystalline multivalent metal oxide layers are thermodynamically stable in the presence of silicon, minimizing silicon oxidation upon thermal annealing, and appear to be compatible with metal gate electrodes.
  • This discovery has led to an effort to investigate various deposition processes to form layers, especially dielectric layers, based on zirconium and hafnium silicates. Such deposition processes have included vapor deposition, metal thermal oxidation, and high vacuum sputtering. Vapor deposition processes, which includes chemical vapor deposition (CVD) and atomic layer deposition (ALD), are very appealing as they provide for excellent control of dielectric uniformity and thickness on a substrate. But vapor deposition processes typically involve the co-reaction of reactive metal precursor compounds with an oxygen source such as oxygen or water, either of which can cause formation of an undesirable SiO[0006] 2 interfacial layer. Thus, an effort is underway to develop water- and oxygen-free vapor deposition processes.
  • Ritala et al., “Atomic Layer Deposition of Oxide Thin Films with Metal Alkoxides as Oxygen Sources,” SCIENCE, 288:319-321 (2000) describe a chemical approach to ALD of thin oxide films. In this approach, a metal alkoxide, serving as both a metal source and an oxygen source, reacts with another metal compound such as a metal chloride or metal alkyl to deposit a metal oxide on silicon without creating an interfacial silicon oxide layer. However, undesirable chlorine residues can also be formed. Furthermore, zirconium and hafnium alkyls are generally unstable and not commercially available. They would also likely leave carbon in the resultant films. [0007]
  • Despite these continual improvements in semiconductor dielectric layers, there remains a need for a vapor deposition process utilizing sufficiently volatile metal precursor compounds that can form a thin, high quality zirconium silicate and/or hafnium silicate (or SiO[0008] 2 stabilized zirconium oxide and/or hafnium oxide) layer, particularly on a semiconductor substrate using a vapor deposition process.
  • SUMMARY OF THE INVENTION
  • This invention provides methods of vapor depositing a metal-containing layer on a substrate. These vapor deposition methods involve forming the layer by combining one or more zirconium and/or hafnium diorganoamide (e.g., dialkylamide) precursor compounds with one or more tetraorganooxysilane (e.g., tetraalkoxysilane) precursor compounds. Significantly, the methods of the present invention do not require the use of water or a strong oxidizer, thus reducing (and typically avoiding) the problem of producing an undesirable interfacial oxide layer between the desired metal-containing layer and the substrate. Typically and preferably, the layer is a dielectric layer that is primarily composed of zirconium silicate, hafnium silicate, zirconium-hafnium silicate, or related SiO[0009] 2-stabilized zirconium oxide and/or SiO2-stabilized hafnium oxide.
  • The methods of the present invention involve forming a metal-containing layer on a substrate. Such methods include: providing a substrate (preferably a semiconductor substrate or substrate assembly such as a silicon wafer); providing at least one silicon precursor compound having the formula Si(OR)[0010] 4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium; and contacting the precursor compounds to form a metal-containing layer (preferably a dielectric layer) on one or more surfaces of the substrate using a vapor deposition process.
  • Preferably, a method of the present invention involves: providing a substrate (preferably a semiconductor substrate or substrate assembly such as a silicon wafer) within a deposition chamber; providing at least one silicon precursor compound having the formula Si(OR)[0011] 4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium; vaporizing the precursor compounds to form vaporized precursor compounds; and directing the vaporized precursor compounds toward the substrate to form a metal-containing layer (preferably a dielectric layer) on one or more surfaces of the substrate.
  • Another preferred method involves manufacturing a memory device structure, wherein the method includes: providing a substrate (preferably a semiconductor substrate or substrate assembly such as a silicon wafer) having a first electrode thereon; providing at least one silicon precursor compound having the formula Si(OR)[0012] 4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium; vaporizing the precursor compounds to form vaporized precursor compounds; directing the vaporized precursor compounds to the substrate to form a layer (preferably a dielectric layer) on the first electrode of the substrate; and forming a second electrode on the dielectric layer. Preferably, the dielectric forms a capacitor layer, although a gate is also possible.
  • The methods of the present invention can utilize a chemical vapor deposition (CVD) process, which can be pulsed, or an atomic layer deposition (ALD) process (a self-limiting vapor deposition process that includes a plurality of deposition cycles, typically with purging between the cycles). Preferably, the methods of the present invention use ALD. For certain ALD processes, the precursor compounds can be alternately introduced into a deposition chamber during each deposition cycle. [0013]
  • For certain embodiments, the metal-containing layer can include metal silicates (e.g., zirconium silicate, hafnium silicate, zirconium-hafnium silicate), metal oxides, silicon oxides, and combinations thereof. For certain embodiments, the metal-containing layer can include a solid solution that includes, for example, zirconium oxide, hafnium oxide, and silicon oxides. [0014]
  • The present invention also provides a vapor deposition apparatus that includes: a vapor deposition chamber having a substrate positioned therein; one or more vessels comprising one or more silicon precursor compounds having the formula Si(OR)[0015] 4; and one or more vessels comprising one or more one precursor compounds of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium.
  • “Semiconductor substrate” or “substrate assembly” as used herein refers to a semiconductor substrate such as a base semiconductor layer or a semiconductor substrate having one or more layers, structures, or regions formed thereon. A base semiconductor layer is typically the lowest layer of silicon material on a wafer or a silicon layer deposited on another material, such as silicon on sapphire. When reference is made to a substrate assembly, various process steps may have been previously used to form or define regions, junctions, various structures or features, and openings such as capacitor plates or barriers for capacitors. [0016]
  • “Layer” as used herein refers to any metal-containing layer that can be formed on a substrate from the precursor compounds of this invention using a vapor deposition process. The term “layer” is meant to include layers specific to the semiconductor industry, such as “barrier layer,” “dielectric layer,” and “conductive layer.” (The term “layer” is synonymous with the term “film” frequently used in the semiconductor industry.) The term “layer” is also meant to include layers found in technology outside of semiconductor technology, such as coatings on glass. [0017]
  • “Dielectric layer” as used herein refers to a layer (or film) having a high dielectric constant containing primarily zirconium silicate and/or hafnium silicate (or SiO[0018] 2 stabilized zirconium oxide and/or hafnium oxide). Zirconium and hafnium silicates can be depicted by the simple condensed formulas ZrSiO4 and HfSiO4, respectively, but for this invention the terms “zirconium silicate” and “hafnium silicate” are meant to also include other stoichiometric reaction products of SiO2, ZrO2 and HfO2 having the general formulas ZraSibOc and HfaSibOc, respectively, wherein c=2 (a+b), which is meant to also include SiO2 stabilized zirconium oxide and/or hafnium oxide. Metal-containing layers containing mixed zirconium/hafnium silicates of the general formula ZraHfbSicOd, wherein d=2 (a+b+c), are also contemplated to be included within the scope of this invention.
  • “Precursor compound” as used herein refers to a zirconium, hafnium, or silicon compound, for example, capable of forming, either alone or with other precursor compounds, a metal-containing layer on a substrate in a vapor deposition process. The zirconium, hafnium, and silicon precursor compounds are all preferably liquid at the vaporization temperature, and more preferably at room temperature. Preferably, the precursor compounds are organometallic compounds that form volatile by-products upon reacting. [0019]
  • “Deposition process” and “vapor deposition process” as used herein refer to a process in which a metal-containing layer is formed on one or more surfaces of a substrate (e.g., a doped polysilicon wafer) from vaporized precursor compound(s). Specifically, one or more metal precursor compounds are vaporized and directed to one or more surfaces of a heated substrate (e.g., semiconductor substrate or substrate assembly) placed in a deposition chamber. These precursor compounds form (e.g., by reacting or decomposing) a non-volatile, thin, uniform, metal-containing layer on the surface(s) of the substrate. For the purposes of this invention, the term “vapor deposition process” is meant to include both chemical vapor deposition processes (including pulsed chemical vapor deposition processes) and atomic layer deposition processes. [0020]
  • “Chemical vapor deposition” (CVD) as used herein refers to a vapor deposition process wherein the desired layer is deposited on the substrate from vaporized metal precursor compounds (and any reaction gases used) within a deposition chamber with no effort made to separate the reaction components. In contrast to a “simple” CVD process that involves the substantial simultaneous use of the precursor compounds and any reaction gases, “pulsed” CVD alternately pulses these materials into the deposition chamber, but does not rigorously avoid intermixing of the precursor and reaction gas streams, as is typically done in atomic layer deposition or ALD (discussed in greater detail below). [0021]
  • “Atomic layer deposition” (ALD) as used herein refers to a vapor deposition process in which numerous consecutive deposition cycles are conducted in a deposition chamber. Typically, during each cycle the metal precursor is chemisorbed to the substrate surface; excess precursor is purged out; a subsequent precursor and/or reaction gas is introduced to react with the chemisorbed layer; and excess reaction gas (if used) and by-products are removed. As compared to the one cycle chemical vapor deposition (CVD) process, the longer duration multi-cycle ALD process allows for improved control of layer thickness by self-limiting layer growth and minimizing detrimental gas phase reactions by separation of the reaction components. The term “atomic layer deposition” as used herein is also meant to include the related terms “atomic layer epitaxy” (ALE), molecular beam epitaxy (MBE), gas source MBE, organometallic MBE, and chemical beam epitaxy when performed with alternating pulses of precursor compound(s), reaction gas(es), and purge (i.e., inert carrier) gas. [0022]
  • “Chemisorption” as used herein refers to the chemical adsorption of vaporized reactive precursor compounds on the surface of a substrate. The adsorbed species are irreversibly bound to the substrate surface as a result of relatively strong binding forces characterized by high adsorption energies (e.g., >30 kcal/mol), comparable in strength to ordinary chemical bonds. The chemisorbed species typically form a mononolayer on the substrate surface. (See “The Condensed Chemical Dictionary”, 10th edition, revised by G. G. Hawley, published by Van Nostrand Reinhold Co., New York, 225 (1981)). The technique of ALD is based on the principle of the formation of a saturated monolayer of reactive precursor molecules by chemisorption. In ALD one or more appropriate precursor compounds or reaction gases are alternately introduced (e.g., pulsed) into a deposition chamber and chemisorbed onto the surfaces of a substrate. Each sequential introduction of a reactive compound (e.g., one or more precursor compounds and one or more reaction gases) is typically separated by an inert carrier gas purge. Each precursor compound co-reaction adds a new atomic layer to previously deposited layers to form a cumulative solid layer. The cycle is repeated, typically for several hundred times, to gradually form the desired layer thickness. It should be understood that ALD can alternately utilize one precursor compound, which is chemisorbed, and one reaction gas, which reacts with the chemisorbed species.[0023]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a transistor made according to the present invention. [0024]
  • FIG. 2 is a perspective view of a vapor deposition coating system suitable for use in the method of the present invention.[0025]
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS OF THE INVENTION
  • The present invention provides methods of forming a layer (preferably a zirconium and/or hafnium silicate layer) on a substrate (preferably a semiconductor substrate or substrate assembly) using one or more silicon precursor compounds of the formula Si(OR)[0026] 4 and one or more zirconium and/or hafnium precursor compounds of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium.
  • The layers or films formed can be in the form of metal-containing films, which is used herein to refer to zirconium silicate, hafnium silicate, or zirconium-hafnium silicate, as well as solid solutions of oxides of zirconium, hafnium, and silicon (e.g., SiO[0027] 2 stabilized zirconium oxide and/or hafnium oxide). Various combinations of silicates and oxides arc also possible.
  • The substrate on which the metal-containing layer is formed is preferably a semiconductor substrate or substrate assembly. Any suitable semiconductor material is contemplated, such as for example, conductively doped polysilicon (for this invention simply referred to as “silicon”). A substrate assembly may also contain a layer that includes platinum, iridium, rhodium, ruthenium, ruthenium oxide, titanium nitride, tantalum nitride, tantalum-silicon-nitride, silicon dioxide, aluminum, gallium arsenide, glass, etc., and other existing or to-be-developed materials used in semiconductor constructions, such as dynamic random access memory (DRAM) devices and static random access memory (SRAM) devices, for example. [0028]
  • Substrates other than semiconductor substrates or substrate assemblies can be used in methods of the present invention. These include, for example, fibers, wires, etc. If the substrate is a semiconductor substrate or substrate assembly, the layers can be formed directly on the lowest semiconductor surface of the substrate, or they can be formed on any of a variety of the layers (i.e., surfaces) as in a patterned wafer, for example. [0029]
  • The precursor compounds useful in this invention are of the formulas Si(OR)[0030] 4 and M(NR′R″)4, wherein R, R′, and R″ is each independently an organic group and M is zirconium or hafnium.
  • As used herein, the term “organic group” is used for the purpose of this invention to mean a hydrocarbon group that is classified as an aliphatic group, cyclic group, or combination of aliphatic and cyclic groups (e.g., alkaryl and aralkyl groups). In the context of the present invention, suitable organic groups for precursor compounds of this invention are those that do not interfere with the formation of a metal-containing layer using vapor deposition techniques. In the context of the present invention, the term “aliphatic group” means a saturated or unsaturated linear or branched hydrocarbon group. This term is used to encompass alkyl, alkenyl, and alkynyl groups, for example. The term “alkyl group” means a saturated linear or branched monovalent hydrocarbon group including, for example, methyl, ethyl, n-propyl, isopropyl, t-butyl, amyl, heptyl, and the like. The term “alkenyl group” means an unsaturated, linear or branched monovalent hydrocarbon group with one or more olefinically unsaturated groups (i.e., carbon-carbon double bonds), such as a vinyl group. The term “alkynyl group” means an unsaturated, linear or branched monovalent hydrocarbon group with one or more carbon-carbon triple bonds. The term “cyclic group” means a closed ring hydrocarbon group that is classified as an alicyclic group, aromatic group, or heterocyclic group. The term “alicyclic group” means a cyclic hydrocarbon group having properties resembling those of aliphatic groups. The term “aromatic group” or “aryl group” means a mono- or polynuclear aromatic hydrocarbon group. The term “heterocyclic group” means a closed ring hydrocarbon in which one or more of the atoms in the ring is an element other than carbon (e.g., nitrogen, oxygen, sulfur, etc.). [0031]
  • As a means of simplifying the discussion and the recitation of certain terminology used throughout this application, the terms “group” and “moiety” are used to differentiate between chemical species that allow for substitution or that may be substituted and those that do not so allow for substitution or may not be so substituted. Thus, when the term “group” is used to describe a chemical substituent, the described chemical material includes the unsubstituted group and that group with nonperoxidic 0, N, S, Si, or F atoms, for example, in the chain as well as carbonyl groups or other conventional substituents. Where the term “moiety” is used to describe a chemical compound or substituent, only an unsubstituted chemical material is intended to be included. For example, the phrase “alkyl group” is intended to include not only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like, but also alkyl substituents bearing further substituents known in the art, such as hydroxy, alkoxy, alkylsulfonyl, halogen atoms, cyano, nitro, amino, carboxyl, etc. Thus, “alkyl group” includes ether groups, haloalkyls, nitroalkyls, carboxyalkyls, hydroxyalkyls, sulfoalkyls, etc. On the other hand, the phrase “alkyl moiety” is limited to the inclusion of only pure open chain saturated hydrocarbon alkyl substituents, such as methyl, ethyl, propyl, t-butyl, and the like. [0032]
  • For all the precursor compounds of this invention, R is an organic group (preferably, an organic moiety), preferably a (C1-C10)alkyl group (preferably, an alkyl moiety), more preferably a (C1-C8)alkyl group (preferably, an alkyl moiety), even more preferably a (C1-C6)alkyl group (preferably, an alkyl moiety), and most preferably a “lower” (i.e., C1-C4) alkyl group (preferably, an alkyl moiety). [0033]
  • For the silicon precursor compounds of this invention having the formula Si(OR)[0034] 4, R is preferably a (C1-C8)alkyl group (preferably, an alkyl moiety), more preferably a (C1-C6)alkyl group (preferably, an alkyl moiety), and most preferably a “lower” (i.e., C1-C4) alkyl group (preferably, an alkyl moiety—methyl, ethyl, n-propyl, isopropyl, or butyl). Preferably, all of the R groups are the same. A compound represented by the formula Si(OR)4 has two commonly used equivalent names: either tetraorganoxysilane (e.g., tetraalkoxysilane) or tetraorgano orthosilicate (e.g., tetraalkyl orthosilicate). Examples of suitable silicon precursor compounds include tetramethyl orthosilicate, tetraethyl orthosilicate, tetrapropyl orthosilicate, tetrabutyl orthosilicate, tetrakis(2-butoxyethyl) orthosilicate, and tetraallyl orthosilicate, all available from Sigma-Aldrich Chemical Co., Milwaukee, Wis.
  • The silicon precursor compounds can also be prepared by reacting one mole of tetrachlorosilane with four moles of the alcohol needed to provide the desired R groups. For example, tetraisopropoxysilane, a preferred silicon precursor compound, can be prepared by reacting tetrachlorosilane with isopropyl alcohol followed by distillation of the crude reaction product. Preferably, the silicon precursor compound is tetraisopropoxysilane or tetraethoxysilane. [0035]
  • For the zirconium precursor compounds of the formula Zr(NR′R″)[0036] 4, R′ and R″ are preferably both methyl, both ethyl, or one each of methyl and ethyl. Examples of suitable zirconium precursor compounds include tetrakis(dimethylamino) zirconium, tetrakis(diethylamino) zirconium and tetrakis(ethylmethylamino) zirconium, all available from Sigma-Aldrich Chemical Co.
  • For the hafnium precursor compounds of the formula Hf(NR′R″)[0037] 4, R′, and R″ are preferably both methyl, both ethyl, or one each of methyl and ethyl. Examples of suitable hafnium precursor compounds include tetrakis(dimethylamino) hafnium and tetrakis(ethylmethylamino) hafnium, the latter available from Sigma-Aldrich Chemical Co.
  • The zirconium and hafnium dialkylamide compounds offer the advantages (compared to other zirconium and hafnium precursor compounds) of high reactivity with surface groups, high volatility, volatile by-products, and optimized reactivity with tetraalkoxysilanes, for example. [0038]
  • The zirconium and hafnium dialkylamide compounds can be prepared using standard techniques. For example, zirconium and hafnium chlorides can be reacted with lithium dialkylamides. Alternatively, such compounds are commercially available. For example, tetrakis(dimethylamino) zirconium and tetrakis(dimentylamino)hafnium are available from Strem Chemical Co. [0039]
  • Various precursor compounds can be used in various combinations, optionally with one or more organic solvents (particularly for CVD processes), to form a precursor composition. The precursor compounds may be liquids or solids at room temperature (preferably, they are liquids at the vaporization temperature). Typically, they are liquids sufficiently volatile to be employed using known vapor deposition techniques. However, as solids they may also be sufficiently volatile that they can be vaporized or sublimed from the solid state using known vapor deposition techniques. If they are less volatile solids, they are preferably sufficiently soluble in an organic solvent or have melting points below their decomposition temperatures such that they can be used in flash vaporization, bubbling, microdroplet formation techniques, etc. Herein, vaporized precursor compounds may be used either alone or optionally with vaporized molecules of other precursor compounds or optionally with vaporized solvent molecules, if used. As used herein, “liquid” refers to a solution or a neat liquid (a liquid at room temperature or a solid at room temperature that melts at an elevated temperature). As used herein, “solution” does not require complete solubility of the solid but may allow for some undissolved solid, as long as there is a sufficient amount of the solid delivered by the organic solvent into the vapor phase for chemical vapor deposition processing. If solvent dilution is used in deposition, the total molar concentration of solvent vapor generated may also be considered as a inert carrier gas. [0040]
  • The solvents that are suitable for this application (particularly for a CVD process) can be one or more of the following: aliphatic hydrocarbons or unsaturated hydrocarbons (C3-C20, and preferably C5-C10, cyclic, branched, or linear), aromatic hydrocarbons (C5-C20, and preferably C5-C10), halogenated hydrocarbons, silylated hydrocarbons such as alkylsilanes, alkylsilicates, ethers, polyethers, thioethers, esters, lactones, ammonia, amides, amines (aliphatic or aromatic, primary, secondary, or tertiary), polyamines, nitrites, cyanates, isocyanates, thiocyanates, silicone oils, alcohols, or compounds containing combinations of any of the above or mixtures of one or more of the above. The compounds are also generally compatible with each other, so that mixtures of variable quantities of the precursor compounds will not interact to significantly change their physical properties. [0041]
  • For this invention, preferably no reaction gas is employed to minimize oxidation of the substrate (typically silicon) to its oxide (typically silicon dioxide); instead, the orthosilicate precursor compound provides the source of both the oxygen and the silicon to form the desired metal silicate layer. [0042]
  • The precursor compounds can be vaporized in the presence of an inert carrier gas if desired. Additionally, an inert carrier gas can be used in purging steps in an ALD process. The inert carrier gas is typically selected from the group consisting of nitrogen, helium, argon, and combinations thereof. In the context of the present invention, an inert carrier gas is one that does not interfere with the formation of the metal-containing layer. Whether done in the presence of a inert carrier gas or not, the vaporization is preferably done in the absence of oxygen to avoid oxygen contamination of the layer (e.g., oxidation of silicon to form silicon dioxide). [0043]
  • The deposition process for this invention is a vapor deposition process. Vapor deposition processes are generally favored in the semiconductor industry due to the process capability to quickly provide highly conformal layers even within deep contacts and other openings. Chemical vapor deposition (CVD) and atomic layer deposition (ALD) are two vapor deposition processes often employed to form thin, continuous, uniform, metal-containing (preferably dielectric) layers onto semiconductor substrates. Using either vapor deposition process, typically one or more precursor compounds are vaporized in a deposition chamber and optionally combined with one or more reaction gases to form a metal-containing layer onto a substrate. It will be readily apparent to one skilled in the art that the vapor deposition process may be enhanced by employing various related techniques such as plasma assistance, photo assistance, laser assistance, as well as other techniques. [0044]
  • The final layer (preferably, a dielectric layer) formed preferably has a thickness in the range of about 10 A to about 500 Å. More preferably, the thickness of the metal-containing layer is in the range of about 30 Å to about 80 Å. [0045]
  • In most vapor deposition processes, the precursor compound(s) are typically reacted with an oxidizing or reducing reaction gas (e.g., water vapor, oxygen or ammonia) at elevated temperatures to form the metal-containing layer. However, in the practice of this invention, no such reaction gas is needed as the silicon precursor compound(s) provide the source of oxygen needed in the vapor deposition process when reacting with the zirconium and/or hafnium precursor compound(s) to form the zirconium and/or hafnium silicate layer (i.e., no oxidizing or hydrolyzing coreactant is needed). However, oxidizing gases, such as O[0046] 2, O3, H2O, and H2O2, can be used if desired.
  • Chemical vapor deposition (CVD) has been extensively used for the preparation of metal-containing layers, such as dielectric layers, in semiconductor processing because of its ability to provide highly conformal and high quality dielectric layers at relatively fast processing times. The desired precursor compounds are vaporized and then introduced into a deposition chamber containing a heated substrate with optional reaction gases and/or inert carrier gases. In a typical CVD process, vaporized precursors are contacted with reaction gas(es) at the substrate surface to form a layer (e.g., dielectric layer). The single deposition cycle is allowed to continue until the desired thickness of the layer is achieved. [0047]
  • Typical CVD processes generally employ precursor compounds in vaporization chambers that are separated from the process chamber wherein the deposition surface or wafer is located. For example, liquid precursor compounds are typically placed in bubblers and heated to a temperature at which they vaporize, and the vaporized liquid precursor compound is then transported by an inert carrier gas passing over the bubbler or through the liquid precursor compound. The vapors are then swept through a gas line to the deposition chamber for depositing a layer on substrate surface(s) therein. Many techniques have been developed to precisely control this process. For example, the amount of precursor material transported to the deposition chamber can be precisely controlled by the temperature of the reservoir containing the precursor compound and by the flow of an inert carrier gas bubbled through or passed over the reservoir. [0048]
  • Preferred embodiments of the precursor compounds described herein are particularly suitable for chemical vapor deposition (CVD). The deposition temperature at the substrate surface is preferably held at a temperature in a range of about 100° C. to about 600° C., more preferably in the range of about 200° C. to about 500° C. The deposition chamber pressure is preferably maintained at a deposition pressure of about 0.1 torr to about 10 torr. The partial pressure of precursor compounds in the inert carrier gas is preferably about 0.001 torr to about 10 torr. [0049]
  • Several modifications of the CVD process and chambers are possible, for example, using atmospheric pressure chemical vapor deposition, low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD), hot wall or cold wall reactors or any other chemical vapor deposition technique. Furthermore, pulsed CVD can be used, which is similar to ALD (discussed in greater detail below) but does not rigorously avoid intermixing of percursor and reactant gas streams. Also, for pulsed CVD, the deposition thickness is dependent on the exposure time, as opposed to ALD, which is self-limiting (discussed in greater detail below). [0050]
  • A typical CVD process may be carried out in a chemical vapor deposition reactor, such as a deposition chamber available under the trade designation of 7000 from Genus, Inc. (Sunnyvale, Calif.), a deposition chamber available under the trade designation of 5000 from Applied Materials, Inc. (Santa Clara, Calif.), or a deposition chamber available under the trade designation of Prism from Novelus, Inc. (San Jose, Calif.). However, any deposition chamber suitable for performing CVD may be used. [0051]
  • Alternatively, and preferably, the vapor deposition process employed in the methods of the present invention is a multi-cycle ALD process. Such a process is advantageous (particularly over a CVD process) in that in provides for optimum control of atomic-level thickness and uniformity to the deposited layer (e.g., dielectric layer) and to expose the metal precursor compounds to lower volatilization and reaction temperatures to minimize degradation. Typically, in an ALD process, each reactant is pulsed sequentially onto a suitable substrate, typically at deposition temperatures of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes. Under such conditions the film growth is typically self-limiting (i.e., when the reactive sites on a surface are used up in an ALD process, the deposition generally stops), insuring not only excellent conformality but also good large area uniformity plus simple and accurate thickness control. Due to alternate dosing of the precursor compounds and/or reaction gases, detrimental vapor-phase reactions are inherently eliminated, in contrast to the CVD process that is carried out by continuous coreaction of the precursors and/or reaction gases. (See Vehkamaki et al, “Growth of SrTiO[0052] 3 and BaTiO3 Thin Films by Atomic Layer Deposition,” Electrochemical and Solid-State Letters, 2(10):504-506 (1999)).
  • A typical ALD process includes exposing an initial substrate to a first chemical species (e.g., a silicon precursor compound) to accomplish chemisorption of the species onto the substrate. Theoretically, the chemisorption forms a monolayer that is uniformly one atom or molecule thick on the entire exposed initial substrate. In other words, a saturated monolayer. Practically, chemisorption might not occur on all portions of the substrate. Nevertheless, such an imperfect monolayer is still a monolayer in the context of the present invention. In many applications, merely a substantially saturated monolayer may be suitable. A substantially saturated monolayer is one that will still yield a deposited layer exhibiting the quality and/or properties desired for such layer. [0053]
  • The first species is purged from over the substrate and a second chemical species (e.g., a different silicon precursor compound or a zirconium or hafnium precursor compound) is provided to react with the first monolayer of the first species. The second species is then purged and the steps are repeated with exposure of the second species monolayer to the first species. In some cases, the two monolayers may be of the same species. As an option, the second species can react with the first species, but not chemisorb additional material thereto. That is, the second species can cleave some portion of the chemi sorbed first species, altering such monolayer without forming another monolayer thereon. Also, a third species or more may be successively chemisorbed (or reacted) and purged just as described for the first and second species. Optionally, the second species (or third or subsequent) can include at least one reaction gas if desired. [0054]
  • Purging may involve a variety of techniques including, but not limited to, contacting the substrate and/or monolayer with a carrier gas and/or lowering pressure to below the deposition pressure to reduce the concentration of a species contacting the substrate and/or chemisorbed species. Examples of carrier gases include N[0055] 2, Ar, He, etc. Purging may instead include contacting the substrate and/or monolayer with any substance that allows chemisorption by-products to desorb and reduces the concentration of a contacting species preparatory to introducing another species. The contacting species may be reduced to some suitable concentration or partial pressure known to those skilled in the art based on the specifications for the product of a particular deposition process.
  • ALD is often described as a self-limiting process, in that a finite number of sites exist on a substrate to which the first species may form chemical bonds. The second species might only bond to the first species and thus may also be self-limiting. Once all of the finite number of sites on a substrate are bonded with a first species, the first species will often not bond to other of the first species already bonded with the substrate. However, process conditions can be varied in ALD to promote such bonding and render ALD not self-limiting. Accordingly, ALD may also encompass a species forming other than one monolayer at a time by stacking of a species, forming a layer more than one atom or molecule thick. [0056]
  • The described method indicates the “substantial absence” of the second precursor (i.e., second species) during chemisorption of the first precursor since insignificant amounts of the second precursor might be present. According to the knowledge and the preferences of those with ordinary skill in the art, a determination can be made as to the tolerable amount of second precursor and process conditions selected to achieve the substantial absence of the second precursor. [0057]
  • Thus, during the ALD process, numerous consecutive deposition cycles are conducted in the deposition chamber, each cycle depositing a very thin metal-containing layer (usually less than one monolayer such that the growth rate on average is from about 0.2 to about 3.0 Angstroms per cycle), until a layer of the desired thickness is built up on the substrate of interest. The layer deposition is accomplished by alternately introducing (i.e., by pulsing) silicon precursor compound(s) and zirconium/hafnium precursor compound(s) (i.e., tetraalkoxysilane(s) or zirconium/hafnium dialkylamide(s)) into the deposition chamber containing a semiconductor substrate, chemisorbing the precursor compound(s) as a monolayer onto the substrate surfaces, and then reacting the chemisorbed precursor compound(s) with the other co-reactive precursor compound(s). The pulse duration of precursor compound(s) and inert carrier gas(es) is sufficient to saturate the substrate surface. Typically, the pulse duration is from about 0.1 to about 5 seconds, preferably from about 0.2 to about 1 second. [0058]
  • In comparison to the predominantly thermally driven CVD, ALD is predominantly chemically driven. Accordingly, ALD is often conducted at much lower temperatures than CVD. During the ALD process, the substrate temperature is maintained at a temperature sufficiently low to maintain intact bonds between the chemisorbed precursor compound(s) and the underlying substrate surface and to prevent decomposition of the precursor compound(s). The temperature is also sufficiently high to avoid condensation of the precursor compounds(s). Typically the substrate temperature is kept within the range of about 25° C. to about 400° C. (preferably about 150° C. to about 300° C.), which is generally lower than presently used in CVD processes. Thus, the first species or precursor compound is chemisorbed at this temperature. Surface reaction of the second species or precursor compound can occur at substantially the same temperature as chemisorption of the first precursor or, less preferably, at a substantially different temperature. Clearly, some small variation in temperature, as judged by those of ordinary skill, can occur but still be a substantially same temperature by providing a reaction rate statistically the same as would occur at the temperature of the first precursor chemisorption. Chemisorption and subsequent reactions could instead occur at exactly the same temperature. [0059]
  • For a typical ALD process, the pressure inside the deposition chamber is kept at about 10[0060] −4 torr to about 1 torr, preferably about 10−4 torr to about 0.1 torr. Typically, the deposition chamber is purged with an inert carrier gas after the vaporized precursor compound(s) have been introduced into the chamber and/or reacted for each cycle. The inert carrier gas(es) can also be introduced with the vaporized precursor compound(s) during each cycle.
  • The reactivity of a precursor compound can significantly influence the process parameters in ALD. Under typical CVD process conditions, a highly reactive compound may react in the gas phase generating particulates, depositing prematurely on undesired surfaces, producing poor films, and/or yielding poor step coverage or otherwise yielding non-uniform deposition. For at least such reason, a highly reactive compound might be considered not suitable for CVD. However, some compounds not suitable for CVD are superior ALD precursors. For example, if the first precursor is gas phase reactive with the second precursor, such a combination of compounds might not be suitable for CVD, although they could be used in ALD. In the CVD context, concern might also exist regarding sticking coefficients and surface mobility, as known to those skilled in the art, when using highly gas-phase reactive precursors, however, little or no such concern would exist in the ALD context. [0061]
  • After layer formation on the substrate, an annealing process can be optionally performed in situ in the deposition chamber in a nitrogen atmosphere or oxidizing atmosphere. Preferably, the annealing temperature is within the range of about 400° C. to about 1000° C. Particularly after ALD, the annealing temperature is more preferably about 400° C. to about 750° C., and most preferably about 600° C. to about 700° C. The annealing operation is preferably performed for a time period of about 0.5 minute to about 60 minutes and more preferably for a time period of about 1 minute to about 10 minutes. One skilled in the art will recognize that such temperatures and time periods may vary. For example, furnace anneals and rapid thermal annealing may be used, and further, such anneals may be performed in one or more annealing steps. [0062]
  • As stated above, the use of the complexes and methods of forming films of the present invention are beneficial for a wide variety of thin film applications in semiconductor structures, particularly those using high dielectric materials. For example, such applications include capacitors such as planar cells, trench cells (e.g., double sidewall trench capacitors), stacked cells (e.g., crown, V-cell, delta cell, multi-fingered, or cylindrical container stacked capacitors), as well as field effect transistor devices. [0063]
  • A specific example of where a metal-containing layer formed according to the present invention would be useful is as a gate dielectric with either silicon-based gates or novel metal gates. Referring now to FIG. 1, a [0064] patterned gate structure 66 is shown over substrate 50 and gate dielectric 54. This includes a gate polysilicon film 56, a barrier film 58 (e.g., nitrogen-doped polysilicon), a metallic layer 60, insulating cap 62 (e.g., silicon dioxide or silicon nitride), and sidewall spacers 68 (e.g., silicon dioxide or silicon nitride).
  • A system that can be used to perform vapor deposition processes (chemical vapor deposition or atomic layer deposition) of the present invention is shown in FIG. 2. The system includes an enclosed [0065] vapor deposition chamber 110, in which a vacuum may be created using turbo pump 112 and backing pump 114. One or more substrates 116 (e.g., semiconductor substrates or substrate assemblies) are positioned in chamber 110. A constant nominal temperature is established for substrate 116, which can vary depending on the process used. Substrate 116 may be heated, for example, by an electrical resistance heater 118 on which substrate 116 is mounted. Other known methods of heating the substrate may also be utilized in this process, precursor compounds 160 (e.g., a silicon precursor compound) are stored in vessels 162. The precursor compounds are vaporized and separately fed along lines 164 and 166 to the deposition chamber 110 using, for example, an inert carrier gas 168. A reaction gas 170 may be supplied along line 172 as needed. Also, a purge gas 174, which is often the same as the inert carrier gas 168, may be supplied along line 176 as needed. As shown, a series of valves 180-185 are opened and closed as required.
  • The following examples are offered to further illustrate the various specific and preferred embodiments and techniques. It should be understood, however, that many variations and modifications may be made while remaining within the scope of the present invention, so the scope of the invention is not intended to be limited by the examples. Unless specified otherwise, all percentages shown in the examples are percentages by weight. [0066]
  • EXAMPLES Example 1 Synthesis of Tetraisopropoxysilane, Si[OCH(CH3)2]4
  • A dry argon-purged flask equipped with stirrer and thermometer was charged with 100 mL of anhydrous isopropyl alcohol (having a water content of 230 ppm as determined by Karl Fischer Analysis). Then 25 mL of silicon tetrachloride (available from Sigma-Aldrich Co., Milwaukee, Wis.) was added slowly to the alcohol at ambient temperature over a 25 minute period by syringe. During the reaction the contents of the flask formed an emulsion and exothermed to 35° C. [0067]
  • After standing at ambient conditions for 24 hours, the contents of the flask had formed two layers. The lower layer along with some of the upper layer were transferred to a flask connected to a one-piece distillation apparatus. The isopropyl alcohol was removed from the reaction mixture by distilling at 78° C. and atmospheric pressure using an argon purge. During the distillation, by-product hydrogen chloride gas was vented from the system. Following alcohol and HCl removal, the crude reaction product was distilled at 166° C. without the argon purge to recover the purified reaction product, Si[OCH(CH[0068] 3)2]4, whose purity and identification was verified using GCMS analysis.
  • Example 2 Atomic Layer Deposition of (Hf,Si)O2
  • Using an ALD process, precursor compounds hafnium dimethylamide, Hf(N(CH[0069] 3)2])4 (Strem Chemicals, Newbury Port, Mass.), and tetraisopropoxysilane, Si[OCH(CH3)2]4, were alternately pulsed for 200 cycles into a deposition chamber containing a silicon substrate with a top layer composed of 1500 Angstroms of p-doped polysilicon. A 350 Å layer of (Hf,Si)O2 was deposited, containing 25 atom % Hf, 10 atom % Si and oxygen. X-ray diffraction analysis (XRD) showed the layer to be amorphous, as measured immediately after the ALD process was completed and also after a 750° C./1 minute anneal in oxygen.
  • The complete disclosures of the patents, patent documents, and publications cited herein are incorporated by reference in their entirety as if each were individually incorporated. Various modifications and alterations to this invention will become apparent to those skilled in the art without departing from the scope and spirit of this invention. It should be understood that this invention is not intended to be unduly limited by the illustrative embodiments and examples set forth herein and that such examples and embodiments are presented by way of example only with the scope of the invention intended to be limited only by the claims set forth herein as follows. [0070]

Claims (36)

What is claimed is:
1. A method of manufacturing a semiconductor structure, the method comprising:
providing a semiconductor substrate or substrate assembly;
providing at least one silicon precursor compound having the formula Si(OR)4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium; and
contacting the precursor compounds to form a metal-containing layer on one or more surfaces of the semiconductor substrate or substrate assembly using a vapor deposition process.
2. The method of claim 1 wherein the semiconductor substrate or substrate assembly is a silicon wafer.
3. The method of claim 1 wherein the metal-containing layer comprises metal silicates, metal oxides, silicon oxides, and combinations thereof.
4. The method of claim 3 wherein the metal-containing layer comprises zirconium silicate, hafnium silicate, zirconium-hafnium silicate, or combinations thereof.
5. The method of claim 3 wherein the metal-containing layer comprises a solid solution comprising zirconium oxides, hafnium oxides, and silicon oxides.
6. The method of claim 1 wherein the metal-containing layer has a thickness of about 30 Å to about 80 Å.
7. A method of manufacturing a semiconductor structure, the method comprising:
providing a semiconductor substrate or substrate assembly within a deposition chamber;
providing at least one silicon precursor compound having the formula Si(OR)4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium;
vaporizing the precursor compounds to form vaporized precursor compounds; and
directing the vaporized precursor compounds to the semiconductor substrate or substrate assembly to form a metal-containing dielectric layer on one or more surfaces of the semiconductor substrate or substrate assembly.
8. The method of claim 7 wherein the precursor compounds are vaporized in the presence of an inert carrier gas.
9. The method of claim 7 wherein the metal-containing dielectric layer comprises metal silicates, metal oxides, silicon oxides, and combinations thereof.
10. The method of claim 9 wherein the metal-containing dielectric layer comprises zirconium silicate, hafnium silicate, zirconium-hafnium silicate, or combinations thereof.
11. The method of claim 10 wherein the metal-containing dielectric layer comprises a solid solution comprising zirconium oxides, hafnium oxides, and silicon oxides.
12. The method of claim 7 wherein vaporizing and directing the precursor compounds is accomplished using a chemical vapor deposition process.
13. The method of claim 12 wherein the temperature of the semiconductor substrate or substrate assembly is about 100° C. to about 600° C.
14. The method of claim 12 wherein the semiconductor substrate or substrate assembly is in a deposition chamber having a pressure of about 0.1 torr to about 10 torr.
15. The method of claim 7 wherein vaporizing and directing the precursor compounds is accomplished using an atomic layer deposition process comprising a plurality of deposition cycles.
16. The method of claim 15 wherein during the atomic layer deposition process the metal-containing layer is formed by alternately introducing the precursor compounds during each deposition cycle.
17. The method of claim 15 wherein the temperature of the semiconductor substrate or substrate assembly is about 25° C. to about 400° C.
18. The method of claim 15 wherein the semiconductor substrate or substrate assembly is in a deposition chamber having a pressure of about 10−4 torr to about 1 torr.
19. The method of claim 15 further comprising a step of annealing the formed metal-containing layer at a temperature of about 40° C. to about 750° C.
20. A method of forming a metal-containing layer on a substrate, the method comprising:
providing a substrate;
providing at least one silicon precursor compound having the formula Si(OR)4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium; and
contacting the precursor compounds to form a metal-containing layer on the substrate using a vapor deposition process.
21. The method of claim 20 wherein the metal-containing layer comprises metal silicates, metal oxides, silicon oxides, and combinations thereof.
22. The method of claim 20 wherein R, R′, and R″ are each independently a (C1-C8)alkyl moiety.
23. The method of claim 22 wherein R is selected from the group consisting of methyl, ethyl, n-propyl, isopropyl, and butyl, and R′ and R″ are each independently selected from the group consisting of methyl and ethyl.
24. The method of claim 23 wherein the silicon precursor compound is tetraisopropoxysilane or tetraethoxysilane.
25. A method of forming a metal-containing layer on a substrate, the method comprising:
providing a substrate;
providing at least one silicon precursor compound having the formula Si(OR)4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium; and
vaporizing the precursor compounds to form vaporized precursor compounds; and
directing the vaporized precursor compounds to the substrate to form a metal-containing layer on the substrate.
26. The method of claim 25 wherein vaporizing and directing the precursor compounds is accomplished using a chemical vapor deposition process.
27. The method of claim 25 wherein vaporizing and directing the precursor compounds is accomplished using an atomic layer deposition process comprising a plurality of deposition cycles.
28. A method of manufacturing a memory device structure, the method comprising:
providing a substrate having a first electrode thereon;
providing at least one silicon precursor compound having the formula Si(OR)4 and at least one precursor compound of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium;
vaporizing the precursor compounds to form vaporized precursor compounds;
directing the vaporized precursor compounds to the substrate to form a dielectric layer on the first electrode of the substrate; and
forming a second electrode on the dielectric layer.
29. The method of claim 28 wherein the dielectric forms a capacitor layer.
30. The method of claim 28 wherein the dielectric forms a gate.
31. The method of claim 28 wherein the precursor compounds comprise at least one compound of the formula Hf(NR′R″)4 and at least one compound of the formula Zr(NR′R″)4, wherein R, R′, and R″ are each independently an organic group.
32. The method of claim 31 wherein vaporizing and directing the precursor compounds is accomplished using a chemical vapor deposition process.
33. The method of claim 31 wherein vaporizing and directing the precursor compounds is accomplished using an atomic layer deposition process comprising a plurality of deposition cycles.
34. A vapor deposition apparatus comprising:
a vapor deposition chamber having a substrate positioned therein;
one or more vessels comprising one or more silicon precursor compounds having the formula Si(OR)4; and
one or more vessels comprising one or more one precursor compounds of the formula M(NR′R″)4, wherein R, R′, and R″ are each independently an organic group and M is zirconium or hafnium.
35. The apparatus of claim 34 wherein the substrate is a silicon wafer.
36. The apparatus of claim 34 further comprising one or more sources of an inert carrier gas for transferring the precursors to the vapor deposition chamber.
US10/229,779 2002-08-28 2002-08-28 Systems and methods for forming zirconium and/or hafnium-containing layers Expired - Lifetime US7112485B2 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
US10/229,779 US7112485B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming zirconium and/or hafnium-containing layers
KR1020057003574A KR20050042171A (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
EP03754423A EP1532290B1 (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
AU2003272249A AU2003272249A1 (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
DE60325484T DE60325484D1 (en) 2002-08-28 2003-08-27 SYSTEM AND METHOD FOR THE MANUFACTURE OF ZIRCON AND / OR HAFNIUM LAYERS
PCT/US2003/027152 WO2004020691A2 (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
CNA2006101425235A CN1937253A (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
AT03754423T ATE418627T1 (en) 2002-08-28 2003-08-27 SYSTEM AND METHOD FOR THE PRODUCTION OF ZIRCON AND/OR HAFNIUM CONTAINING LAYERS
CNA038245876A CN1688744A (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
KR1020107013410A KR20100072378A (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
JP2004531945A JP2005537645A (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and / or hafnium-containing layers
TW092123651A TWI249587B (en) 2002-08-28 2003-08-27 Systems and methods for forming zirconium and/or hafnium-containing layers
US11/493,967 US9184061B2 (en) 2002-08-28 2006-07-27 Systems and methods for forming zirconium and/or hafnium-containing layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/229,779 US7112485B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming zirconium and/or hafnium-containing layers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/493,967 Division US9184061B2 (en) 2002-08-28 2006-07-27 Systems and methods for forming zirconium and/or hafnium-containing layers

Publications (3)

Publication Number Publication Date
US20040040501A1 true US20040040501A1 (en) 2004-03-04
US20050160981A9 US20050160981A9 (en) 2005-07-28
US7112485B2 US7112485B2 (en) 2006-09-26

Family

ID=31976314

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/229,779 Expired - Lifetime US7112485B2 (en) 2002-08-28 2002-08-28 Systems and methods for forming zirconium and/or hafnium-containing layers
US11/493,967 Active 2027-11-19 US9184061B2 (en) 2002-08-28 2006-07-27 Systems and methods for forming zirconium and/or hafnium-containing layers

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/493,967 Active 2027-11-19 US9184061B2 (en) 2002-08-28 2006-07-27 Systems and methods for forming zirconium and/or hafnium-containing layers

Country Status (10)

Country Link
US (2) US7112485B2 (en)
EP (1) EP1532290B1 (en)
JP (1) JP2005537645A (en)
KR (2) KR20100072378A (en)
CN (2) CN1937253A (en)
AT (1) ATE418627T1 (en)
AU (1) AU2003272249A1 (en)
DE (1) DE60325484D1 (en)
TW (1) TWI249587B (en)
WO (1) WO2004020691A2 (en)

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030232511A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050009371A1 (en) * 2002-06-14 2005-01-13 Metzner Craig R. System and method for forming a gate dielectric
US20050008779A1 (en) * 2002-04-08 2005-01-13 Yang Michael Xi Multiple precursor cyclical depositon system
US6852588B1 (en) * 2004-06-30 2005-02-08 Freescale Semiconductor, Inc. Methods of fabricating semiconductor structures comprising epitaxial Hf3Si2 layers
US20050148127A1 (en) * 2003-12-22 2005-07-07 Samsung Electronics Co., Ltd. Semiconductor device including gate dielectric layer formed of high dielectric alloy and method of fabricating the same
US20050260357A1 (en) * 2004-05-21 2005-11-24 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050271813A1 (en) * 2004-05-12 2005-12-08 Shreyas Kher Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US20060046522A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited lanthanum aluminum oxide dielectric layer
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060141695A1 (en) * 2004-11-23 2006-06-29 Dae-Sik Choi Methods of forming thin layers including zirconium hafnium oxide and methods of forming gate structures, capacitors, and flash memory devices using the same
US20060148180A1 (en) * 2005-01-05 2006-07-06 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060172485A1 (en) * 2002-08-28 2006-08-03 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US20060252211A1 (en) * 2002-07-30 2006-11-09 Micron Technology, Inc. ATOMIC LAYER DEPOSITED NANOLAMINATES OF HfO2/ZrO2 FILMS AS GATE DIELECTRICS
US20060281330A1 (en) * 2005-06-14 2006-12-14 Micron Technology, Inc. Iridium / zirconium oxide structure
US20070042581A1 (en) * 2004-01-21 2007-02-22 Hitachi Kokusal Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US20070049053A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US20070098892A1 (en) * 2005-08-31 2007-05-03 Samsung Electronics Co., Ltd. Method of forming a layer and method of manufacturing a capacitor using the same
US20070134942A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US20070158765A1 (en) * 2006-01-10 2007-07-12 Micron Technology, Inc. Gallium lanthanide oxide films
US20070207624A1 (en) * 2006-03-02 2007-09-06 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070234949A1 (en) * 2006-04-07 2007-10-11 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US20080032465A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. Deposition of ZrAION films
US20080048225A1 (en) * 2006-08-25 2008-02-28 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US20080057690A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US20080054330A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20080124908A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080121962A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Tantalum aluminum oxynitride high-k dielectric and metal gates
US20080124907A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium lanthanide oxynitride films
WO2008098963A2 (en) * 2007-02-13 2008-08-21 University Of Aveiro Method of forming an oxide thin film
US20080220618A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US20080224240A1 (en) * 2005-08-29 2008-09-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS
US20080259524A1 (en) * 2007-04-19 2008-10-23 Stmicroelectronics (Crolles 2) Sas Process for manufacturing a high-stability capacitor and corresponding capacitor
US20080274625A1 (en) * 2002-12-04 2008-11-06 Micron Technology, Inc. METHODS OF FORMING ELECTRONIC DEVICES CONTAINING Zr-Sn-Ti-O FILMS
US20090072292A1 (en) * 2007-09-17 2009-03-19 Infineon Technologies Ag Semiconductor device and method of making semiconductor device
US20090189280A1 (en) * 2008-01-30 2009-07-30 Daniel Pak-Chum Shum Method of Forming a Non Volatile Memory Device
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100062614A1 (en) * 2008-09-08 2010-03-11 Ma Paul F In-situ chamber treatment and deposition process
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20100125128A1 (en) * 2008-11-14 2010-05-20 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US9184061B2 (en) 2002-08-28 2015-11-10 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US9401663B2 (en) 2012-12-21 2016-07-26 Infineon Technologies Austria Ag Power converter circuit with AC output
US9425622B2 (en) 2013-01-08 2016-08-23 Infineon Technologies Austria Ag Power converter circuit with AC output and at least one transformer
US9461474B2 (en) 2012-01-17 2016-10-04 Infineon Technologies Austria Ag Power converter circuit with AC output
US9478989B2 (en) 2012-01-17 2016-10-25 Infineon Technologies Austria Ag Power converter circuit with AC output
US9484746B2 (en) 2012-01-17 2016-11-01 Infineon Technologies Austria Ag Power converter circuit with AC output
US20170253970A1 (en) * 2014-01-24 2017-09-07 Osram Oled Gmbh ALD Coating System and Method for Operating an ALD Coating System

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7390535B2 (en) 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
JP3956225B2 (en) * 2003-08-26 2007-08-08 株式会社トリケミカル研究所 Film formation method
US7314812B2 (en) * 2003-08-28 2008-01-01 Micron Technology, Inc. Method for reducing the effective thickness of gate oxides by nitrogen implantation and anneal
US6989573B2 (en) * 2003-10-10 2006-01-24 Micron Technology, Inc. Lanthanide oxide/zirconium oxide atomic layer deposited nanolaminate gate dielectrics
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7422983B2 (en) 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
JP2006279019A (en) * 2005-03-03 2006-10-12 Sony Corp Method of forming thin film and method of manufacturing semiconductor device
JP4522900B2 (en) * 2005-03-30 2010-08-11 東京エレクトロン株式会社 Film forming method and recording medium
JP4711733B2 (en) * 2005-05-12 2011-06-29 株式会社Adeka Method for producing silicon oxide thin film
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100829539B1 (en) * 2007-04-13 2008-05-16 삼성전자주식회사 Method of manufacturing a thin layer, methods of manufacturing gate structure and capacitor using the same
KR100877100B1 (en) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 Methods for manufacturing non-volatile memory device
US7999351B2 (en) * 2008-06-25 2011-08-16 Intel Corporation Phase memorization for low leakage dielectric films
US20100279124A1 (en) 2008-10-31 2010-11-04 Leybold Optics Gmbh Hafnium or zirconium oxide Coating
US7968406B2 (en) 2009-01-09 2011-06-28 Micron Technology, Inc. Memory cells, methods of forming dielectric materials, and methods of forming memory cells
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US8288811B2 (en) 2010-03-22 2012-10-16 Micron Technology, Inc. Fortification of charge-storing material in high-K dielectric environments and resulting apparatuses
US20110298089A1 (en) * 2010-06-03 2011-12-08 International Business Machines Corporation Trench capacitor and method of fabrication
KR20120064966A (en) * 2010-12-10 2012-06-20 에스케이하이닉스 주식회사 Method for fabricating semiconductor device
WO2013057321A1 (en) * 2011-10-21 2013-04-25 University College Cork - National University Of Ireland, Cork A single crystal high dielectric constant material
KR102106885B1 (en) 2013-03-15 2020-05-06 삼성전자 주식회사 Precursors for deposition of silicon dioxide film, and method for fabricating semiconductor device using the same
KR102052664B1 (en) 2013-03-15 2019-12-06 삼성전자주식회사 Trialkylsilane Si precursor compound and method of forming a layer using the same
KR20150128333A (en) * 2014-05-09 2015-11-18 한국생산기술연구원 Manufacturing method of encapsulation layer for organic light emitting diode and organic light emitting diode using the same
TWI809158B (en) * 2018-07-26 2023-07-21 日商東京威力科創股份有限公司 Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
US11462398B2 (en) 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
CN110498691A (en) * 2019-10-09 2019-11-26 江苏脒诺甫纳米材料有限公司 A kind of silicon based ceramic aeroge preparation process based on ceramic sintering technology

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5195018A (en) * 1991-07-03 1993-03-16 Samsung Electronics Co., Ltd. High dielectric constant capacitor and method for manufacturing the same
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6040594A (en) * 1994-07-27 2000-03-21 Fujitsu Limited High permittivity ST thin film and a capacitor for a semiconductor integrated circuit having such a thin film
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6221712B1 (en) * 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US20010023120A1 (en) * 2000-03-10 2001-09-20 Yoshitaka Tsunashima Semiconductor device having a gate insulating film structure including an insulating film containing metal, silicon and oxygen and manufacturing method thereof
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6313233B1 (en) * 1996-11-20 2001-11-06 Japan Synthetic Rubber Co., Ltd. Curable resin composition cured products
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6316064B1 (en) * 1999-01-25 2001-11-13 Asahi Denka Kogyo Kabushiki Kaisha Process of producing a ruthenium or ruthenium oxide thin film
US20010041374A1 (en) * 1997-06-26 2001-11-15 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic thin films useful in ferroelectric memory devices
US20010053615A1 (en) * 2000-06-20 2001-12-20 Chan Lim Method of manufacturing an aluminum oxide film in a semiconductor device
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US20030113480A1 (en) * 2001-12-10 2003-06-19 Hynix Semiconductor Inc. Method for forming high dielectric layers using atomic layer deposition
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US20030213987A1 (en) * 2002-05-16 2003-11-20 Cem Basceri MIS capacitor and method of formation
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US20050054213A1 (en) * 2003-09-05 2005-03-10 Derderian Garo J. Methods of depositing a silicon dioxide comprising layer in the fabrication of integrated circuitry, and methods of forming trench isolation in the fabrication of integrated circuitry
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US6884675B2 (en) * 2001-01-19 2005-04-26 Samsung Electronics Co., Ltd. Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05239650A (en) 1992-02-27 1993-09-17 Kojundo Chem Lab Co Ltd Production of silicon oxide film
JPH07176732A (en) * 1993-10-29 1995-07-14 Nkk Corp Manufacture of mis field-effect transistor
AU1955395A (en) 1994-03-26 1995-10-17 Drake, Simone Robert Tantalum compounds
US6080645A (en) * 1996-10-29 2000-06-27 Micron Technology, Inc. Method of making a doped silicon diffusion barrier region
US5885877A (en) * 1997-04-21 1999-03-23 Advanced Micro Devices, Inc. Composite gate electrode incorporating dopant diffusion-retarding barrier layer adjacent to underlying gate dielectric
US6057584A (en) * 1997-12-19 2000-05-02 Advanced Micro Devices, Inc. Semiconductor device having a tri-layer gate insulating dielectric
US6093944A (en) * 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
JP2000188400A (en) * 1998-11-09 2000-07-04 Texas Instr Inc <Ti> Method for forming semiconductor device
US6573132B1 (en) * 1999-03-25 2003-06-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor device having contacts self-aligned with a gate electrode thereof
US6312831B1 (en) 1999-04-30 2001-11-06 Visteon Global Technologies, Inc. Highly reflective, durable titanium/tin oxide films
JP2001108199A (en) 1999-10-12 2001-04-20 Tori Chemical Kenkyusho:Kk Fluid transferring piping device and fluid supply device which facilitate purging of residual material, purging method for residual material in piping device, and fluid supply method
DE60125338T2 (en) * 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
JP4651848B2 (en) * 2000-07-21 2011-03-16 ルネサスエレクトロニクス株式会社 Semiconductor device, manufacturing method thereof, and CMOS transistor
JP2002053960A (en) 2000-08-04 2002-02-19 Kojundo Chem Lab Co Ltd Cvd raw material composition for depositing zirconium and hafnium silicate film, its production method and method for depositing silicate film using the same
US6368986B1 (en) * 2000-08-31 2002-04-09 Micron Technology, Inc. Use of selective ozone TEOS oxide to create variable thickness layers and spacers
CN1341955A (en) 2000-09-06 2002-03-27 联华电子股份有限公司 Production process of polycrystalline silicon metal gate by using nitriding process
TW486392B (en) 2000-09-29 2002-05-11 Air Prod & Chem Solvent blend for use in high purity precursor removal
US6844604B2 (en) * 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
TW200402796A (en) * 2002-03-29 2004-02-16 Tokyo Electron Ltd Forming method of substrate insulation film
JP3627106B2 (en) 2002-05-27 2005-03-09 株式会社高純度化学研究所 Method for producing hafnium silicate thin film by atomic layer adsorption deposition
US7326988B2 (en) * 2002-07-02 2008-02-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
KR100889362B1 (en) * 2004-10-19 2009-03-18 삼성전자주식회사 Transistor having multi-dielectric layer and fabrication method thereof

Patent Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5195018A (en) * 1991-07-03 1993-03-16 Samsung Electronics Co., Ltd. High dielectric constant capacitor and method for manufacturing the same
US6040594A (en) * 1994-07-27 2000-03-21 Fujitsu Limited High permittivity ST thin film and a capacitor for a semiconductor integrated circuit having such a thin film
US6674169B2 (en) * 1996-05-31 2004-01-06 Micron Technology, Inc. Semiconductor device with titanium silicon oxide layer
US6573182B2 (en) * 1996-05-31 2003-06-03 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6313233B1 (en) * 1996-11-20 2001-11-06 Japan Synthetic Rubber Co., Ltd. Curable resin composition cured products
US20010041374A1 (en) * 1997-06-26 2001-11-15 Advanced Technology Materials, Inc. Low temperature chemical vapor deposition process for forming bismuth-containing ceramic thin films useful in ferroelectric memory devices
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6316064B1 (en) * 1999-01-25 2001-11-13 Asahi Denka Kogyo Kabushiki Kaisha Process of producing a ruthenium or ruthenium oxide thin film
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6221712B1 (en) * 1999-08-30 2001-04-24 United Microelectronics Corp. Method for fabricating gate oxide layer
US6399208B1 (en) * 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US6335049B1 (en) * 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US20010023120A1 (en) * 2000-03-10 2001-09-20 Yoshitaka Tsunashima Semiconductor device having a gate insulating film structure including an insulating film containing metal, silicon and oxygen and manufacturing method thereof
US20010053615A1 (en) * 2000-06-20 2001-12-20 Chan Lim Method of manufacturing an aluminum oxide film in a semiconductor device
US20040043149A1 (en) * 2000-09-28 2004-03-04 Gordon Roy G. Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6300203B1 (en) * 2000-10-05 2001-10-09 Advanced Micro Devices, Inc. Electrolytic deposition of dielectric precursor materials for use in in-laid gate MOS transistors
US6884675B2 (en) * 2001-01-19 2005-04-26 Samsung Electronics Co., Ltd. Semiconductor capacitors having tantalum oxide layers and methods for manufacturing the same
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
US20020175393A1 (en) * 2001-03-30 2002-11-28 Advanced Technology Materials Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20020187644A1 (en) * 2001-03-30 2002-12-12 Baum Thomas H. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US20030113480A1 (en) * 2001-12-10 2003-06-19 Hynix Semiconductor Inc. Method for forming high dielectric layers using atomic layer deposition
US20030213987A1 (en) * 2002-05-16 2003-11-20 Cem Basceri MIS capacitor and method of formation
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050054213A1 (en) * 2003-09-05 2005-03-10 Derderian Garo J. Methods of depositing a silicon dioxide comprising layer in the fabrication of integrated circuitry, and methods of forming trench isolation in the fabrication of integrated circuitry

Cited By (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6831004B2 (en) 2000-06-27 2004-12-14 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20050008779A1 (en) * 2002-04-08 2005-01-13 Yang Michael Xi Multiple precursor cyclical depositon system
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US20050009371A1 (en) * 2002-06-14 2005-01-13 Metzner Craig R. System and method for forming a gate dielectric
US20100239758A1 (en) * 2002-06-14 2010-09-23 Kher Shreyas S Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20030232511A1 (en) * 2002-06-14 2003-12-18 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20080057737A1 (en) * 2002-06-14 2008-03-06 Metzner Craig R System and method for forming a gate dielectric
US8071167B2 (en) 2002-06-14 2011-12-06 Applied Materials, Inc. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20060264067A1 (en) * 2002-06-14 2006-11-23 Kher Shreyas S Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20060223339A1 (en) * 2002-06-14 2006-10-05 Metzner Craig R Ald metal oxide deposition process using direct oxidation
US20060252211A1 (en) * 2002-07-30 2006-11-09 Micron Technology, Inc. ATOMIC LAYER DEPOSITED NANOLAMINATES OF HfO2/ZrO2 FILMS AS GATE DIELECTRICS
US7410918B2 (en) 2002-08-28 2008-08-12 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US9184061B2 (en) 2002-08-28 2015-11-10 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20060172485A1 (en) * 2002-08-28 2006-08-03 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US20080274625A1 (en) * 2002-12-04 2008-11-06 Micron Technology, Inc. METHODS OF FORMING ELECTRONIC DEVICES CONTAINING Zr-Sn-Ti-O FILMS
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20050148127A1 (en) * 2003-12-22 2005-07-07 Samsung Electronics Co., Ltd. Semiconductor device including gate dielectric layer formed of high dielectric alloy and method of fabricating the same
US20070042581A1 (en) * 2004-01-21 2007-02-22 Hitachi Kokusal Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US7531467B2 (en) * 2004-01-21 2009-05-12 Hitachi Kokusai Electric, Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20050271813A1 (en) * 2004-05-12 2005-12-08 Shreyas Kher Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050260357A1 (en) * 2004-05-21 2005-11-24 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US6852588B1 (en) * 2004-06-30 2005-02-08 Freescale Semiconductor, Inc. Methods of fabricating semiconductor structures comprising epitaxial Hf3Si2 layers
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20070087563A1 (en) * 2004-08-02 2007-04-19 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7776762B2 (en) 2004-08-02 2010-08-17 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US20070099366A1 (en) * 2004-08-31 2007-05-03 Micron Technology, Inc. Lanthanum aluminum oxide dielectric layer
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US20060046522A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited lanthanum aluminum oxide dielectric layer
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US20060141695A1 (en) * 2004-11-23 2006-06-29 Dae-Sik Choi Methods of forming thin layers including zirconium hafnium oxide and methods of forming gate structures, capacitors, and flash memory devices using the same
US7566608B2 (en) * 2004-11-23 2009-07-28 Samsung Electronics Co., Ltd. Methods of forming thin layers including zirconium hafnium oxide and methods of forming gate structures, capacitors, and flash memory devices using the same
US7915174B2 (en) 2004-12-13 2011-03-29 Micron Technology, Inc. Dielectric stack containing lanthanum and hafnium
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20060148180A1 (en) * 2005-01-05 2006-07-06 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US20080220618A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US7700989B2 (en) 2005-05-27 2010-04-20 Micron Technology, Inc. Hafnium titanium oxide films
US20060281330A1 (en) * 2005-06-14 2006-12-14 Micron Technology, Inc. Iridium / zirconium oxide structure
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US20080261413A1 (en) * 2005-08-26 2008-10-23 Maitreyee Mahajani Pretreatment processes within a batch ald reactor
US20070049053A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20110121378A1 (en) * 2005-08-29 2011-05-26 Ahn Kie Y ZrXHfYSn1-X-YO2 FILMS AS HIGH K GATE DIELECTRICS
US7875912B2 (en) 2005-08-29 2011-01-25 Micron Technology, Inc. Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070049023A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Zirconium-doped gadolinium oxide films
US8497542B2 (en) 2005-08-29 2013-07-30 Micron Technology, Inc. ZrXHfYSn1-X-YO2 films as high K gate dielectrics
US20080224240A1 (en) * 2005-08-29 2008-09-18 Micron Technology, Inc. ATOMIC LAYER DEPOSITION OF Zrx Hfy Sn1-x-y O2 FILMS AS HIGH k GATE DIELECTRICS
US20070098892A1 (en) * 2005-08-31 2007-05-03 Samsung Electronics Co., Ltd. Method of forming a layer and method of manufacturing a capacitor using the same
US8405167B2 (en) 2005-12-08 2013-03-26 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7999334B2 (en) 2005-12-08 2011-08-16 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8685815B2 (en) 2005-12-08 2014-04-01 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20100006918A1 (en) * 2005-12-08 2010-01-14 Ahn Kie Y Hafnium tantalum titanium oxide films
US8399320B2 (en) 2005-12-08 2013-03-19 Micron Technology, Inc. Electronic apparatus containing lanthanide yttrium aluminum oxide
US8093666B2 (en) 2005-12-08 2012-01-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US20070134942A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US20100052033A1 (en) * 2005-12-08 2010-03-04 Ahn Kie Y Lanthanide yttrium aluminum oxide dielectric films
US9129961B2 (en) 2006-01-10 2015-09-08 Micron Technology, Inc. Gallium lathanide oxide films
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US9583334B2 (en) 2006-01-10 2017-02-28 Micron Technology, Inc. Gallium lanthanide oxide films
US20070158765A1 (en) * 2006-01-10 2007-07-12 Micron Technology, Inc. Gallium lanthanide oxide films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20070207624A1 (en) * 2006-03-02 2007-09-06 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070234949A1 (en) * 2006-04-07 2007-10-11 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US8628615B2 (en) 2006-04-07 2014-01-14 Micron Technology, Inc. Titanium-doped indium oxide films
US8273177B2 (en) 2006-04-07 2012-09-25 Micron Technology, Inc. Titanium-doped indium oxide films
US7582161B2 (en) 2006-04-07 2009-09-01 Micron Technology, Inc. Atomic layer deposited titanium-doped indium oxide films
US20090314345A1 (en) * 2006-04-07 2009-12-24 Ahn Kie Y Atomic layer deposited titanium-doped indium oxide films
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080032465A1 (en) * 2006-08-03 2008-02-07 Micron Technology, Inc. Deposition of ZrAION films
US20100237403A1 (en) * 2006-08-03 2010-09-23 Ahn Kie Y ZrAlON FILMS
US8993455B2 (en) 2006-08-03 2015-03-31 Micron Technology, Inc. ZrAlON films
US9502256B2 (en) 2006-08-03 2016-11-22 Micron Technology, Inc. ZrAION films
US9236245B2 (en) 2006-08-03 2016-01-12 Micron Technology, Inc. ZrA1ON films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US8581352B2 (en) 2006-08-25 2013-11-12 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US20080048225A1 (en) * 2006-08-25 2008-02-28 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US9202686B2 (en) 2006-08-25 2015-12-01 Micron Technology, Inc. Electronic devices including barium strontium titanium oxide films
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US8114763B2 (en) 2006-08-31 2012-02-14 Micron Technology, Inc. Tantalum aluminum oxynitride high-K dielectric
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US20080057690A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7902582B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20100301428A1 (en) * 2006-08-31 2010-12-02 Leonard Forbes Tantalum silicon oxynitride high-k dielectrics and metal gates
US20100283537A1 (en) * 2006-08-31 2010-11-11 Leonard Forbes Tantalum aluminum oxynitride high-k dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US20080054330A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US8772851B2 (en) 2006-08-31 2014-07-08 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US20080124908A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080121962A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Tantalum aluminum oxynitride high-k dielectric and metal gates
US20080124907A1 (en) * 2006-08-31 2008-05-29 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US8519466B2 (en) 2006-08-31 2013-08-27 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US8168502B2 (en) 2006-08-31 2012-05-01 Micron Technology, Inc. Tantalum silicon oxynitride high-K dielectrics and metal gates
US8951880B2 (en) 2006-08-31 2015-02-10 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US8557672B2 (en) 2006-08-31 2013-10-15 Micron Technology, Inc. Dielectrics containing at least one of a refractory metal or a non-refractory metal
US20090236650A1 (en) * 2006-08-31 2009-09-24 Micron Technology, Inc. Tantalum lanthanide oxynitride films
WO2008098963A2 (en) * 2007-02-13 2008-08-21 University Of Aveiro Method of forming an oxide thin film
WO2008098963A3 (en) * 2007-02-13 2009-01-15 Univ Aveiro Method of forming an oxide thin film
US20100109130A1 (en) * 2007-02-13 2010-05-06 University Of Aveiro Method of forming an oxide thin film
US20080259524A1 (en) * 2007-04-19 2008-10-23 Stmicroelectronics (Crolles 2) Sas Process for manufacturing a high-stability capacitor and corresponding capacitor
FR2915315A1 (en) * 2007-04-19 2008-10-24 St Microelectronics Crolles 2 METHOD FOR MANUFACTURING A CAPACITOR WITH HIGH STABILITY AND CORRESPONDING CAPACITOR.
US20090072292A1 (en) * 2007-09-17 2009-03-19 Infineon Technologies Ag Semiconductor device and method of making semiconductor device
US9337047B2 (en) * 2007-09-17 2016-05-10 Infineon Technologies Ag Semiconductor device and method of making semiconductor device
US20090189280A1 (en) * 2008-01-30 2009-07-30 Daniel Pak-Chum Shum Method of Forming a Non Volatile Memory Device
US9418864B2 (en) 2008-01-30 2016-08-16 Infineon Technologies Ag Method of forming a non volatile memory device using wet etching
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8043907B2 (en) 2008-03-31 2011-10-25 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100062614A1 (en) * 2008-09-08 2010-03-11 Ma Paul F In-situ chamber treatment and deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100125128A1 (en) * 2008-11-14 2010-05-20 Bio-Rad Laboratories, Inc. Phosphopeptide enrichment of compositions by fractionation on ceramic hydroxyapatite
US9478989B2 (en) 2012-01-17 2016-10-25 Infineon Technologies Austria Ag Power converter circuit with AC output
US9461474B2 (en) 2012-01-17 2016-10-04 Infineon Technologies Austria Ag Power converter circuit with AC output
US9484746B2 (en) 2012-01-17 2016-11-01 Infineon Technologies Austria Ag Power converter circuit with AC output
US10084317B2 (en) 2012-01-17 2018-09-25 Infineon Technologies Austria Ag Power converter circuit with AC output
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US9401663B2 (en) 2012-12-21 2016-07-26 Infineon Technologies Austria Ag Power converter circuit with AC output
US9425622B2 (en) 2013-01-08 2016-08-23 Infineon Technologies Austria Ag Power converter circuit with AC output and at least one transformer
US20170253970A1 (en) * 2014-01-24 2017-09-07 Osram Oled Gmbh ALD Coating System and Method for Operating an ALD Coating System

Also Published As

Publication number Publication date
KR20050042171A (en) 2005-05-04
US20060261389A1 (en) 2006-11-23
DE60325484D1 (en) 2009-02-05
TWI249587B (en) 2006-02-21
EP1532290B1 (en) 2008-12-24
TW200426240A (en) 2004-12-01
WO2004020691A3 (en) 2004-07-08
EP1532290A2 (en) 2005-05-25
US7112485B2 (en) 2006-09-26
US9184061B2 (en) 2015-11-10
ATE418627T1 (en) 2009-01-15
CN1688744A (en) 2005-10-26
CN1937253A (en) 2007-03-28
AU2003272249A8 (en) 2004-03-19
US20050160981A9 (en) 2005-07-28
KR20100072378A (en) 2010-06-30
WO2004020691A2 (en) 2004-03-11
AU2003272249A1 (en) 2004-03-19
JP2005537645A (en) 2005-12-08

Similar Documents

Publication Publication Date Title
US9184061B2 (en) Systems and methods for forming zirconium and/or hafnium-containing layers
EP1532291B1 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7410918B2 (en) Systems and methods for forming metal oxides using alcohols
US7300873B2 (en) Systems and methods for forming metal-containing layers using vapor deposition processes
US8653573B2 (en) Dielectric layers and memory cells including metal-doped alumina
US7482284B2 (en) Deposition methods for forming silicon oxide layers
US20050009266A1 (en) Systems and methods for forming refractory metal oxide layers

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:VAARTSTRA, BRIAN A.;REEL/FRAME:013243/0531

Effective date: 20020820

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731