US20030227057A1 - Strained-semiconductor-on-insulator device structures - Google Patents

Strained-semiconductor-on-insulator device structures Download PDF

Info

Publication number
US20030227057A1
US20030227057A1 US10/264,935 US26493502A US2003227057A1 US 20030227057 A1 US20030227057 A1 US 20030227057A1 US 26493502 A US26493502 A US 26493502A US 2003227057 A1 US2003227057 A1 US 2003227057A1
Authority
US
United States
Prior art keywords
layer
strained
semiconductor layer
substrate
relaxed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/264,935
Inventor
Anthony Lochtefeld
Thomas Langdo
Richard Hammond
Matthew Currie
Eugene Fitzgerald
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Amber Wave Systems Inc
Original Assignee
Amber Wave Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Amber Wave Systems Inc filed Critical Amber Wave Systems Inc
Priority to US10/264,935 priority Critical patent/US20030227057A1/en
Assigned to AMBERWAVE SYSTEMS CORPORATION reassignment AMBERWAVE SYSTEMS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FITZGERALD, EUGENE A., LANGDO, THOMAS A., CURRIE, MATTHEW T., HAMMOND, RICHARD, LOCHTEFELD, ANTHONY J.
Priority to AU2003237473A priority patent/AU2003237473A1/en
Priority to PCT/US2003/018007 priority patent/WO2003105189A2/en
Publication of US20030227057A1 publication Critical patent/US20030227057A1/en
Priority to US11/073,780 priority patent/US8748292B2/en
Priority to US14/270,095 priority patent/US9548236B2/en
Priority to US15/400,701 priority patent/US10510581B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76259Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along a porous layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66916Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN heterojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76275Vertical isolation by bonding techniques

Definitions

  • This invention relates to devices and structures comprising strained semiconductor layers and insulator layers.
  • Strained silicon-on-insulator structures for semiconductor devices combine the benefits of two advanced approaches to performance enhancement: silicon-on-insulator (SOI) technology and strained silicon (Si) technology.
  • SOI silicon-on-insulator
  • Si strained silicon
  • the strained silicon-on-insulator configuration offers various advantages associated with the insulating substrate, such as reduced parasitic capacitances and improved isolation.
  • Strained Si provides improved carrier mobilities.
  • Devices such as strained Si metal-oxide-semiconductor field-effect transistors (MOSFETs) combine enhanced carrier mobilities with the advantages of insulating substrates.
  • MOSFETs metal-oxide-semiconductor field-effect transistors
  • Strained-silicon-on-insulator substrates are typically fabricated as follows. First, a relaxed silicon-germanium (SiGe) layer is formed on an insulator by one of several techniques such as separation by implantation of oxygen (SIMOX), wafer bonding and etch back; wafer bonding and hydrogen exfoliation layer transfer; or recrystallization of amorphous material. Then, a strained Si layer is epitaxially grown to form a strained-silicon-on-insulator structure, with strained Si disposed over SiGe. The relaxed-SiGe-on-insulator layer serves as the template for inducing strain in the Si layer. This induced strain is typically greater than 10 ⁇ 3 . This structure has limitations.
  • the present invention includes a strained-semiconductor-on-insulator (SSOI) substrate structure and methods for fabricating the substrate structure.
  • MOSFETs fabricated on this substrate will have the benefits of SOI MOSFETs as well as the benefits of strained Si mobility enhancement.
  • SSOI technology is simplified. For example, issues such as the diffusion of Ge into the strained Si layer during high temperature processes are avoided.
  • This approach enables the fabrication of well-controlled, epitaxially-defined, thin strained semiconductor layers directly on an insulator layer. Tensile strain levels of ⁇ 1% or greater are possible in these structures, and are not diminished after thermal anneal cycles. In some embodiments, the strain-inducing relaxed layer is not present in the final structure, eliminating some of the key problems inherent to current strained Si-on-insulator solutions. This fabrication process is suitable for the production of enhanced-mobility substrates applicable to partially or fully depleted SSOI technology.
  • the invention features a structure that includes a first substrate having a dielectric layer disposed thereon, and a first strained semiconductor layer disposed in contact with the dielectric layer.
  • the strained semiconductor layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as silicon, germanium, silicon germanium, gallium arsenide, indium phosphide, or zinc selenide.
  • the strained semiconductor layer may be substantially free of germanium, and any other layer disposed in contact with the strained semiconductor layer may be substantially free of germanium.
  • the strained semiconductor layer may be tensilely strained or compressively strained.
  • the strained semiconductor layer may have a strained portion and a relaxed portion.
  • a second strained semiconductor layer may be in contact with the first strained semiconductor layer.
  • the first strained semiconductor layer may be compressively strained and the second strained semiconductor layer may be tensilely strained, or vice versa.
  • the structure may include a transistor having a source region and a drain region disposed in a portion of the strained semiconductor layer, a gate disposed above the strained semiconductor layer and between the source and drain regions, and a gate dielectric layer disposed between the gate and the strained semiconductor layer.
  • the strained semiconductor layer may have been formed on a second substrate, may have been disposed in contact with the dielectric layer by bonding, and may have a lower dislocation density than an initial dislocation density of the strained semiconductor layer as formed.
  • the initial dislocation density may have been lowered by etching.
  • the strained semiconductor layer may have been grown with an initial dislocation density and may have a dislocation density less than the initial dislocation density.
  • the strained semiconductor layer may have been formed by epitaxy.
  • the strained semiconductor layer may have a thickness uniformity of better than approximately ⁇ 5%.
  • the strained layer has a thickness selected from a range of approximately 20 angstroms-1000 angstroms.
  • the strained layer has a surface roughness of less than approximately 20 angstroms.
  • the substrate may include silicon and/or germanium.
  • the invention features a structure including a relaxed substrate including a bulk material, and a strained layer disposed in contact with the relaxed substrate.
  • the strain of the strained layer is not induced by the underlying substrate, and the strain is independent of a lattice mismatch between the strained layer and the relaxed substrate.
  • the bulk material may include a first semiconductor material.
  • the strained layer may include a second semiconductor material.
  • the first semiconductor material may be essentially the same as the second semiconductor material.
  • the first and second semiconductor material may include silicon.
  • a lattice constant of the relaxed substrate may be equal to a lattice constant of the strained layer in the absence of strain.
  • the strain of the strained layer may be greater than approximately 1 ⁇ 10 ⁇ 3 .
  • the strained layer may have been formed by epitaxy.
  • the strained layer may have a thickness uniformity of better than approximately ⁇ 5%.
  • the strained layer may have a thickness selected from a range of approximately 20 angstroms-1000 angstroms.
  • the strained layer may have a surface roughness of less than approximately 20 angstroms.
  • the structure may include a transistor having a source region and a drain region disposed in a portion of the strained semiconductor layer, a gate contact disposed above the strained semiconductor layer and between the source and drain regions, and a gate dielectric layer disposed between the gate contact and the strained semiconductor layer.
  • the invention features a structure including a substrate including a dielectric material, and a strained semiconductor layer disposed in contact with the dielectric material.
  • the dielectric material may include sapphire.
  • the semiconductor layer may have been formed on a second substrate, have been disposed in contact with the dielectric material by bonding, and have a lower dislocation density than an initial dislocation density of the semiconductor layer as formed. The initial dislocation density may have been lowered by etching.
  • the semiconductor layer may have been formed by epitaxy.
  • the invention features a method for forming a structure, the method including providing a first substrate having a first strained semiconductor layer formed thereon, bonding the first strained semiconductor layer to an insulator layer disposed on a second substrate and, removing the first substrate from the first strained semiconductor layer, the strained semiconductor layer remaining bonded to the insulator layer.
  • the strained semiconductor layer may be tensilely or compressively strained.
  • the strained semiconductor layer may include a surface layer or a buried layer after the removal of the first substrate.
  • Removing the first substrate from the strained semiconductor layer may include cleaving.
  • Cleaving may include implantation of an exfoliation species through the strained semiconductor layer to initiate cleaving.
  • the exfoliation species may include at least one of hydrogen and helium.
  • Providing the first substrate may include providing the first substrate having a second strained layer disposed between the substrate and the first strained layer, the second strained layer acting as a cleave plane during cleaving.
  • the second strained layer may include a compressively strained layer.
  • the compressively strained layer may include S 1 ⁇ x Ge x .
  • the first substrate may have a relaxed layer disposed between the substrate and the first strained layer.
  • the relaxed layer may be planarized prior to forming the first strained semiconductor layer. After the relaxed layer is planarized, a relaxed semiconductor regrowth layer may be formed thereon. A dielectric layer may be formed over the first strained semiconductor layer prior to bonding the first strained semiconductor layer to an insulator layer. Removing the first substrate from the strained semiconductor layer may include mechanical grinding. Bonding may include achieving a high bond strength, e.g., greater than or equal to about 1000 milliJoules/meter squared (mJ/m 2 ), at a low temperature, e.g., less than approximately 600° C.
  • mJ/m 2 milliJoules/meter squared
  • Bonding may include plasma activation of a surface of the first semiconductor layer prior to bonding the first semiconductor layer.
  • Plasma activation may include use of at least one of an ammonia (NH 3 ), an oxygen (O 2 ), an argon (Ar), and a nitrogen (N 2 ) source gas.
  • Bonding may include planarizing a surface of the first semiconductor layer prior to bonding the first semiconductor layer by, e.g., chemical-mechanical polishing.
  • a portion of the first strained semiconductor layer may be relaxed such as by, e.g., introducing a plurality of ions into the portion of the first strained semiconductor layer.
  • a transistor may be formed by forming a gate dielectric layer above a portion of the strained semiconductor layer, forming a gate contact above the gate dielectric layer, and forming a source region and a drain region in a portion of the strained semiconductor layer, proximate the gate dielectric layer.
  • the invention features a method for forming a structure, the method including providing a substrate having a relaxed layer disposed over a first strained layer, the relaxed layer inducing strain in the first strained layer, and removing at least a portion of the relaxed layer selectively with respect to the first strained layer.
  • the first strained layer may be bonded to the substrate, including, e.g., to an insulator layer disposed on the substrate.
  • the first strained layer may be formed over the relaxed layer on another substrate.
  • the portion of the relaxed layer may be removed by, e.g., oxidation, a wet chemical etch, a dry etch, and/or chemical-mechanical polishing.
  • the strained layer may be planarized by, e.g., chemical-mechanical polishing and/or an anneal. The anneal may be performed at a temperature greater than 800° C.
  • the substrate may have an etch stop layer disposed between the relaxed layer and the strained layer.
  • the etch stop layer may be compressively strained.
  • the strained layer may include silicon
  • the relaxed layer may include silicon germanium
  • the etch stop layer may include silicon germanium carbon.
  • the relaxed layer may include Si 1 ⁇ y Ge y
  • the etch stop layer may include Si 1 ⁇ x Ge x
  • x may be greater than y, e.g., x may be approximately 0.5 and y may be approximately 0.2.
  • the etch stop layer enables an etch selectivity to the relaxed layer of greater than 10:1, e.g., greater than 100:1.
  • the etch stop layer may have a thickness selected from a range of about 20 angstroms to about 1000 angstroms.
  • the relaxed layer may be formed over a graded layer.
  • the invention features a method for forming a structure, the method including providing a first substrate having a dielectric layer disposed thereon, and forming a semiconductor layer on a second substrate, the semiconductor layer having an initial misfit dislocation density.
  • the semiconductor layer is bonded to the dielectric layer, and the second substrate is removed, the semiconductor layer remaining bonded to the dielectric layer.
  • the misfit dislocation density in the semiconductor layer is reduced.
  • the misfit dislocation density may be reduced by removing a portion of the semiconductor layer, such as, e.g., by etching. After removing a portion of the semiconductor layer to reduce misfit dislocation density, a regrowth layer may be formed over the semiconductor layer without increasing misfit dislocation density.
  • the regrowth layer may be formed by epitaxy.
  • the invention features a method for forming a structure, the method including providing a first substrate having a dielectric layer disposed thereon, forming a semiconductor layer on a second substrate, the semiconductor layer having an initial misfit dislocation density.
  • the semiconductor layer is bonded to the dielectric layer.
  • the second substrate is removed, the semiconductor layer remaining bonded to the dielectric layer, and a regrowth layer is grown over the semiconductor layer.
  • the semiconductor layer and the regrowth layer may include the same semiconductor material.
  • the semiconductor layer and the regrowth layer together may have a misfit dislocation density not greater than the initial misfit dislocation density.
  • the invention features a method for forming a structure, the method including providing a first substrate having a strained layer disposed thereon, the strained layer including a first semiconductor material, and bonding the strained layer to a second substrate, the second substrate including a bulk material.
  • the first substrate is removed from the strained layer, the strained layer remaining bonded to the bulk semiconductor material.
  • the strain of the strained layer is not induced by the second substrate and the strain is independent of lattice mismatch between the strained layer and the second substrate.
  • the bulk material may include a second semiconductor material.
  • the first semiconductor material may be substantially the same as the second semiconductor material.
  • the second substrate and/or the strained semiconductor layer may include silicon.
  • the invention features a method for forming a structure, the method including providing a first substrate having a semiconductor layer disposed over a strained layer.
  • the semiconductor layer is bonded to an insulator layer disposed on a second substrate, and the first substrate is removed from the strained layer, the semiconductor layer remaining bonded to the insulator layer.
  • the semiconductor layer may be substantially relaxed.
  • the semiconductor layer and/or the strained layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element.
  • the semiconductor layer may include germanium and the strained layer may include silicon.
  • FIGS. 1 A- 6 are schematic cross-sectional views of substrates illustrating a method for fabricating an SSOI substrate
  • FIG. 7 is a schematic cross-sectional view illustrating an alternative method for fabricating the SSOI substrate illustrated in FIG. 6;
  • FIG. 8 is a schematic cross-sectional view of a transistor formed on the SSOI substrate illustrated in FIG. 6;
  • FIGS. 9 - 10 are schematic cross-sectional views of substrate(s) illustrating a method for fabricating an alternative SSOI substrate
  • FIG. 11 is a schematic cross-sectional view of a substrate having several layers formed thereon;
  • FIGS. 12 - 13 are schematic cross-sectional views of substrates illustrating a method for fabricating an alternative strained semiconductor substrate.
  • FIG. 14 is a schematic cross-sectional view of the SSOI substrate illustrated in FIG. 6 after additional processing.
  • An SSOI structure may be formed by wafer bonding followed by cleaving.
  • FIGS. 1 A- 2 B illustrate formation of a suitable strained layer on a wafer for bonding, as further described below.
  • an epitaxial wafer 8 has a plurality of layers 10 disposed over a substrate 12 .
  • Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe.
  • a relaxed layer 16 is disposed over graded buffer layer 14 .
  • Si 1 ⁇ x Ge x may include Si 0.70 Ge 0.30 and T 2 may be approximately 1.5 ⁇ m.
  • Relaxed layer 16 may be fully relaxed, as determined by triple axis X-ray diffraction, and may have a threading dislocation density of ⁇ 1 ⁇ 10 6 cm ⁇ 2 , as determined by etch pit density (EPD) analysis.
  • EPD etch pit density
  • Substrate 12 , graded layer 14 , and relaxed layer 16 may be formed from various materials systems, including various combinations of group II, group III, group IV, group V, and group VI elements.
  • each of substrate 12 , graded layer 14 , and relaxed layer 16 may include a III-V compound.
  • Substrate 12 may include gallium arsenide (GaAs)
  • graded layer 14 and relaxed layer 16 may include indium gallium arsenide (InGaAs) or aluminum gallium arsenide (AlGaAs). These examples are merely illustrative, and many other material systems are suitable.
  • a strained semiconductor layer 18 is disposed over relaxed layer 16 .
  • Strained layer 18 may include a semiconductor such as at least one of a group II, a group III, a group IV, a group V, and a group VI element.
  • Strained semiconductor layer 18 may include, for example, Si, Ge, SiGe, GaAs, indium phosphide (InP), and/or zinc selenide (ZnSe).
  • Strained layer 18 has a thickness T 3 of, for example, 50-1000 ⁇ . In an embodiment, T 3 may be approximately 200-500 ⁇ .
  • Strained layer 18 may be formed by epitaxy, such as by atmospheric-pressure CVD (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), or by molecular beam epitaxy (MBE).
  • the epitaxial growth system may be a single-wafer or multiple-wafer batch reactor.
  • the growth system may also utilize a low-energy plasma to enhance layer growth kinetics.
  • strained layer 18 has an initial misfit dislocation density, of, for example, 0-10 5 cm ⁇ 1 .
  • strained layer 18 is tensilely strained.
  • strained layer 18 is compressively strained.
  • graded layer 14 may be absent from the structure.
  • Relaxed layer 16 may be formed in various ways, and the invention is not limited to embodiments having graded layer 14 .
  • strained layer 18 may be formed directly on substrate 12 . In this case, the strain in layer 18 may be induced by lattice mismatch between layer 18 and substrate 12 , induced mechanically, e.g., by the deposition of overlayers, such as Si 3 N 4 , or induced by thermal mismatch between layer 18 and a subsequently grown layer, such as a SiGe layer.
  • a uniform semiconductor layer (not shown), having a thickness of approximately 0.5 ⁇ m and comprising the same semiconductor material as substrate 12 , is disposed between graded buffer layer 14 and substrate 12 .
  • This uniform semiconductor layer may be grown to improve the material quality of layers subsequently grown on substrate 12 , such as graded buffer layer 14 , by providing a clean, contaminant-free surface for epitaxial growth.
  • relaxed layer 16 may be planarized prior to growth of strained layer 18 to eliminate the crosshatched surface roughness induced by graded buffer layer 14 . (See, e.g., M. T. Currie, et al., Appl. Phys. Lett., 72 (14) p.
  • the planarization may be performed by a method such as chemical mechanical polishing (CMP), and may improve the quality of a subsequent bonding process (see below) because it minimizes the wafer surface roughness and increases wafer flatness, thus providing a greater surface area for bonding.
  • CMP chemical mechanical polishing
  • a relaxed semiconductor regrowth layer 19 including a semiconductor such as SiGe may be grown on relaxed layer 16 , thus improving the quality of subsequent strained layer 18 growth by ensuring a clean surface for the growth of strained layer 18 .
  • Growing on this clean surface may be preferable to growing strained material, e.g., silicon, on a surface that is possibly contaminated by oxygen and carbon from the planarization process.
  • the conditions for epitaxy of the relaxed semiconductor regrowth layer 19 on the planarized relaxed layer 16 should be chosen such that surface roughness of the resulting structure, including layers formed over regrowth layer 19 , is minimized to ensure a surface suitable for subsequent high quality bonding.
  • High quality bonding may be defined as the existence of a bond between two wafers that is substantially free of bubbles or voids at the interface. Measures that may help ensure a smooth surface for strained layer 18 growth, thereby facilitating bonding, include substantially matching a lattice of the semiconductor regrowth layer 19 to that of the underlying relaxed layer 16 , by keeping the regrowth thickness below approximately 1 ⁇ m, and/or by keeping the growth temperature below approximately 850° C. for at least a portion of the semiconductor layer 19 growth. It may also be advantageous for relaxed layer 16 to be substantially free of particles or areas with high threading dislocation densities (i.e., threading dislocation pile-ups) which could induce non-planarity in the regrowth and decrease the quality of the subsequent bond.
  • threading dislocation densities i.e., threading dislocation pile-ups
  • H 2 + hydrogen
  • Implantation parameters may include implantation of hydrogen (H 2 + ) to a dose of 3-5 ⁇ 10 16 /cm 2 at an energy of, e.g., 50-100 keV.
  • H 2 + may be implanted at an energy of 75 keV and a dose of 4 ⁇ 10 16 /cm 2 through strained layer 18 into relaxed layer 16 .
  • other implanted species may be used, such as H + or He + , with the dose and energy being adjusted accordingly.
  • the implantation may also be performed prior to the formation of strained layer 18 .
  • the subsequent growth of strained layer 18 is preferably performed at a temperature low enough to prevent premature cleaving along cleave plane 20 , i.e., prior to the wafer bonding process.
  • This cleaving temperature is a complex function of the implanted species, implanted dose, and implanted material. Typically, premature cleaving may be avoided by maintaining a growth temperature below approximately 500° C.
  • strained layer 18 may be planarized by, e.g., CMP, to improve the quality of the subsequent bond.
  • a dielectric layer 22 may be formed over strained layer 18 prior to ion implantation into relaxed layer 16 to improve the quality of the subsequent bond.
  • Dielectric layer 22 may be, e.g., silicon dioxide (SiO 2 ) deposited by, for example, LPCVD or by high density plasma (HDP).
  • An LPCVD deposited SiO 2 layer may be subjected to a densification step at elevated temperature. Suitable conditions for this densification step can be a 10 minute anneal at 800° C. in a nitrogen ambient.
  • Dielectric layer 22 may be planarized by, e.g., CMP to improve the quality of the subsequent bond. In an alternative embodiment, it may be advantageous for dielectric layer 22 to be formed from thermally grown SiO 2 in order to provide a high quality semiconductor/dielectric interface in the final structure.
  • epitaxial wafer 8 is bonded to a handle wafer 50 .
  • Either handle wafer 50 , epitaxial wafer 8 , or both have a top dielectric layer (see, e.g., dielectric layer 22 in FIG. 2B) to facilitate the bonding process and to serve as an insulator layer in the final substrate structure.
  • Handle wafer 50 may have a dielectric layer 52 disposed over a semiconductor substrate 54 .
  • Dielectric layer 52 may include, for example, SiO 2 , silicon nitride (Si 3 N 4 ), aluminum oxide, etc.
  • handle wafer 50 may comprise a combination of a bulk semiconductor material and a dielectric layer, such as a silicon on insulator substrate.
  • Semiconductor substrate 54 includes a semiconductor material such as, for example, Si, Ge, or SiGe.
  • Handle wafer 50 and epitaxial wafer 8 are cleaned by a wet chemical cleaning procedure to facilitate bonding, such as by a hydrophilic surface preparation process to assist the bonding of a semiconductor material, e.g., strained layer 18 , to a dielectric material, e.g., dielectric layer 52 .
  • a suitable prebonding surface preparation cleaning procedure could include a modified megasonic RCA SC1 clean containing ammonium hydroxide, hydrogen peroxide, and water (NH 4 OH:H 2 O 2 :H 2 O) at a ratio of 1:4:20 at 60° C.
  • top surfaces 60 , 62 of handle wafer 50 and epitaxial wafer 8 may be subjected to a plasma activation, either before, after, or instead of a wet clean, to increase the bond strength.
  • the plasma environment may include at least one of the following species: oxygen, ammonia, argon, and nitrogen.
  • handle wafer 50 and epitaxial wafer 8 are bonded together by bringing top surfaces 60 , 62 in contact with each other at room temperature.
  • the bond strength may be greater than 1000 mJ/m 2 , achieved at a low temperature, such as less than 600° C.
  • a split is induced at cleave plane 20 by annealing handle wafer 50 and epitaxial wafer 8 after they are bonded together.
  • This split may be induced by an anneal at 300-700° C., e.g., 550° C., inducing hydrogen exfoliation layer transfer (i.e., along cleave plane 20 ) and resulting in the formation of two separate wafers 70 , 72 .
  • One of these wafers ( 70 ) has a first portion 80 of relaxed layer 16 (see FIG. 1A) disposed over strained layer 18 .
  • Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54 .
  • the other of these wafers ( 72 ) includes silicon substrate 12 , graded layer 14 , and a remaining portion 82 of relaxed layer 16 .
  • wafer 70 with strained layer 18 may be annealed further at 600-900° C., e.g., at a temperature greater than 800° C., to strengthen the bond between the strained layer 18 and dielectric layer 52 . In some embodiments, this anneal is limited to an upper temperature of about 900° C. to avoid the destruction of a strained Si/relaxed SiGe heterojunction by diffusion.
  • Wafer 72 may be planarized, and used as starting substrate 8 for growth of another strained layer 18 . In this manner, wafer 72 may be “recycled” and the process illustrated in FIGS. 1 A- 5 may be repeated.
  • relaxed layer portion 80 is removed from strained layer 18 .
  • Relaxed layer portion 80 including, e.g., SiGe
  • Relaxed layer portion 80 is oxidized by wet (steam) oxidation.
  • wet oxidation will oxidize SiGe much more rapidly then Si, such that the oxidation front will effectively stop when it reaches the strained layer 18 , in embodiments in which strained layer 18 includes Si.
  • the difference between wet oxidation rates of SiGe and Si may be even greater at lower temperatures, such as approximately 400° C.-600° C.
  • SiGe may be efficiently removed at low temperatures with oxidation stopping when strained layer 18 is reached.
  • This wet oxidation results in the transformation of SiGe to a thermal insulator 90 , e.g., Si x Ge y O z .
  • the thermal insulator 90 resulting from this oxidation is removed in a selective wet or dry etch, e.g., wet hydrofluoric acid. In some embodiments, it may be more economical to oxidize and strip several times, instead of just once.
  • wet oxidation may not completely remove the relaxed layer portion 80 .
  • a localized rejection of Ge may occur during oxidation, resulting in the presence of a residual Ge-rich SiGe region at the oxidation front, on the order of, for example, several nanometers in lateral extent.
  • a surface clean may be performed to remove this residual Ge.
  • the residual Ge may be removed by a dry oxidation at, e.g., 600° C., after the wet oxidation and strip described above.
  • Another wet clean may be performed in conjunction with—or instead of—the dry oxidation.
  • Examples of possible wet etches for removing residual Ge include a Piranha etch, i.e., a wet etch that is a mixture of sulfuric acid and hydrogen peroxide (H 2 SO 4 :H 2 O 2 ) at a ratio of 3:1.
  • An HF dip may be performed after the Piranha etch.
  • an RCA SC1 clean may be used to remove the residual Ge. The process of Piranha or RCA SC1 etching and HF removal of resulting oxide may be repeated more than once.
  • a CMP step may be performed to remove part of relaxed layer portion 80 as well as to increase the smoothness of its surface.
  • a smoother surface will improve the uniformity of subsequent complete removal by, e.g., wet oxidation.
  • strained layer 18 may be planarized. Planarization of strained layer 18 may be performed by, e.g., CMP or an anneal at a temperature greater than, for example, 800° C.
  • a SSOI substrate 100 has strained layer 18 disposed over an insulator, such as dielectric layer 52 formed on semiconductor substrate 54 .
  • Strained layer 18 has a thickness T 4 selected from a range of, for example, 20-1000 ⁇ , with a thickness uniformity of better than approximately ⁇ 5% and a surface roughness of less than approximately 20 ⁇ .
  • Dielectric layer 52 has a thickness T 52 selected from a range of, for example, 500-3000 ⁇ .
  • the misfit dislocation density of strained layer 18 may be lower than its initial dislocation density. The initial dislocation density may be lowered by, for example, performing an etch of a top surface 92 of strained layer 18 .
  • This etch may be a wet etch, such as a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H 2 O 2 +NH 4 OH+H 2 O), which at, e.g., 80° C. may remove silicon.
  • strained semiconductor layer 18 includes Si and is substantially free of Ge; further, any other layer disposed in contact with strained semiconductor layer 18 , e.g., dielectric layer 52 , is also substantially free of Ge.
  • relaxed layer portion 80 may be removed by a selective wet etch which stops at the strained layer 18 to obtain SSOI substrate 100 (see FIG. 6).
  • a suitable selective SiGe wet etch may be a mixture of hydrofluoric acid, hydrogen peroxide, and acetic acid (HF:H 2 O 2 :CH 3 COOH), at a ratio of 1:2:3.
  • relaxed layer portion 80 may be removed by a dry etch which stops at strained layer 18 .
  • relaxed layer portion 80 may be removed completely or in part by a chemical-mechanical polishing step or by mechanical grinding.
  • Strained semiconductor-on-insulator substrate 100 may be further processed by CMOS SOI MOSFET fabrication methods.
  • a transistor 200 may be formed on SSOI substrate 100 .
  • Forming transistor 200 includes forming a gate dielectric layer 210 above strained layer 18 by, for example, growing an SiO 2 layer by thermal oxidation.
  • gate dielectric layer 210 may include a high-k material with a dielectric constant higher than that of SiO 2 , such as hafnium oxide (HfO 2 )or hafnium silicate (HfSiON, HfSiO 4 ).
  • gate dielectric layer 210 may be a stacked structure, e.g., a thin SiO 2 layer capped with a high-k material.
  • a gate 212 is formed over gate dielectric layer 210 .
  • Gate 212 may be formed of a conductive material, such as doped semiconductor, e.g., polycrystalline Si or polycrystalline SiGe, or a metal.
  • a source region 214 and a drain region 216 are formed in a portion 218 of strained semiconductor layer 18 , proximate gate dielectric layer 210 .
  • Source and drain regions 214 , 216 may be formed by, e.g., ion implantation of either n-type or p-type dopants.
  • an SSOI structure may include, instead of a single strained layer, a plurality of semiconductor layers disposed on an insulator layer.
  • epitaxial wafer 300 includes strained layer 18 , relaxed layer 16 , graded layer 14 , and substrate 12 .
  • a semiconductor layer 310 is disposed over strained layer 18 .
  • Strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained.
  • strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained. Strain may be induced by lattice mismatch with respect to an adjacent layer, as described above, or mechanically.
  • strain may be induced by the deposition of overlayers, such as Si 3 N 4 .
  • semiconductor layer 310 is relaxed.
  • Semiconductor layer 310 includes a semiconductor material, such as at least one of a group II, a group III, a group IV, a group V, and a group VI element.
  • Epitaxial wafer 300 is processed in a manner analogous to the processing of epitaxial wafer 8 , as described with reference to FIGS. 1 - 7 .
  • processing of epitaxial wafer 300 results in the formation of SSOI substrate 350 , having strained layer 18 disposed over semiconductor layer 310 .
  • Semiconductor layer 310 is bonded to dielectric layer 52 , disposed over substrate 54 .
  • strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained.
  • strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained.
  • semiconductor layer 310 may be relaxed.
  • a thin strained layer 84 may be grown between strained layer 18 and relaxed layer 16 to act as an etch stop during etching, such as wet etching.
  • thin strained layer 84 may include Si 1 ⁇ x Ge x , with a higher Ge content (x) than the Ge content (y) of relaxed layer 16 , and hence be compressively strained.
  • the composition of the relaxed layer 16 is 20% Ge (Si 0.80 Ge 0.20 )
  • thin strained layer 84 may contain 40% Ge (Si 0.60 Ge 0.40 ) to provide a more robust etch stop.
  • a second strained layer such as thin strained layer 84 with higher Ge content than relaxed layer 16 , may act as a preferential cleave plane in the hydrogen exfoliation/cleaving procedure described above.
  • thin strained layer 84 may contain Si 1 ⁇ x Ge x , with lower Ge content than relaxed layer 16 .
  • thin strained layer 84 may act as a diffusion barrier during the wet oxidation process. For example, if the composition of relaxed layer 16 is 20% Ge (Si 0.80 Ge 0.20 ), thin strained layer 84 may contain 10% Ge (Si 0.90 Ge 0.10 ) to provide a barrier to Ge diffusion from the higher Ge content relaxed layer 16 during the oxidation process.
  • thin strained layer 84 may be replaced with a thin graded Si 1 ⁇ z Ge z layer in which the Ge composition (z) of the graded layer is decreased from relaxed layer 16 to the strained layer 18 .
  • a small amount, e.g., approximately 20-100 ⁇ , of strained layer 18 may be removed at an interface 105 between strained layer 18 and relaxed layer portion 80 . This may be achieved by overetching after relaxed layer portion 80 is removed. Alternatively, this removal of strained layer 18 may be performed by a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H 2 O 2 +NH 4 OH+H 2 O), which at, e.g., 80° C. may remove silicon.
  • an RCA SC1 i.e., hydrogen peroxide, ammonium hydroxide, and water (H 2 O 2 +NH 4 OH+H 2 O)
  • This silicon removal may remove any misfit dislocations that formed at the original strained layer 18 /relaxed layer 80 interface 105 if strained layer 18 was grown above the critical thickness.
  • the critical thickness may be defined as the thickness of strained layer 18 beyond which it becomes energetically favorable for the strain in the layer to partially relax via the introduction of misfit dislocations at interface 105 between strained layer 18 and relaxed layer 16 .
  • the method illustrated in FIGS. 1 - 7 provides a technique for obtaining strained layers above a critical thickness without misfit dislocations that may compromise the performance of deeply scaled MOSFET devices.
  • handle wafer 50 may have a structure other than a dielectric layer 52 disposed over a semiconductor substrate 54 .
  • a bulk relaxed substrate 400 may comprise a bulk material 410 such as a semiconductor material, e.g., bulk silicon.
  • bulk material 410 may be a bulk dielectric material, such as Al 2 O 3 (e.g., alumina or sapphire) or SiO 2 (e.g., quartz).
  • Epitaxial wafer 8 may then be bonded to handle wafer 400 (as described above with reference to FIGS. 1 - 6 ), with strained layer 18 being bonded to the bulk material 410 comprising handle wafer 400 .
  • a hydrophobic clean may be performed, such as an HF dip after an RCA SC1 clean.
  • a strained-semiconductor-on-semiconductor (SSOS) substrate 420 is formed, having strained layer 18 disposed in contact with relaxed substrate 400 .
  • the strain of strained layer 18 is not induced by underlying relaxed substrate 400 , and is independent of any lattice mismatch between strained layer 18 and relaxed substrate 400 .
  • strained layer 18 and relaxed substrate 400 include the same semiconductor material, e.g., silicon.
  • Relaxed substrate 400 may have a lattice constant equal to a lattice constant of strained layer 18 in the absence of strain.
  • Strained layer 18 may have a strain greater than approximately 1 ⁇ 10 ⁇ 3 .
  • Strained layer 18 may have been formed by epitaxy, and may have a thickness T 5 of between approximately 20 ⁇ -1000 ⁇ , with a thickness uniformity of better than approximately ⁇ 5%.
  • Surface 92 of strained layer 18 may have a surface roughness of less than 20 ⁇ .
  • the SSOI structure 100 including semiconductor substrate 54 and dielectric layer 52 , it may be favorable to selectively relax the strain in at least a portion of strained layer 18 .
  • Ion implantation parameters may be, for example, an implant of Si ions at a dose of 1 ⁇ 10 15 -1 ⁇ 10 17 ions-cm ⁇ 2 , at an energy of 5-75 keV.
  • a relaxed portion 502 of strained layer 18 is relaxed, while a strained portion 504 of strained layer 18 remains strained.
  • strained silicon layer 18 having a thickness of 54 nanometers (nm) along with ⁇ 350 nm of Si 0.70 Ge 0.30 have been transferred by hydrogen exfoliation to Si handle wafer 50 having dielectric layer 52 formed from thermal SiO 2 with a thickness of approximately 100 nm.
  • the implant conditions were 4 ⁇ 10 16 /cm 3 H 2 + dose at 75 keV.
  • the anneal procedure was 1 hour at 550° C. to split the SiGe layer, followed by a 1 hour, 800° C. strengthening anneal.
  • strained Si layer 18 The integrity of strained Si layer 18 and good bonding to dielectric layer 52 after layer transfer and anneal were confirmed with cross-sectional transmission electron microscopy (XTEM).
  • XTEM cross-sectional transmission electron microscopy
  • An SSOI structure 100 was characterized by XTEM and analyzed via Raman spectroscopy to determine the strain level of the transferred strained Si layer 18 .
  • An XTEM image of the transferred intermediate SiGe/strained Si/SiO 2 structure showed transfer of the 54 nm strained Si layer 18 and ⁇ 350 nm of the Si 0.70 Ge 0.30 relaxed layer 16 .
  • Strained Si layer 18 had a good integrity and bonded well to SiO 2 54 layer after the annealing process.
  • XTEM micrographs confirmed the complete removal of relaxed SiGe layer 16 after oxidation and HF etching.
  • the final structure includes strained Si layer 18 having a thickness of 49 nm on dielectric layer 52 including SiO 2 and having a thickness of 100 nm.
  • Raman spectroscopy data enabled a comparison of the bonded and cleaved structure before and after SiGe layer 16 removal. Based on peak positions the composition of the relaxed SiGe layer and strain in the Si layer may be calculated. See, for example, J. C. Tsang, et al., J. Appl. Phys. 75 (12) p. 8098 (1994), incorporated herein by reference.
  • the fabricated SSOI structure 100 had a clear strained Si peak visible at ⁇ 511 cm ⁇ 1 .
  • the SSOI structure 100 maintained greater than 1% tensile strain in the absence of the relaxed SiGe layer 16 .
  • the absence of Ge—Ge, SiGe, and Si—Si relaxed SiGe Raman peaks in the SSOI structure confirmed the complete removal of SiGe layer 16 .
  • the thermal stability of the strained Si layer was evaluated after a 3 minute 1000° C. rapid thermal anneal (RTA) to simulate an aggregate thermal budget of a CMOS process.
  • RTA rapid thermal anneal
  • a Raman spectroscopy comparision was made of SSOI structure 100 as processed and after the RTA step.
  • a scan of the as-bonded and cleaved sample prior to SiGe layer removal was used for comparision.
  • the strained Si peak was visible and the peak position did not shift.
  • the strain in SSOI structure 100 was stable and was not diminished by thermal processing.
  • bubbles or flaking of the strained Si surface 18 were not observed by Nomarski optical microscopy after the RTA, indicating good mechanical stability of SSOI structure 100 .

Abstract

The benefits of strained semiconductors are combined with silicon-on-insulator approaches to substrate and device fabrication.

Description

    RELATED APPLICATIONS
  • This application claims the benefit of U.S. Provisional Application No. 60/386,968 filed Jun. 7, 2002 and U.S. Provisional Application No. 60/404,058 filed Aug. 15, 2002; the entire disclosures of both provisional applications are hereby incorporated by reference.[0001]
  • FIELD OF THE INVENTION
  • This invention relates to devices and structures comprising strained semiconductor layers and insulator layers. [0002]
  • BACKGROUND
  • Strained silicon-on-insulator structures for semiconductor devices combine the benefits of two advanced approaches to performance enhancement: silicon-on-insulator (SOI) technology and strained silicon (Si) technology. The strained silicon-on-insulator configuration offers various advantages associated with the insulating substrate, such as reduced parasitic capacitances and improved isolation. Strained Si provides improved carrier mobilities. Devices such as strained Si metal-oxide-semiconductor field-effect transistors (MOSFETs) combine enhanced carrier mobilities with the advantages of insulating substrates. [0003]
  • Strained-silicon-on-insulator substrates are typically fabricated as follows. First, a relaxed silicon-germanium (SiGe) layer is formed on an insulator by one of several techniques such as separation by implantation of oxygen (SIMOX), wafer bonding and etch back; wafer bonding and hydrogen exfoliation layer transfer; or recrystallization of amorphous material. Then, a strained Si layer is epitaxially grown to form a strained-silicon-on-insulator structure, with strained Si disposed over SiGe. The relaxed-SiGe-on-insulator layer serves as the template for inducing strain in the Si layer. This induced strain is typically greater than 10[0004] −3. This structure has limitations. It is not conducive to the production of fully-depleted strained-semiconductor-on-insulator devices in which the layer over the insulating material must be thin enough [<300 angstroms (Å)] to allow for full depletion of the layer during device operation. Fully depleted transistors may be the favored version of SOI for MOSFET technologies beyond the 90 nm technology node. The relaxed SiGe layer adds to the total thickness of this layer and thus makes it difficult to achieve the thicknesses required for fully depleted silicon-on-insulator device fabrication. The relaxed SiGe layer is not required if a strained Si layer can be produced directly on the insulating material. Thus, there is a need for a method to produce strained silicon—or other semiconductor—layers directly on insulating substrates.
  • SUMMARY
  • The present invention includes a strained-semiconductor-on-insulator (SSOI) substrate structure and methods for fabricating the substrate structure. MOSFETs fabricated on this substrate will have the benefits of SOI MOSFETs as well as the benefits of strained Si mobility enhancement. By eliminating the SiGe relaxed layer traditionally found beneath the strained Si layer, the use of SSOI technology is simplified. For example, issues such as the diffusion of Ge into the strained Si layer during high temperature processes are avoided. [0005]
  • This approach enables the fabrication of well-controlled, epitaxially-defined, thin strained semiconductor layers directly on an insulator layer. Tensile strain levels of ˜1% or greater are possible in these structures, and are not diminished after thermal anneal cycles. In some embodiments, the strain-inducing relaxed layer is not present in the final structure, eliminating some of the key problems inherent to current strained Si-on-insulator solutions. This fabrication process is suitable for the production of enhanced-mobility substrates applicable to partially or fully depleted SSOI technology. [0006]
  • In an aspect, the invention features a structure that includes a first substrate having a dielectric layer disposed thereon, and a first strained semiconductor layer disposed in contact with the dielectric layer. [0007]
  • One or more of the following features may be included. The strained semiconductor layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element, such as silicon, germanium, silicon germanium, gallium arsenide, indium phosphide, or zinc selenide. The strained semiconductor layer may be substantially free of germanium, and any other layer disposed in contact with the strained semiconductor layer may be substantially free of germanium. The strained semiconductor layer may be tensilely strained or compressively strained. The strained semiconductor layer may have a strained portion and a relaxed portion. [0008]
  • A second strained semiconductor layer may be in contact with the first strained semiconductor layer. The first strained semiconductor layer may be compressively strained and the second strained semiconductor layer may be tensilely strained, or vice versa. [0009]
  • The structure may include a transistor having a source region and a drain region disposed in a portion of the strained semiconductor layer, a gate disposed above the strained semiconductor layer and between the source and drain regions, and a gate dielectric layer disposed between the gate and the strained semiconductor layer. [0010]
  • The strained semiconductor layer may have been formed on a second substrate, may have been disposed in contact with the dielectric layer by bonding, and may have a lower dislocation density than an initial dislocation density of the strained semiconductor layer as formed. The initial dislocation density may have been lowered by etching. The strained semiconductor layer may have been grown with an initial dislocation density and may have a dislocation density less than the initial dislocation density. The strained semiconductor layer may have been formed by epitaxy. The strained semiconductor layer may have a thickness uniformity of better than approximately ±5%. The strained layer has a thickness selected from a range of approximately 20 angstroms-1000 angstroms. The strained layer has a surface roughness of less than approximately 20 angstroms. The substrate may include silicon and/or germanium. [0011]
  • In another aspect, the invention features a structure including a relaxed substrate including a bulk material, and a strained layer disposed in contact with the relaxed substrate. The strain of the strained layer is not induced by the underlying substrate, and the strain is independent of a lattice mismatch between the strained layer and the relaxed substrate. The bulk material may include a first semiconductor material. The strained layer may include a second semiconductor material. The first semiconductor material may be essentially the same as the second semiconductor material. The first and second semiconductor material may include silicon. A lattice constant of the relaxed substrate may be equal to a lattice constant of the strained layer in the absence of strain. The strain of the strained layer may be greater than approximately 1×10[0012] −3. The strained layer may have been formed by epitaxy. The strained layer may have a thickness uniformity of better than approximately ±5%. The strained layer may have a thickness selected from a range of approximately 20 angstroms-1000 angstroms. The strained layer may have a surface roughness of less than approximately 20 angstroms.
  • The structure may include a transistor having a source region and a drain region disposed in a portion of the strained semiconductor layer, a gate contact disposed above the strained semiconductor layer and between the source and drain regions, and a gate dielectric layer disposed between the gate contact and the strained semiconductor layer. [0013]
  • In another aspect, the invention features a structure including a substrate including a dielectric material, and a strained semiconductor layer disposed in contact with the dielectric material. [0014]
  • One or more of the following features may be included. The dielectric material may include sapphire. The semiconductor layer may have been formed on a second substrate, have been disposed in contact with the dielectric material by bonding, and have a lower dislocation density than an initial dislocation density of the semiconductor layer as formed. The initial dislocation density may have been lowered by etching. The semiconductor layer may have been formed by epitaxy. [0015]
  • In another aspect, the invention features a method for forming a structure, the method including providing a first substrate having a first strained semiconductor layer formed thereon, bonding the first strained semiconductor layer to an insulator layer disposed on a second substrate and, removing the first substrate from the first strained semiconductor layer, the strained semiconductor layer remaining bonded to the insulator layer. [0016]
  • One or more of the following features may be included. The strained semiconductor layer may be tensilely or compressively strained. The strained semiconductor layer may include a surface layer or a buried layer after the removal of the first substrate. [0017]
  • Removing the first substrate from the strained semiconductor layer may include cleaving. Cleaving may include implantation of an exfoliation species through the strained semiconductor layer to initiate cleaving. The exfoliation species may include at least one of hydrogen and helium. Providing the first substrate may include providing the first substrate having a second strained layer disposed between the substrate and the first strained layer, the second strained layer acting as a cleave plane during cleaving. The second strained layer may include a compressively strained layer. The compressively strained layer may include S[0018] 1−xGex. The first substrate may have a relaxed layer disposed between the substrate and the first strained layer.
  • The relaxed layer may be planarized prior to forming the first strained semiconductor layer. After the relaxed layer is planarized, a relaxed semiconductor regrowth layer may be formed thereon. A dielectric layer may be formed over the first strained semiconductor layer prior to bonding the first strained semiconductor layer to an insulator layer. Removing the first substrate from the strained semiconductor layer may include mechanical grinding. Bonding may include achieving a high bond strength, e.g., greater than or equal to about 1000 milliJoules/meter squared (mJ/m[0019] 2), at a low temperature, e.g., less than approximately 600° C.
  • Bonding may include plasma activation of a surface of the first semiconductor layer prior to bonding the first semiconductor layer. Plasma activation may include use of at least one of an ammonia (NH[0020] 3), an oxygen (O2), an argon (Ar), and a nitrogen (N2) source gas. Bonding may include planarizing a surface of the first semiconductor layer prior to bonding the first semiconductor layer by, e.g., chemical-mechanical polishing. A portion of the first strained semiconductor layer may be relaxed such as by, e.g., introducing a plurality of ions into the portion of the first strained semiconductor layer.
  • A transistor may be formed by forming a gate dielectric layer above a portion of the strained semiconductor layer, forming a gate contact above the gate dielectric layer, and forming a source region and a drain region in a portion of the strained semiconductor layer, proximate the gate dielectric layer. [0021]
  • In another aspect, the invention features a method for forming a structure, the method including providing a substrate having a relaxed layer disposed over a first strained layer, the relaxed layer inducing strain in the first strained layer, and removing at least a portion of the relaxed layer selectively with respect to the first strained layer. [0022]
  • One or more of the following features may be included. The first strained layer may be bonded to the substrate, including, e.g., to an insulator layer disposed on the substrate. The first strained layer may be formed over the relaxed layer on another substrate. The portion of the relaxed layer may be removed by, e.g., oxidation, a wet chemical etch, a dry etch, and/or chemical-mechanical polishing. After removal of at least a portion of the relaxed layer, the strained layer may be planarized by, e.g., chemical-mechanical polishing and/or an anneal. The anneal may be performed at a temperature greater than 800° C. [0023]
  • The substrate may have an etch stop layer disposed between the relaxed layer and the strained layer. The etch stop layer may be compressively strained. The strained layer may include silicon, the relaxed layer may include silicon germanium, and the etch stop layer may include silicon germanium carbon. The relaxed layer may include Si[0024] 1−yGey, the etch stop layer may include Si1−xGex, and x may be greater than y, e.g., x may be approximately 0.5 and y may be approximately 0.2. The etch stop layer enables an etch selectivity to the relaxed layer of greater than 10:1, e.g., greater than 100:1. The etch stop layer may have a thickness selected from a range of about 20 angstroms to about 1000 angstroms. The relaxed layer may be formed over a graded layer.
  • In another aspect, the invention features a method for forming a structure, the method including providing a first substrate having a dielectric layer disposed thereon, and forming a semiconductor layer on a second substrate, the semiconductor layer having an initial misfit dislocation density. The semiconductor layer is bonded to the dielectric layer, and the second substrate is removed, the semiconductor layer remaining bonded to the dielectric layer. The misfit dislocation density in the semiconductor layer is reduced. [0025]
  • One or more of the following features may be included. The misfit dislocation density may be reduced by removing a portion of the semiconductor layer, such as, e.g., by etching. After removing a portion of the semiconductor layer to reduce misfit dislocation density, a regrowth layer may be formed over the semiconductor layer without increasing misfit dislocation density. The regrowth layer may be formed by epitaxy. [0026]
  • In another aspect, the invention features a method for forming a structure, the method including providing a first substrate having a dielectric layer disposed thereon, forming a semiconductor layer on a second substrate, the semiconductor layer having an initial misfit dislocation density. The semiconductor layer is bonded to the dielectric layer. The second substrate is removed, the semiconductor layer remaining bonded to the dielectric layer, and a regrowth layer is grown over the semiconductor layer. [0027]
  • One or more of the following features may be included. The semiconductor layer and the regrowth layer may include the same semiconductor material. The semiconductor layer and the regrowth layer together may have a misfit dislocation density not greater than the initial misfit dislocation density. [0028]
  • In another aspect, the invention features a method for forming a structure, the method including providing a first substrate having a strained layer disposed thereon, the strained layer including a first semiconductor material, and bonding the strained layer to a second substrate, the second substrate including a bulk material. The first substrate is removed from the strained layer, the strained layer remaining bonded to the bulk semiconductor material. The strain of the strained layer is not induced by the second substrate and the strain is independent of lattice mismatch between the strained layer and the second substrate. [0029]
  • One or more of the following features may be included. The bulk material may include a second semiconductor material. The first semiconductor material may be substantially the same as the second semiconductor material. The second substrate and/or the strained semiconductor layer may include silicon. [0030]
  • In another aspect, the invention features a method for forming a structure, the method including providing a first substrate having a semiconductor layer disposed over a strained layer. The semiconductor layer is bonded to an insulator layer disposed on a second substrate, and the first substrate is removed from the strained layer, the semiconductor layer remaining bonded to the insulator layer. [0031]
  • One or more of the following features may be included. The semiconductor layer may be substantially relaxed. The semiconductor layer and/or the strained layer may include at least one of a group II, a group III, a group IV, a group V, and a group VI element. The semiconductor layer may include germanium and the strained layer may include silicon.[0032]
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIGS. [0033] 1A-6 are schematic cross-sectional views of substrates illustrating a method for fabricating an SSOI substrate;
  • FIG. 7 is a schematic cross-sectional view illustrating an alternative method for fabricating the SSOI substrate illustrated in FIG. 6; [0034]
  • FIG. 8 is a schematic cross-sectional view of a transistor formed on the SSOI substrate illustrated in FIG. 6; [0035]
  • FIGS. [0036] 9-10 are schematic cross-sectional views of substrate(s) illustrating a method for fabricating an alternative SSOI substrate;
  • FIG. 11 is a schematic cross-sectional view of a substrate having several layers formed thereon; [0037]
  • FIGS. [0038] 12-13 are schematic cross-sectional views of substrates illustrating a method for fabricating an alternative strained semiconductor substrate; and
  • FIG. 14 is a schematic cross-sectional view of the SSOI substrate illustrated in FIG. 6 after additional processing.[0039]
  • Like-referenced features represent common features in corresponding drawings. [0040]
  • DETAILED DESCRIPTION
  • An SSOI structure may be formed by wafer bonding followed by cleaving. FIGS. [0041] 1A-2B illustrate formation of a suitable strained layer on a wafer for bonding, as further described below.
  • Referring to FIG. 1A, an epitaxial wafer [0042] 8 has a plurality of layers 10 disposed over a substrate 12. Substrate 12 may be formed of a semiconductor, such as Si, Ge, or SiGe. The plurality of layers 10 includes a graded buffer layer 14, which may be formed of Si1−yGey, with a maximum Ge content of, e.g., 20-70% (i.e., y=0.2-0.7) and a thickness T1 of, for example, 2-7 micrometers (μm). A relaxed layer 16 is disposed over graded buffer layer 14. Relaxed layer 16 may be formed of uniform Si1−xGex having a Ge content of, for example, 20-70% (i.e., x=0.2-0.7), and a thickness T2 of, for example, 0.2-2 μm. In some embodiments, Si1−xGex may include Si0.70Ge0.30 and T2 may be approximately 1.5 μm. Relaxed layer 16 may be fully relaxed, as determined by triple axis X-ray diffraction, and may have a threading dislocation density of <1×106 cm−2, as determined by etch pit density (EPD) analysis.
  • [0043] Substrate 12, graded layer 14, and relaxed layer 16 may be formed from various materials systems, including various combinations of group II, group III, group IV, group V, and group VI elements. For example, each of substrate 12, graded layer 14, and relaxed layer 16 may include a III-V compound. Substrate 12 may include gallium arsenide (GaAs), graded layer 14 and relaxed layer 16 may include indium gallium arsenide (InGaAs) or aluminum gallium arsenide (AlGaAs). These examples are merely illustrative, and many other material systems are suitable.
  • A [0044] strained semiconductor layer 18 is disposed over relaxed layer 16. Strained layer 18 may include a semiconductor such as at least one of a group II, a group III, a group IV, a group V, and a group VI element. Strained semiconductor layer 18 may include, for example, Si, Ge, SiGe, GaAs, indium phosphide (InP), and/or zinc selenide (ZnSe). Strained layer 18 has a thickness T3 of, for example, 50-1000 Å. In an embodiment, T3 may be approximately 200-500 Å. Strained layer 18 may be formed by epitaxy, such as by atmospheric-pressure CVD (APCVD), low- (or reduced-) pressure CVD (LPCVD), ultra-high-vacuum CVD (UHVCVD), or by molecular beam epitaxy (MBE). The epitaxial growth system may be a single-wafer or multiple-wafer batch reactor. The growth system may also utilize a low-energy plasma to enhance layer growth kinetics. After formation, strained layer 18 has an initial misfit dislocation density, of, for example, 0-105 cm−1. In one embodiment, strained layer 18 is tensilely strained. In another embodiment, strained layer 18 is compressively strained.
  • In alternative embodiments, graded [0045] layer 14 may be absent from the structure. Relaxed layer 16 may be formed in various ways, and the invention is not limited to embodiments having graded layer 14. In other embodiments, strained layer 18 may be formed directly on substrate 12. In this case, the strain in layer 18 may be induced by lattice mismatch between layer 18 and substrate 12, induced mechanically, e.g., by the deposition of overlayers, such as Si3N4, or induced by thermal mismatch between layer 18 and a subsequently grown layer, such as a SiGe layer. In some embodiments, a uniform semiconductor layer (not shown), having a thickness of approximately 0.5 μm and comprising the same semiconductor material as substrate 12, is disposed between graded buffer layer 14 and substrate 12. This uniform semiconductor layer may be grown to improve the material quality of layers subsequently grown on substrate 12, such as graded buffer layer 14, by providing a clean, contaminant-free surface for epitaxial growth. In certain embodiments, relaxed layer 16 may be planarized prior to growth of strained layer 18 to eliminate the crosshatched surface roughness induced by graded buffer layer 14. (See, e.g., M. T. Currie, et al., Appl. Phys. Lett., 72 (14) p. 1718 (1998), incorporated herein by reference.) The planarization may be performed by a method such as chemical mechanical polishing (CMP), and may improve the quality of a subsequent bonding process (see below) because it minimizes the wafer surface roughness and increases wafer flatness, thus providing a greater surface area for bonding.
  • Referring to FIG. 1B, after planarization of [0046] relaxed layer 16, a relaxed semiconductor regrowth layer 19 including a semiconductor such as SiGe may be grown on relaxed layer 16, thus improving the quality of subsequent strained layer 18 growth by ensuring a clean surface for the growth of strained layer 18. Growing on this clean surface may be preferable to growing strained material, e.g., silicon, on a surface that is possibly contaminated by oxygen and carbon from the planarization process. The conditions for epitaxy of the relaxed semiconductor regrowth layer 19 on the planarized relaxed layer 16 should be chosen such that surface roughness of the resulting structure, including layers formed over regrowth layer 19, is minimized to ensure a surface suitable for subsequent high quality bonding. High quality bonding may be defined as the existence of a bond between two wafers that is substantially free of bubbles or voids at the interface. Measures that may help ensure a smooth surface for strained layer 18 growth, thereby facilitating bonding, include substantially matching a lattice of the semiconductor regrowth layer 19 to that of the underlying relaxed layer 16, by keeping the regrowth thickness below approximately 1 μm, and/or by keeping the growth temperature below approximately 850° C. for at least a portion of the semiconductor layer 19 growth. It may also be advantageous for relaxed layer 16 to be substantially free of particles or areas with high threading dislocation densities (i.e., threading dislocation pile-ups) which could induce non-planarity in the regrowth and decrease the quality of the subsequent bond.
  • Referring to FIG. 2A, in an embodiment, hydrogen ions are implanted into [0047] relaxed layer 16 to define a cleave plane 20. This implantation is similar to the SMARTCUT process that has been demonstrated in silicon by, e.g., SOITEC, based in Grenoble, France. Implantation parameters may include implantation of hydrogen (H2 +) to a dose of 3-5×1016/cm2 at an energy of, e.g., 50-100 keV. For example, H2 + may be implanted at an energy of 75 keV and a dose of 4×1016/cm2 through strained layer 18 into relaxed layer 16. In alternative embodiments, it may be favorable to implant at energies less than 50 keV to decrease the depth of cleave plane 20 and decrease the amount of material subsequently removed during the cleaving process (see discussion below with reference to FIG. 4). In an alternative embodiment, other implanted species may be used, such as H+ or He+, with the dose and energy being adjusted accordingly. The implantation may also be performed prior to the formation of strained layer 18. Then, the subsequent growth of strained layer 18 is preferably performed at a temperature low enough to prevent premature cleaving along cleave plane 20, i.e., prior to the wafer bonding process. This cleaving temperature is a complex function of the implanted species, implanted dose, and implanted material. Typically, premature cleaving may be avoided by maintaining a growth temperature below approximately 500° C.
  • In some embodiments, [0048] strained layer 18 may be planarized by, e.g., CMP, to improve the quality of the subsequent bond. Referring to FIG. 2B, in some embodiments, a dielectric layer 22 may be formed over strained layer 18 prior to ion implantation into relaxed layer 16 to improve the quality of the subsequent bond. Dielectric layer 22 may be, e.g., silicon dioxide (SiO2) deposited by, for example, LPCVD or by high density plasma (HDP). An LPCVD deposited SiO2 layer may be subjected to a densification step at elevated temperature. Suitable conditions for this densification step can be a 10 minute anneal at 800° C. in a nitrogen ambient. Dielectric layer 22 may be planarized by, e.g., CMP to improve the quality of the subsequent bond. In an alternative embodiment, it may be advantageous for dielectric layer 22 to be formed from thermally grown SiO2 in order to provide a high quality semiconductor/dielectric interface in the final structure.
  • Referring to FIG. 3, epitaxial wafer [0049] 8 is bonded to a handle wafer 50. Either handle wafer 50, epitaxial wafer 8, or both have a top dielectric layer (see, e.g., dielectric layer 22 in FIG. 2B) to facilitate the bonding process and to serve as an insulator layer in the final substrate structure. Handle wafer 50 may have a dielectric layer 52 disposed over a semiconductor substrate 54. Dielectric layer 52 may include, for example, SiO2, silicon nitride (Si3N4), aluminum oxide, etc. In other embodiments, handle wafer 50 may comprise a combination of a bulk semiconductor material and a dielectric layer, such as a silicon on insulator substrate. Semiconductor substrate 54 includes a semiconductor material such as, for example, Si, Ge, or SiGe. Handle wafer 50 and epitaxial wafer 8 are cleaned by a wet chemical cleaning procedure to facilitate bonding, such as by a hydrophilic surface preparation process to assist the bonding of a semiconductor material, e.g., strained layer 18, to a dielectric material, e.g., dielectric layer 52. For example, a suitable prebonding surface preparation cleaning procedure could include a modified megasonic RCA SC1 clean containing ammonium hydroxide, hydrogen peroxide, and water (NH4OH:H2O2:H2O) at a ratio of 1:4:20 at 60° C. for 10 minutes, followed by a deionized (DI) water rinse and spin dry. The wafer bonding energy should be strong enough to sustain the subsequent layer transfer (see FIG. 4). In some embodiments, top surfaces 60, 62 of handle wafer 50 and epitaxial wafer 8, including a top surface 63 of strained semiconductor layer 18, may be subjected to a plasma activation, either before, after, or instead of a wet clean, to increase the bond strength. The plasma environment may include at least one of the following species: oxygen, ammonia, argon, and nitrogen. After an appropriate cleaning step, handle wafer 50 and epitaxial wafer 8 are bonded together by bringing top surfaces 60, 62 in contact with each other at room temperature. The bond strength may be greater than 1000 mJ/m2, achieved at a low temperature, such as less than 600° C.
  • Referring to FIG. 4 as well as to FIG. 3, a split is induced at [0050] cleave plane 20 by annealing handle wafer 50 and epitaxial wafer 8 after they are bonded together. This split may be induced by an anneal at 300-700° C., e.g., 550° C., inducing hydrogen exfoliation layer transfer (i.e., along cleave plane 20) and resulting in the formation of two separate wafers 70, 72. One of these wafers (70) has a first portion 80 of relaxed layer 16 (see FIG. 1A) disposed over strained layer 18. Strained layer 18 is in contact with dielectric layer 52 on semiconductor substrate 54. The other of these wafers (72) includes silicon substrate 12, graded layer 14, and a remaining portion 82 of relaxed layer 16. If necessary, wafer 70 with strained layer 18 may be annealed further at 600-900° C., e.g., at a temperature greater than 800° C., to strengthen the bond between the strained layer 18 and dielectric layer 52. In some embodiments, this anneal is limited to an upper temperature of about 900° C. to avoid the destruction of a strained Si/relaxed SiGe heterojunction by diffusion. Wafer 72 may be planarized, and used as starting substrate 8 for growth of another strained layer 18. In this manner, wafer 72 may be “recycled” and the process illustrated in FIGS. 1A-5 may be repeated.
  • Referring to FIG. 4 as well as to FIG. 5, [0051] relaxed layer portion 80 is removed from strained layer 18. Relaxed layer portion 80, including, e.g., SiGe, is oxidized by wet (steam) oxidation. For example, at temperatures below approximately 800° C., such as temperatures between 600-750° C., wet oxidation will oxidize SiGe much more rapidly then Si, such that the oxidation front will effectively stop when it reaches the strained layer 18, in embodiments in which strained layer 18 includes Si. The difference between wet oxidation rates of SiGe and Si may be even greater at lower temperatures, such as approximately 400° C.-600° C. Good oxidation selectivity is provided by this difference in oxidation rates, i.e., SiGe may be efficiently removed at low temperatures with oxidation stopping when strained layer 18 is reached. This wet oxidation results in the transformation of SiGe to a thermal insulator 90, e.g., SixGeyOz. The thermal insulator 90 resulting from this oxidation is removed in a selective wet or dry etch, e.g., wet hydrofluoric acid. In some embodiments, it may be more economical to oxidize and strip several times, instead of just once.
  • In certain embodiments, wet oxidation may not completely remove the [0052] relaxed layer portion 80. Here, a localized rejection of Ge may occur during oxidation, resulting in the presence of a residual Ge-rich SiGe region at the oxidation front, on the order of, for example, several nanometers in lateral extent. A surface clean may be performed to remove this residual Ge. For example, the residual Ge may be removed by a dry oxidation at, e.g., 600° C., after the wet oxidation and strip described above. Another wet clean may be performed in conjunction with—or instead of—the dry oxidation. Examples of possible wet etches for removing residual Ge include a Piranha etch, i.e., a wet etch that is a mixture of sulfuric acid and hydrogen peroxide (H2SO4:H2O2) at a ratio of 3:1. An HF dip may be performed after the Piranha etch. Alternatively, an RCA SC1 clean may be used to remove the residual Ge. The process of Piranha or RCA SC1 etching and HF removal of resulting oxide may be repeated more than once.
  • In an embodiment, after cleaving and prior to removal of [0053] relaxed layer portion 80 by, e.g., wet oxidation, a CMP step may be performed to remove part of relaxed layer portion 80 as well as to increase the smoothness of its surface. A smoother surface will improve the uniformity of subsequent complete removal by, e.g., wet oxidation.
  • After removal of [0054] relaxed layer portion 80, strained layer 18 may be planarized. Planarization of strained layer 18 may be performed by, e.g., CMP or an anneal at a temperature greater than, for example, 800° C.
  • Referring to FIG. 6, a [0055] SSOI substrate 100 has strained layer 18 disposed over an insulator, such as dielectric layer 52 formed on semiconductor substrate 54. Strained layer 18 has a thickness T4 selected from a range of, for example, 20-1000 Å, with a thickness uniformity of better than approximately ±5% and a surface roughness of less than approximately 20 Å. Dielectric layer 52 has a thickness T52 selected from a range of, for example, 500-3000 Å. In an embodiment, the misfit dislocation density of strained layer 18 may be lower than its initial dislocation density. The initial dislocation density may be lowered by, for example, performing an etch of a top surface 92 of strained layer 18. This etch may be a wet etch, such as a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H2O2+NH4OH+H2O), which at, e.g., 80° C. may remove silicon. In some embodiments, strained semiconductor layer 18 includes Si and is substantially free of Ge; further, any other layer disposed in contact with strained semiconductor layer 18, e.g., dielectric layer 52, is also substantially free of Ge.
  • Referring to FIG. 7, in an alternative embodiment, [0056] relaxed layer portion 80 may be removed by a selective wet etch which stops at the strained layer 18 to obtain SSOI substrate 100 (see FIG. 6). In embodiments in which relaxed layer portion 80 contains SiGe, a suitable selective SiGe wet etch may be a mixture of hydrofluoric acid, hydrogen peroxide, and acetic acid (HF:H2O2:CH3COOH), at a ratio of 1:2:3. Alternatively, relaxed layer portion 80 may be removed by a dry etch which stops at strained layer 18. In some embodiments, relaxed layer portion 80 may be removed completely or in part by a chemical-mechanical polishing step or by mechanical grinding.
  • Strained semiconductor-on-[0057] insulator substrate 100 may be further processed by CMOS SOI MOSFET fabrication methods. For example, referring to FIG. 8, a transistor 200 may be formed on SSOI substrate 100. Forming transistor 200 includes forming a gate dielectric layer 210 above strained layer 18 by, for example, growing an SiO2 layer by thermal oxidation. Alternatively, gate dielectric layer 210 may include a high-k material with a dielectric constant higher than that of SiO2, such as hafnium oxide (HfO2)or hafnium silicate (HfSiON, HfSiO4). In some embodiments, gate dielectric layer 210 may be a stacked structure, e.g., a thin SiO2 layer capped with a high-k material. A gate 212 is formed over gate dielectric layer 210. Gate 212 may be formed of a conductive material, such as doped semiconductor, e.g., polycrystalline Si or polycrystalline SiGe, or a metal. A source region 214 and a drain region 216 are formed in a portion 218 of strained semiconductor layer 18, proximate gate dielectric layer 210. Source and drain regions 214, 216 may be formed by, e.g., ion implantation of either n-type or p-type dopants.
  • In alternative embodiments, an SSOI structure may include, instead of a single strained layer, a plurality of semiconductor layers disposed on an insulator layer. For example, referring to FIG. 9, [0058] epitaxial wafer 300 includes strained layer 18, relaxed layer 16, graded layer 14, and substrate 12. In addition, a semiconductor layer 310 is disposed over strained layer 18. Strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained. In an alternative embodiment, strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained. Strain may be induced by lattice mismatch with respect to an adjacent layer, as described above, or mechanically. For example, strain may be induced by the deposition of overlayers, such as Si3N4. In another embodiment, semiconductor layer 310 is relaxed. Semiconductor layer 310 includes a semiconductor material, such as at least one of a group II, a group III, a group IV, a group V, and a group VI element. Epitaxial wafer 300 is processed in a manner analogous to the processing of epitaxial wafer 8, as described with reference to FIGS. 1-7.
  • Referring also to FIG. 10, processing of [0059] epitaxial wafer 300 results in the formation of SSOI substrate 350, having strained layer 18 disposed over semiconductor layer 310. Semiconductor layer 310 is bonded to dielectric layer 52, disposed over substrate 54. As noted above with reference to FIG. 9, strained layer 18 may be tensilely strained and semiconductor layer 310 may be compressively strained. Alternatively, strained layer 18 may be compressively strained and semiconductor layer 310 may be tensilely strained. In some embodiments, semiconductor layer 310 may be relaxed.
  • Referring to FIG. 11, in some embodiments, a thin [0060] strained layer 84 may be grown between strained layer 18 and relaxed layer 16 to act as an etch stop during etching, such as wet etching. In an embodiment in which strained layer 18 includes Si and relaxed layer 16 includes Si1−yGey, thin strained layer 84 may include Si1−xGex, with a higher Ge content (x) than the Ge content (y) of relaxed layer 16, and hence be compressively strained. For example, if the composition of the relaxed layer 16 is 20% Ge (Si0.80Ge0.20), thin strained layer 84 may contain 40% Ge (Si0.60Ge0.40) to provide a more robust etch stop. In other embodiments, a second strained layer, such as thin strained layer 84 with higher Ge content than relaxed layer 16, may act as a preferential cleave plane in the hydrogen exfoliation/cleaving procedure described above.
  • In an alternative embodiment, thin [0061] strained layer 84 may contain Si1−xGex, with lower Ge content than relaxed layer 16. In this embodiment, thin strained layer 84 may act as a diffusion barrier during the wet oxidation process. For example, if the composition of relaxed layer 16 is 20% Ge (Si0.80Ge0.20), thin strained layer 84 may contain 10% Ge (Si0.90Ge0.10) to provide a barrier to Ge diffusion from the higher Ge content relaxed layer 16 during the oxidation process. In another embodiment, thin strained layer 84 may be replaced with a thin graded Si1−zGez layer in which the Ge composition (z) of the graded layer is decreased from relaxed layer 16 to the strained layer 18.
  • Referring again to FIG. 7, in some embodiments, a small amount, e.g., approximately 20-100 Å, of [0062] strained layer 18 may be removed at an interface 105 between strained layer 18 and relaxed layer portion 80. This may be achieved by overetching after relaxed layer portion 80 is removed. Alternatively, this removal of strained layer 18 may be performed by a standard microelectronics clean step such as an RCA SC1, i.e., hydrogen peroxide, ammonium hydroxide, and water (H2O2+NH4OH+H2O), which at, e.g., 80° C. may remove silicon. This silicon removal may remove any misfit dislocations that formed at the original strained layer 18/relaxed layer 80 interface 105 if strained layer 18 was grown above the critical thickness. The critical thickness may be defined as the thickness of strained layer 18 beyond which it becomes energetically favorable for the strain in the layer to partially relax via the introduction of misfit dislocations at interface 105 between strained layer 18 and relaxed layer 16. Thus, the method illustrated in FIGS. 1-7 provides a technique for obtaining strained layers above a critical thickness without misfit dislocations that may compromise the performance of deeply scaled MOSFET devices.
  • Referring to FIG. 12, in some embodiments, handle [0063] wafer 50 may have a structure other than a dielectric layer 52 disposed over a semiconductor substrate 54. For example, a bulk relaxed substrate 400 may comprise a bulk material 410 such as a semiconductor material, e.g., bulk silicon. Alternatively, bulk material 410 may be a bulk dielectric material, such as Al2O3 (e.g., alumina or sapphire) or SiO2 (e.g., quartz). Epitaxial wafer 8 may then be bonded to handle wafer 400 (as described above with reference to FIGS. 1-6), with strained layer 18 being bonded to the bulk material 410 comprising handle wafer 400. In embodiments in which bulk material 410 is a semiconductor, to facilitate this semiconductor-semiconductor bond, a hydrophobic clean may be performed, such as an HF dip after an RCA SC1 clean.
  • Referring to FIG. 13, after bonding and further processing (as described above), a strained-semiconductor-on-semiconductor (SSOS) [0064] substrate 420 is formed, having strained layer 18 disposed in contact with relaxed substrate 400. The strain of strained layer 18 is not induced by underlying relaxed substrate 400, and is independent of any lattice mismatch between strained layer 18 and relaxed substrate 400. In an embodiment, strained layer 18 and relaxed substrate 400 include the same semiconductor material, e.g., silicon. Relaxed substrate 400 may have a lattice constant equal to a lattice constant of strained layer 18 in the absence of strain. Strained layer 18 may have a strain greater than approximately 1×10−3. Strained layer 18 may have been formed by epitaxy, and may have a thickness T5 of between approximately 20 Å-1000 Å, with a thickness uniformity of better than approximately ±5%. Surface 92 of strained layer 18 may have a surface roughness of less than 20 Å.
  • Referring to FIG. 14, in an embodiment, after fabrication of the [0065] SSOI structure 100 including semiconductor substrate 54 and dielectric layer 52, it may be favorable to selectively relax the strain in at least a portion of strained layer 18. This could be accomplished by introducing a plurality of ions by, e.g., ion implantation after a photolithography step in which at least a portion of the structure is masked by, for example, a photoresist feature 500. Ion implantation parameters may be, for example, an implant of Si ions at a dose of 1×1015-1×1017 ions-cm−2, at an energy of 5-75 keV. After ion implantation, a relaxed portion 502 of strained layer 18 is relaxed, while a strained portion 504 of strained layer 18 remains strained.
  • The bonding of [0066] strained silicon layer 18 to dielectric layer 52 has been experimentally demonstrated. For example, strained layer 18 having a thickness of 54 nanometers (nm) along with ˜350 nm of Si0.70Ge0.30 have been transferred by hydrogen exfoliation to Si handle wafer 50 having dielectric layer 52 formed from thermal SiO2 with a thickness of approximately 100 nm. The implant conditions were 4×1016/cm3 H2 + dose at 75 keV. The anneal procedure was 1 hour at 550° C. to split the SiGe layer, followed by a 1 hour, 800° C. strengthening anneal. The integrity of strained Si layer 18 and good bonding to dielectric layer 52 after layer transfer and anneal were confirmed with cross-sectional transmission electron microscopy (XTEM). An SSOI structure 100 was characterized by XTEM and analyzed via Raman spectroscopy to determine the strain level of the transferred strained Si layer 18. An XTEM image of the transferred intermediate SiGe/strained Si/SiO2 structure showed transfer of the 54 nm strained Si layer 18 and ˜350 nm of the Si0.70Ge0.30 relaxed layer 16. Strained Si layer 18 had a good integrity and bonded well to SiO 2 54 layer after the annealing process.
  • XTEM micrographs confirmed the complete removal of [0067] relaxed SiGe layer 16 after oxidation and HF etching. The final structure includes strained Si layer 18 having a thickness of 49 nm on dielectric layer 52 including SiO2 and having a thickness of 100 nm.
  • Raman spectroscopy data enabled a comparison of the bonded and cleaved structure before and after [0068] SiGe layer 16 removal. Based on peak positions the composition of the relaxed SiGe layer and strain in the Si layer may be calculated. See, for example, J. C. Tsang, et al., J. Appl. Phys. 75 (12) p. 8098 (1994), incorporated herein by reference. The fabricated SSOI structure 100 had a clear strained Si peak visible at ˜511 cm−1. Thus, the SSOI structure 100 maintained greater than 1% tensile strain in the absence of the relaxed SiGe layer 16. In addition, the absence of Ge—Ge, SiGe, and Si—Si relaxed SiGe Raman peaks in the SSOI structure confirmed the complete removal of SiGe layer 16.
  • In addition, the thermal stability of the strained Si layer was evaluated after a 3 minute 1000° C. rapid thermal anneal (RTA) to simulate an aggregate thermal budget of a CMOS process. A Raman spectroscopy comparision was made of [0069] SSOI structure 100 as processed and after the RTA step. A scan of the as-bonded and cleaved sample prior to SiGe layer removal was used for comparision. Throughout the SSOI structure 100 fabrication processs and subsequent anneal, the strained Si peak was visible and the peak position did not shift. Thus, the strain in SSOI structure 100 was stable and was not diminished by thermal processing. Furthermore, bubbles or flaking of the strained Si surface 18 were not observed by Nomarski optical microscopy after the RTA, indicating good mechanical stability of SSOI structure 100.
  • The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The foregoing embodiments are therefore to be considered in all respects illustrative rather than limiting on the invention described herein. Scope of the invention is thus indicated by the appended claims rather than by the foregoing description, and all changes which come within the meaning and range of equivalency of the claims are intended to be embraced therein.[0070]

Claims (109)

What is claimed is:
1. A structure comprising:
a first substrate having a dielectric layer disposed thereon; and
a first strained semiconductor layer disposed in contact with the dielectric layer.
2. The structure of claim 1 wherein the strained semiconductor layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
3. The structure of claim 2 wherein the strained semiconductor layer comprises silicon.
4. The structure of claim 3 wherein the strained semiconductor layer is substantially free of germanium, and any other layer disposed in contact with the strained semiconductor layer is substantially free of germanium.
5. The structure of claim 2 wherein the strained semiconductor layer comprises germanium.
6. The structure of claim 2 wherein the strained semiconductor layer comprises silicon germanium.
7. The structure of claim 2 wherein the strained semiconductor layer comprises gallium arsenide.
8. The structure of claim 2 wherein the strained semiconductor layer comprises indium phosphide.
9. The structure of claim 2 wherein the strained semiconductor layer comprises zinc selenide.
10. The structure of claim 1 wherein the strained semiconductor layer is tensilely strained.
11. The structure of claim 1 wherein the strained semiconductor layer is compressively strained.
12. The structure of claim 1 wherein the strained semiconductor layer comprises a strained portion and a relaxed portion.
13. The structure of claim 1, further comprising:
a second strained semiconductor layer in contact with the first strained semiconductor layer.
14. The structure of claim 13 wherein the first strained semiconductor layer is compressively strained and the second strained semiconductor layer is tensilely strained.
15. The structure of claim 13 wherein the first strained semiconductor layer is tensilely strained and the second strained semiconductor layer is compressively strained.
16. The structure of claim 1, further comprising:
a transistor including
a source region and a drain region disposed in a portion of the strained semiconductor layer;
a gate disposed above the strained semiconductor layer and between the source and drain regions; and
a gate dielectric layer disposed between the gate and the strained semiconductor layer.
17. The structure of claim 1 wherein the strained semiconductor layer has been formed on a second substrate, has been disposed in contact with the dielectric layer by bonding, and has a lower dislocation density than an initial dislocation density of the strained semiconductor layer as formed.
18. The structure of claim 17 wherein the initial dislocation density has been lowered by etching.
19. The structure of claim 1 wherein the strained semiconductor layer has been grown with an initial dislocation density and has a dislocation density less than the initial dislocation density.
20. The structure of claim 1 wherein the strained semiconductor layer has been formed by epitaxy.
21. The structure of claim 1 wherein the strained semiconductor layer has a thickness uniformity of better than approximately ±5%.
22. The structure of claim 1 wherein the strained layer has a thickness selected from a range of approximately 20 angstroms-1000 angstroms.
23. The structure of claim 1 wherein the strained layer has a surface roughness of less than approximately 20 angstroms.
24. The structure of claim 1 wherein the substrate comprises silicon.
25. The structure of claim 1 wherein the substrate comprises germanium.
26. The structure of claim 1 wherein the substrate comprises silicon germanium.
27. A structure comprising:
a relaxed substrate comprising a bulk material; and
a strained layer disposed in contact with the relaxed substrate,
wherein the strain of the strained layer is not induced by the underlying substrate and the strain is independent of a lattice mismatch between the strained layer and the relaxed substrate.
28. The structure of claim 27 wherein the bulk material comprises a first semiconductor material.
29. The structure of claim 27 wherein the strained layer comprises a second semiconductor material.
30. The structure of claim 29 wherein the bulk material comprises a first semiconductor material.
31. The structure of claim 30 wherein the first semiconductor material is essentially the same as the second semiconductor material.
32. The structure of claim 31 wherein the first semiconductor material and the second semiconductor material comprise silicon.
33. The structure of claim 27 wherein a lattice constant of the relaxed substrate is equal to a lattice constant of the strained layer in the absence of said strain.
34. The structure of claim 27 wherein the strain of the strained layer is greater than approximately 1×10−3.
35. The structure of claim 27 wherein the strained layer has been formed by epitaxy.
36. The structure of claim 27 wherein the strained layer has a thickness uniformity of better than approximately ±5%.
37. The structure of claim 27 wherein the strained layer has a thickness selected from a range of approximately 20 angstroms-1000 angstroms.
38. The structure of claim 27 wherein the strained layer has a surface roughness of less than approximately 20 angstroms.
39. The structure of claim 27, further comprising:
a transistor including
a source region and a drain region disposed in a portion of the strained semiconductor layer;
a gate contact disposed above the strained semiconductor layer and between the source and drain regions; and
a gate dielectric layer disposed between the gate contact and the strained semiconductor layer.
40. A structure comprising:
a substrate comprising a dielectric material; and
a strained semiconductor layer disposed in contact with the dielectric material.
41. The structure of claim 40 wherein the dielectric material comprises sapphire.
42. The structure of claim 40 wherein the semiconductor layer has been formed on a second substrate, has been disposed in contact with the dielectric material by bonding, and has a lower dislocation density than an initial dislocation density of the semiconductor layer as formed.
43. The structure of claim 42 wherein the initial dislocation density has been lowered by etching.
44. The structure of claim 40 wherein the semiconductor layer has been formed by epitaxy.
45. A method for forming a structure, the method comprising:
providing a first substrate having a first strained semiconductor layer formed thereon;
bonding the first strained semiconductor layer to an insulator layer disposed on a second substrate; and
removing the first substrate from the first strained semiconductor layer, the strained semiconductor layer remaining bonded to the insulator layer.
46. The method of claim 45 wherein the strained semiconductor layer is tensilely strained.
47. The method of claim 45 wherein the strained semiconductor layer is compressively strained.
48. The method of claim 45 wherein the strained semiconductor layer comprises a surface layer after the removal of the first substrate.
49. The method of claim 45 wherein the strained semiconductor layer comprises a buried layer after the removal of the first substrate.
50. The method of claim 45 wherein removing the first substrate from the strained semiconductor layer comprises cleaving.
51. The method of claim 50 wherein cleaving comprises implantation of an exfoliation species through the strained semiconductor layer to initiate cleaving.
52. The method of claim 51 wherein the exfoliation species comprises at least one of hydrogen and helium.
53. The method of claim 50 wherein providing the first substrate comprises providing the first substrate having a second strained layer disposed between the substrate and the first strained layer, the second strained layer acting as a cleave plane during cleaving.
54. The method of claim 53 wherein the second strained layer comprises a compressively strained layer.
55. The method of claim 54 wherein the compressively strained layer comprises Si1−xGex.
56. The method of claim 45 wherein providing the first substrate comprises providing the first substrate having a relaxed layer disposed between the substrate and the first strained layer.
57. The method of claim 56, further comprising:
planarizing the relaxed layer prior to forming the first strained semiconductor layer.
58. The method of claim 57, further comprising:
after planarizing the relaxed layer, forming a relaxed semiconductor regrowth layer thereon.
59. The method of claim 45, further comprising:
forming a dielectric layer over the first strained semiconductor layer prior to bonding the first strained semiconductor layer to an insulator layer.
60. The method of claim 45 wherein removing the first substrate from the strained semiconductor layer comprises mechanical grinding.
61. The method of claim 45 wherein bonding comprises achieving a high bond strength at a low temperature.
62. The method of claim 61 wherein the bond strength is greater than or equal to about 1000 milliJoules/meter squared (mJ/m2).
63. The method of claim 61 wherein the temperature is less than approximately 600° C.
64. The method of claim 61 wherein bonding comprises plasma activation of a surface of the first semiconductor layer prior to bonding the first semiconductor layer.
65. The method of claim 64 wherein plasma activation comprises use of at least one of an ammonia (NH3), an oxygen (O2), an argon (Ar), and a nitrogen (N2) source gas.
66. The method of claim 61 wherein bonding comprises planarizing a surface of the first semiconductor layer prior to bonding the first semiconductor layer.
67. The method of claim 66 wherein planarizing comprises chemical-mechanical polishing.
68. The method of claim 45, further comprising:
relaxing a portion of the first strained semiconductor layer.
69. The method of claim 68 wherein the portion of the first strained semiconductor layer is relaxed by introducing a plurality of ions into the portion of the first strained semiconductor layer.
70. The method of claim of claim 45, further comprising:
forming a transistor by
forming a gate dielectric layer above a portion of the strained semiconductor layer;
forming a gate contact above the gate dielectric layer; and
forming a source region and a drain region in a portion of the strained semiconductor layer, proximate the gate dielectric layer.
71. A method for forming a structure, the method comprising:
providing a substrate having a relaxed layer disposed over a first strained layer, the relaxed layer inducing strain in the first strained layer; and
removing at least a portion of the relaxed layer selectively with respect to the first strained layer.
72. The method of claim 71 wherein providing the substrate comprises bonding the first strained layer to the substrate.
73. The method of claim 72 wherein the first strained layer is bonded to an insulator layer disposed on the substrate.
74. The method of claim 71, further comprising:
before providing the substrate, forming the first strained layer over the relaxed layer on another substrate.
75. The method of claim 71 wherein the portion of the relaxed layer is removed by oxidation.
76. The method of claim 71 wherein the portion of the relaxed layer is removed by a wet chemical etch.
77. The method of claim 71 wherein the portion of the relaxed layer is removed by a dry etch.
78. The method of claim 71 wherein the portion of the relaxed layer is removed by chemical-mechanical polishing.
79. The method of claim 71, further comprising:
after removal of at least a portion of the relaxed layer, planarizing the strained layer.
80. The method of claim 79 wherein planarizing the strained layer comprises chemical-mechanical polishing.
81. The method of claim 79 wherein planarizing the strained layer comprises an anneal.
82. The method of claim 81 wherein the anneal is performed at a temperature greater than 800° C.
83. The method of claim 71 wherein providing the substrate comprises providing the substrate having an etch stop layer disposed between the relaxed layer and the strained layer.
84. The method of claim 83 wherein the etch stop layer is compressively strained.
85. The method of claim 83 wherein the strained layer comprises silicon, the relaxed layer comprises silicon germanium, and the etch stop layer comprises silicon germanium carbon.
86. The method of claim 83 wherein the relaxed layer comprises Si1−yGey, the etch stop layer comprises Si1−xGex, and x is greater than y.
87. The method of claim 86 wherein x is approximately 0.5 and y is approximately 0.2.
88. The method of claim 83 wherein the etch stop layer enables an etch selectivity to the relaxed layer of greater than 10:1.
89. The method of claim 88 wherein the etch stop layer enables an etch selectivity to the relaxed layer of greater than 100:1.
90. The method of claim 83 wherein the etch stop layer has a thickness selected from a range of about 20 angstroms to about 1000 angstroms.
91. The method of claim 71 wherein providing the substrate comprises forming the relaxed layer over a graded layer.
92. A method for forming a structure, the method comprising:
providing a first substrate having a dielectric layer disposed thereon;
forming a semiconductor layer on a second substrate, the semiconductor layer having an initial misfit dislocation density;
bonding the semiconductor layer to the dielectric layer;
removing the second substrate, the semiconductor layer remaining bonded to the dielectric layer; and
reducing the misfit dislocation density in the semiconductor layer.
93. The method of claim 92 wherein the misfit dislocation density is reduced by removing a portion of the semiconductor layer.
94. The method of claim 93 wherein the portion of the semiconductor layer is removed by etching.
95. The method of claim 93, further comprising:
after removing a portion of the semiconductor layer to reduce misfit dislocation density, forming a regrowth layer over the semiconductor layer without increasing misfit dislocation density.
96. The method of claim 95 wherein the regrowth layer is formed by epitaxy.
97. A method for forming a structure, the method comprising:
providing a first substrate having a dielectric layer disposed thereon;
forming a semiconductor layer on a second substrate, the semiconductor layer having an initial misfit dislocation density;
bonding the semiconductor layer to the dielectric layer;
removing the second substrate, the semiconductor layer remaining bonded to the dielectric layer; and
growing a regrowth layer over the semiconductor layer.
98. The method of claim 97 wherein the semiconductor layer and the regrowth layer comprise the same semiconductor material.
99. The method of claim 97 wherein the semiconductor layer and the regrowth layer together have a misfit dislocation density not greater than the initial misfit dislocation density.
100. A method for forming a structure, the method comprising:
providing a first substrate having a strained layer disposed thereon, the strained layer including a first semiconductor material;
bonding the strained layer to a second substrate, the second substrate comprising a bulk material; and
removing the first substrate from the strained layer, the strained layer remaining bonded to the bulk semiconductor material,
wherein the strain of the strained layer is not induced by the second substrate and the strain is independent of lattice mismatch between the strained layer and the second substrate.
101. The method of claim 100 wherein the bulk material comprises a second semiconductor material.
102. The method of claim 101 wherein the first semiconductor material is substantially the same as the second semiconductor material.
103. The method of claim 100 wherein the second substrate comprises silicon.
104. The method of claim 100 wherein the strained semiconductor layer comprises silicon.
105. A method for forming a structure, the method comprising:
providing a first substrate having a semiconductor layer disposed over a strained layer;
bonding the semiconductor layer to an insulator layer disposed on a second substrate; and
removing the first substrate from the strained layer, the semiconductor layer remaining bonded to the insulator layer.
106. The method of claim 105 wherein the semiconductor layer is substantially relaxed.
107. The method of claim 105 wherein the semiconductor layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
108. The method of claim 105 wherein the strained layer comprises at least one of a group II, a group III, a group IV, a group V, and a group VI element.
109. The method of claim 107 wherein the semiconductor layer comprises germanium and the strained layer comprises silicon.
US10/264,935 2002-06-07 2002-10-04 Strained-semiconductor-on-insulator device structures Abandoned US20030227057A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US10/264,935 US20030227057A1 (en) 2002-06-07 2002-10-04 Strained-semiconductor-on-insulator device structures
AU2003237473A AU2003237473A1 (en) 2002-06-07 2003-06-06 Strained-semiconductor-on-insulator device structures
PCT/US2003/018007 WO2003105189A2 (en) 2002-06-07 2003-06-06 Strained-semiconductor-on-insulator device structures
US11/073,780 US8748292B2 (en) 2002-06-07 2005-03-07 Methods of forming strained-semiconductor-on-insulator device structures
US14/270,095 US9548236B2 (en) 2002-06-07 2014-05-05 Methods of forming strained-semiconductor-on-insulator device structures
US15/400,701 US10510581B2 (en) 2002-06-07 2017-01-06 Methods of forming strained-semiconductor-on-insulator device structures

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38696802P 2002-06-07 2002-06-07
US40405802P 2002-08-15 2002-08-15
US10/264,935 US20030227057A1 (en) 2002-06-07 2002-10-04 Strained-semiconductor-on-insulator device structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/073,780 Division US8748292B2 (en) 2002-06-07 2005-03-07 Methods of forming strained-semiconductor-on-insulator device structures

Publications (1)

Publication Number Publication Date
US20030227057A1 true US20030227057A1 (en) 2003-12-11

Family

ID=29716052

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/264,935 Abandoned US20030227057A1 (en) 2002-06-07 2002-10-04 Strained-semiconductor-on-insulator device structures
US11/073,780 Active 2025-05-09 US8748292B2 (en) 2002-06-07 2005-03-07 Methods of forming strained-semiconductor-on-insulator device structures
US14/270,095 Expired - Lifetime US9548236B2 (en) 2002-06-07 2014-05-05 Methods of forming strained-semiconductor-on-insulator device structures
US15/400,701 Expired - Lifetime US10510581B2 (en) 2002-06-07 2017-01-06 Methods of forming strained-semiconductor-on-insulator device structures

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/073,780 Active 2025-05-09 US8748292B2 (en) 2002-06-07 2005-03-07 Methods of forming strained-semiconductor-on-insulator device structures
US14/270,095 Expired - Lifetime US9548236B2 (en) 2002-06-07 2014-05-05 Methods of forming strained-semiconductor-on-insulator device structures
US15/400,701 Expired - Lifetime US10510581B2 (en) 2002-06-07 2017-01-06 Methods of forming strained-semiconductor-on-insulator device structures

Country Status (1)

Country Link
US (4) US20030227057A1 (en)

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040097025A1 (en) * 2000-12-04 2004-05-20 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel mosfets
US20040115916A1 (en) * 2002-07-29 2004-06-17 Amberwave Systems Corporation Selective placement of dislocation arrays
US20040137685A1 (en) * 2002-10-22 2004-07-15 Amberwave Systems Corporation Gate material for semiconductor device fabrication
US20040173790A1 (en) * 2003-03-05 2004-09-09 Yee-Chia Yeo Method of forming strained silicon on insulator substrate
US20040178447A1 (en) * 2003-03-10 2004-09-16 Yee-Chia Yeo SOI chip with recess-resistant buried insulator and method of manufacturing the same
US6803240B1 (en) * 2003-09-03 2004-10-12 International Business Machines Corporation Method of measuring crystal defects in thin Si/SiGe bilayers
US6897129B2 (en) * 2002-05-31 2005-05-24 Renesas Technology Corp. Fabrication method of semiconductor device and semiconductor device
US20050181549A1 (en) * 2004-02-17 2005-08-18 Barr Alexander L. Semiconductor structure having strained semiconductor and method therefor
US20050196937A1 (en) * 2004-03-05 2005-09-08 Nicolas Daval Methods for forming a semiconductor structure
US20050196936A1 (en) * 2004-03-05 2005-09-08 Nicolas Daval Methods for thermally treating a semiconductor layer
US20060014363A1 (en) * 2004-03-05 2006-01-19 Nicolas Daval Thermal treatment of a semiconductor layer
WO2006032946A1 (en) * 2004-09-21 2006-03-30 S.O.I.Tec Silicon On Insulator Technologies Transfer method with a treatment of a surface to be bonded
US20060084244A1 (en) * 2003-04-04 2006-04-20 Yee-Chia Yeo Silicon-on-insulator chip with multiple crystal orientations
WO2006060054A1 (en) * 2004-12-01 2006-06-08 Amberwave Systems Corporation Hybrid semiconductor-on-insulator and fin-field-effect transistor structures and related methods
US20060141748A1 (en) * 2004-03-05 2006-06-29 Nicolas Daval Thermal treament of a semiconductor layer
US20060189111A1 (en) * 2005-02-18 2006-08-24 Sharp Laboratories Of America, Inc. Method of making CMOS devices on strained silicon on glass
WO2006090201A2 (en) * 2005-02-24 2006-08-31 S.O.I.Tec Silicon On Insulator Technologies Thermal oxidation of a sige layer and applications thereof
US7176504B1 (en) * 2005-09-28 2007-02-13 United Microelectronics Corp. SiGe MOSFET with an erosion preventing Six1Gey1 layer
WO2007019260A1 (en) * 2005-08-03 2007-02-15 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) structure with improved crystallinity in the strained silicon layer
US20070045738A1 (en) * 2005-08-26 2007-03-01 Memc Electronic Materials, Inc. Method for the manufacture of a strained silicon-on-insulator structure
US20070111474A1 (en) * 2005-11-16 2007-05-17 Cecile Delattre Treating a SiGe layer for selective etching
US20070117350A1 (en) * 2005-08-03 2007-05-24 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) with layer transfer from oxidized donor
US20080044987A1 (en) * 2004-01-07 2008-02-21 International Business Machines Corporation ENHANCEMENT OF ELECTRON AND HOLE MOBILITIES IN <110> Si UNDER BIAXIAL COMPRESSIVE STRAIN
WO2008029607A1 (en) * 2006-09-07 2008-03-13 Nec Electronics Corporation Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US20080164492A1 (en) * 2002-07-09 2008-07-10 S.O.I.Tec Silicon On Insulator Technologies Process for transferring a layer of strained semiconductor material
US7838392B2 (en) 2002-06-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming III-V semiconductor device structures
US20110031530A1 (en) * 2003-12-23 2011-02-10 Infineon Technologies Ag Field effect transistor with a heterostructure
US8129821B2 (en) 2002-06-25 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reacted conductive gate electrodes
US8183627B2 (en) 2004-12-01 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid fin field-effect transistor structures and related methods
WO2013119559A1 (en) * 2012-02-07 2013-08-15 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications
US8748292B2 (en) 2002-06-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming strained-semiconductor-on-insulator device structures
US8822282B2 (en) 2001-03-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating contact regions for FET incorporating SiGe
CN104170073A (en) * 2012-02-16 2014-11-26 索泰克公司 Method for transferring a layer
CN104737293A (en) * 2013-12-23 2015-06-24 伍震威 Field plate structure for power semiconductor device and method of manufacturing the same
CN105374873A (en) * 2014-08-08 2016-03-02 台湾积体电路制造股份有限公司 Mosfets with multiple dislocation planes
CN105870061A (en) * 2015-02-10 2016-08-17 国际商业机器公司 Dual isolation on ssoi wafer
US9779996B2 (en) 2015-07-30 2017-10-03 Samsung Electronics Co., Ltd. Integrated circuit devices and methods of manufacturing the same
US9853157B2 (en) 2011-10-24 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
JP2018520510A (en) * 2015-06-01 2018-07-26 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited Method for manufacturing silicon germanium-on-insulator
US20180294165A1 (en) * 2017-04-11 2018-10-11 Entegris, Inc. Formulations to selectively etch silicon-germanium relative to silicon
US20220148925A1 (en) * 2019-03-06 2022-05-12 Sk Siltron Co., Ltd. Wafer evaluation method

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7902046B2 (en) * 2005-09-19 2011-03-08 The Board Of Trustees Of The Leland Stanford Junior University Thin buffer layers for SiGe growth on mismatched substrates
FR2902233B1 (en) * 2006-06-09 2008-10-17 Soitec Silicon On Insulator METHOD FOR LIMITING LACUNAR MODE BROADCAST DISTRIBUTION IN A HETEROSTRUCTURE
FR2952224B1 (en) * 2009-10-30 2012-04-20 Soitec Silicon On Insulator METHOD FOR CONTROLLING THE DISTRIBUTION OF CONSTRAINTS IN A SEMICONDUCTOR TYPE STRUCTURE ON INSULATION AND CORRESPONDING STRUCTURE
US8187901B2 (en) 2009-12-07 2012-05-29 Micron Technology, Inc. Epitaxial formation support structures and associated methods
FR2968121B1 (en) * 2010-11-30 2012-12-21 Soitec Silicon On Insulator METHOD FOR TRANSFERRING A HIGH TEMPERATURE LAYER
US8889495B2 (en) 2012-10-04 2014-11-18 International Business Machines Corporation Semiconductor alloy fin field effect transistor
US9676227B2 (en) * 2013-03-15 2017-06-13 The United States Of America, As Represented By The Secretary Of The Navy Wet-etchable, sacrificial liftoff layer compatible with high temperature processing
US9064789B2 (en) * 2013-08-12 2015-06-23 International Business Machines Corporation Bonded epitaxial oxide structures for compound semiconductor on silicon substrates
US9105689B1 (en) * 2014-03-24 2015-08-11 Silanna Semiconductor U.S.A., Inc. Bonded semiconductor structure with SiGeC layer as etch stop
US9269608B2 (en) 2014-03-24 2016-02-23 Qualcomm Switch Corp. Bonded semiconductor structure with SiGeC/SiGeBC layer as etch stop
US9466729B1 (en) 2015-05-08 2016-10-11 Qualcomm Incorporated Etch stop region based fabrication of bonded semiconductor structures
US9685456B2 (en) * 2015-09-04 2017-06-20 Stmicroelectronics, Inc. Method for manufacturing a transistor having a sharp junction by forming raised source-drain regions before forming gate regions and corresponding transistor produced by said method
US10418273B2 (en) * 2015-10-13 2019-09-17 Nanyang Technological University Method of manufacturing a germanium-on-insulator substrate
US10438838B2 (en) 2016-09-01 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and related method
US10395974B1 (en) * 2018-04-25 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a thin semiconductor-on-insulator (SOI) substrate

Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US31979A (en) * 1861-04-09 Improvement in presses
US75149A (en) * 1868-03-03 William b
US215990A (en) * 1879-05-27 Improvement in spooling-guides
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5184111A (en) * 1989-10-20 1993-02-02 Fritz Pichl Circuit arrangement on a support film
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5607876A (en) * 1991-10-28 1997-03-04 Xerox Corporation Fabrication of quantum confinement semiconductor light-emitting devices
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5863830A (en) * 1994-09-22 1999-01-26 Commissariat A L'energie Atomique Process for the production of a structure having a thin semiconductor film on a substrate
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6020252A (en) * 1996-05-15 2000-02-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6190998B1 (en) * 1996-05-15 2001-02-20 Commissariat A L'energie Atomique Method for achieving a thin film of solid material and applications of this method
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6344417B1 (en) * 2000-02-18 2002-02-05 Silicon Wafer Technologies Method for micro-mechanical structures
US6346459B1 (en) * 1999-02-05 2002-02-12 Silicon Wafer Technologies, Inc. Process for lift off and transfer of semiconductor devices onto an alien substrate
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6355493B1 (en) * 1999-07-07 2002-03-12 Silicon Wafer Technologies Inc. Method for forming IC's comprising a highly-resistive or semi-insulating semiconductor substrate having a thin, low resistance active semiconductor layer thereon
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6368938B1 (en) * 1999-10-05 2002-04-09 Silicon Wafer Technologies, Inc. Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6372609B1 (en) * 1998-10-16 2002-04-16 Shin-Etsu Handotai Co., Ltd. Method of Fabricating SOI wafer by hydrogen ION delamination method and SOI wafer fabricated by the method
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6372593B1 (en) * 1999-07-19 2002-04-16 Mitsubishi Denki Kabushika Kaisha Method of manufacturing SOI substrate and semiconductor device
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US20030013305A1 (en) * 2001-07-12 2003-01-16 Hitachi, Ltd. Method of producing semiconductor device and semiconductor substrate
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US6534381B2 (en) * 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6677183B2 (en) * 2001-01-31 2004-01-13 Canon Kabushiki Kaisha Method of separation of semiconductor device
US20040009649A1 (en) * 2002-07-12 2004-01-15 Kub Francis J. Wafer bonding of thinned electronic materials and circuits to high performance substrates
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US6680260B2 (en) * 1999-08-27 2004-01-20 Shin-Etsu Handotai Co., Ltd. Method of producing a bonded wafer and the bonded wafer
US20040012075A1 (en) * 2002-07-16 2004-01-22 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040018699A1 (en) * 2002-07-24 2004-01-29 International Business Machines Corporation SOI wafers with 30-100 A buried oxide (box) created by wafer bonding using 30-100 A thin oxide as bonding layer
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20040031990A1 (en) * 2002-08-16 2004-02-19 Been-Yih Jin Semiconductor on insulator apparatus and method
US20040041174A1 (en) * 2002-09-02 2004-03-04 Masao Okihara Strained SOI MOSFET device and method of fabricating same
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US6703144B2 (en) * 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20040048091A1 (en) * 2002-09-11 2004-03-11 Nobuhiko Sato Substrate and manufacturing method therefor
US20040048454A1 (en) * 2002-09-10 2004-03-11 Kiyofumi Sakaguchi Substrate and manufacturing method therefor
US6706614B1 (en) * 2001-02-28 2004-03-16 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation.
US6707106B1 (en) * 2002-10-18 2004-03-16 Advanced Micro Devices, Inc. Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer
US6706618B2 (en) * 1997-08-27 2004-03-16 Canon Kabushiki Kaisha Substrate processing apparatus, substrate support apparatus, substrate processing method, and substrate fabrication method
US20040053477A1 (en) * 2002-07-09 2004-03-18 S.O.I. Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US20040051140A1 (en) * 2002-09-12 2004-03-18 Arup Bhattacharyya Semiconductor-on-insulator thin film transistor constructions, and methods of making semiconductor-on-insulator thin film transistor constructions
US6709903B2 (en) * 2001-06-12 2004-03-23 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US6709909B2 (en) * 2000-03-17 2004-03-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6713326B2 (en) * 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth

Family Cites Families (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4828958B1 (en) * 1969-07-22 1973-09-06
JPS5317069A (en) 1976-07-30 1978-02-16 Fujitsu Ltd Semiconductor device and its production
US4329706A (en) 1979-03-01 1982-05-11 International Business Machines Corporation Doped polysilicon silicide semiconductor integrated circuit interconnections
US4370510A (en) 1980-09-26 1983-01-25 California Institute Of Technology Gallium arsenide single crystal solar cell structure and method of making
JPH0656887B2 (en) 1982-02-03 1994-07-27 株式会社日立製作所 Semiconductor device and manufacturing method thereof
JPS58166726A (en) * 1982-03-29 1983-10-01 Shin Etsu Handotai Co Ltd Etching device for wafer
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
FR2563377B1 (en) 1984-04-19 1987-01-23 Commissariat Energie Atomique METHOD FOR MANUFACTURING AN INSULATING LAYER BURIED IN A SEMICONDUCTOR SUBSTRATE, BY ION IMPLANTATION
US4833513A (en) 1985-01-20 1989-05-23 Tdk Corporation MOS FET semiconductor device having a cell pattern arrangement for optimizing channel width
DE3542482A1 (en) 1985-11-30 1987-06-04 Licentia Gmbh MODULATION-Doped FIELD EFFECT TRANSISTOR
US4851078A (en) 1987-06-29 1989-07-25 Harris Corporation Dielectric isolation process using double wafer bonding
US4999697A (en) 1988-09-14 1991-03-12 At&T Bell Laboratories Sequential-quenching resonant-tunneling transistor
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
JPH0355822A (en) 1989-07-25 1991-03-11 Shin Etsu Handotai Co Ltd Manufacture of substrate for forming semiconductor element
US5089872A (en) 1990-04-27 1992-02-18 North Carolina State University Selective germanium deposition on silicon and resulting structures
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH0691249B2 (en) 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Modulation-doped MISFET and manufacturing method thereof
DE4101167A1 (en) 1991-01-17 1992-07-23 Daimler Benz Ag CMOS FET circuit layout - has common gate and drain electrodes in vertical or lateral configuration
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5091767A (en) 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Low Defect Densiry/Arbitrary Lattice Constant Heteroepitaxial Layers
JPH07187892A (en) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5223734A (en) * 1991-12-18 1993-06-29 Micron Technology, Inc. Semiconductor gettering process using backside chemical mechanical planarization (CMP) and dopant diffusion
US5266813A (en) 1992-01-24 1993-11-30 International Business Machines Corporation Isolation technique for silicon germanium devices
JP3416163B2 (en) 1992-01-31 2003-06-16 キヤノン株式会社 Semiconductor substrate and manufacturing method thereof
DE69331816T2 (en) 1992-01-31 2002-08-29 Canon Kk Method of manufacturing a semiconductor substrate
JP3191972B2 (en) 1992-01-31 2001-07-23 キヤノン株式会社 Method for manufacturing semiconductor substrate and semiconductor substrate
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JPH06140624A (en) * 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The Schottky junction element
US5426316A (en) 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (en) 1993-09-20 1995-04-07 Fujitsu Ltd Manufacture of compound semiconductor crystal substrate
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (en) 1993-11-15 1999-11-22 株式会社東芝 Method of manufacturing dielectric-isolated bipolar transistor
US5436188A (en) * 1994-04-26 1995-07-25 Industrial Technology Research Institute Dram cell process having elk horn shaped capacitor
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (en) 1994-09-13 2003-01-07 株式会社東芝 Semiconductor device
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5705405A (en) 1994-09-30 1998-01-06 Sgs-Thomson Microelectronics, Inc. Method of making the film transistor with all-around gate electrode
IT1268123B1 (en) 1994-10-13 1997-02-20 Sgs Thomson Microelectronics SLICE OF SEMICONDUCTOR MATERIAL FOR THE MANUFACTURE OF INTEGRATED DEVICES AND PROCEDURE FOR ITS MANUFACTURING.
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
JP3265493B2 (en) 1994-11-24 2002-03-11 ソニー株式会社 Method for manufacturing SOI substrate
US5548128A (en) 1994-12-14 1996-08-20 The United States Of America As Represented By The Secretary Of The Air Force Direct-gap germanium-tin multiple-quantum-well electro-optical devices on silicon or germanium substrates
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
JP3104587B2 (en) 1995-10-05 2000-10-30 日本電気株式会社 Method for manufacturing semiconductor device
JP3403877B2 (en) 1995-10-25 2003-05-06 三菱電機株式会社 Semiconductor memory device and manufacturing method thereof
JP2953404B2 (en) * 1995-12-08 1999-09-27 ソニー株式会社 Semiconductor device and manufacturing method thereof
KR100473901B1 (en) 1995-12-15 2005-08-29 코닌클리케 필립스 일렉트로닉스 엔.브이. Semiconductor Field Effect Device Including SiGe Layer
FR2744285B1 (en) 1996-01-25 1998-03-06 Commissariat Energie Atomique METHOD FOR TRANSFERRING A THIN FILM FROM AN INITIAL SUBSTRATE TO A FINAL SUBSTRATE
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
FR2747506B1 (en) 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
US5670404A (en) * 1996-06-21 1997-09-23 Industrial Technology Research Institute Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
JP3217015B2 (en) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Method for forming field effect transistor
JPH1041400A (en) 1996-07-26 1998-02-13 Sony Corp Semiconductor device and manufacture thereof
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
JP3320641B2 (en) 1996-09-13 2002-09-03 株式会社東芝 Memory cell
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
DE59707274D1 (en) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrated CMOS circuit arrangement and method for its production
US6140687A (en) 1996-11-28 2000-10-31 Matsushita Electric Industrial Co., Ltd. High frequency ring gate MOSFET
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US6143628A (en) 1997-03-27 2000-11-07 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5951757A (en) 1997-05-06 1999-09-14 The United States Of America As Represented By The Secretary Of The Navy Method for making silicon germanium alloy and electric device structures
DE19720008A1 (en) 1997-05-13 1998-11-19 Siemens Ag Integrated CMOS circuit arrangement and method for its production
US6107653A (en) 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6534380B1 (en) 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
FR2767416B1 (en) 1997-08-12 1999-10-01 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SOLID MATERIAL
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US5930632A (en) 1997-12-01 1999-07-27 Advanced Micro Devices Process of fabricating a semiconductor device having cobalt niobate gate electrode structure
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (en) 1997-12-10 2003-09-16 株式会社東芝 Nonvolatile semiconductor memory and data reading method
US6413874B1 (en) 1997-12-26 2002-07-02 Canon Kabushiki Kaisha Method and apparatus for etching a semiconductor article and method of preparing a semiconductor article by using the same
FR2773177B1 (en) 1997-12-29 2000-03-17 France Telecom PROCESS FOR OBTAINING A SINGLE-CRYSTAL GERMANIUM OR SILICON LAYER ON A SILICON OR SINGLE-CRYSTAL GERMANIUM SUBSTRATE, RESPECTIVELY, AND MULTILAYER PRODUCTS OBTAINED
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US7227176B2 (en) 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6689211B1 (en) 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
JP4258034B2 (en) 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3403076B2 (en) 1998-06-30 2003-05-06 株式会社東芝 Semiconductor device and manufacturing method thereof
FR2783254B1 (en) 1998-09-10 2000-11-10 France Telecom METHOD FOR OBTAINING A LAYER OF MONOCRYSTALLINE GERMANIUM ON A MONOCRYSTALLINE SILICON SUBSTRATE, AND PRODUCTS OBTAINED
JP2000124325A (en) 1998-10-16 2000-04-28 Nec Corp Semiconductor device and manufacture thereof
JP2000349264A (en) 1998-12-04 2000-12-15 Canon Inc Method for manufacturing, use and utilizing method of semiconductor wafer
US6329063B2 (en) 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
DE19859429A1 (en) 1998-12-22 2000-06-29 Daimler Chrysler Ag Process for the production of epitaxial silicon germanium layers
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
DE60042666D1 (en) 1999-01-14 2009-09-17 Panasonic Corp Semiconductor component and method for its production
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP4313874B2 (en) 1999-02-02 2009-08-12 キヤノン株式会社 Substrate manufacturing method
FR2789518B1 (en) 1999-02-10 2003-06-20 Commissariat Energie Atomique MULTILAYER STRUCTURE WITH INTERNAL CONTROLLED STRESSES AND METHOD FOR PRODUCING SUCH A STRUCTURE
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
US6326279B1 (en) * 1999-03-26 2001-12-04 Canon Kabushiki Kaisha Process for producing semiconductor article
JP4521542B2 (en) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6251755B1 (en) 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6664169B1 (en) 1999-06-08 2003-12-16 Canon Kabushiki Kaisha Process for producing semiconductor member, process for producing solar cell, and anodizing apparatus
TW591132B (en) 1999-06-17 2004-06-11 Taiwan Semiconductor Mfg Method of growing SiGe epitaxy
US6387829B1 (en) 1999-06-18 2002-05-14 Silicon Wafer Technologies, Inc. Separation process for silicon-on-insulator wafer fabrication
EP1065728B1 (en) 1999-06-22 2009-04-22 Panasonic Corporation Heterojunction bipolar transistors and corresponding fabrication methods
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6235567B1 (en) 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6326667B1 (en) 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6249022B1 (en) 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6166411A (en) 1999-10-25 2000-12-26 Advanced Micro Devices, Inc. Heat removal from SOI devices by using metal substrates
US6591321B1 (en) 1999-11-09 2003-07-08 International Business Machines Corporation Multiprocessor system bus protocol with group addresses, responses, and priorities
US6455397B1 (en) 1999-11-16 2002-09-24 Rona E. Belford Method of producing strained microelectronic and/or optical integrated and discrete devices
JP3943782B2 (en) 1999-11-29 2007-07-11 信越半導体株式会社 Reclaimed wafer reclaim processing method and reclaimed peeled wafer
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6271726B1 (en) 2000-01-10 2001-08-07 Conexant Systems, Inc. Wideband, variable gain amplifier
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6261929B1 (en) 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6316301B1 (en) 2000-03-08 2001-11-13 Sun Microsystems, Inc. Method for sizing PMOS pull-up devices
US6319799B1 (en) 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
JP3603747B2 (en) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 Method for forming SiGe film, method for manufacturing heterojunction transistor, and heterojunction bipolar transistor
US6489639B1 (en) 2000-05-24 2002-12-03 Raytheon Company High electron mobility transistor
AU2001268577A1 (en) 2000-06-22 2002-01-02 Massachusetts Institute Of Technology Etch stop layer system
US6429061B1 (en) 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP4269541B2 (en) 2000-08-01 2009-05-27 株式会社Sumco Semiconductor substrate, field effect transistor, method of forming SiGe layer, method of forming strained Si layer using the same, and method of manufacturing field effect transistor
WO2002013262A2 (en) 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6420937B1 (en) 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
US6475072B1 (en) 2000-09-29 2002-11-05 International Business Machines Corporation Method of wafer smoothing for bonding using chemo-mechanical polishing (CMP)
JP3998408B2 (en) 2000-09-29 2007-10-24 株式会社東芝 Semiconductor device and manufacturing method thereof
US6890835B1 (en) 2000-10-19 2005-05-10 International Business Machines Corporation Layer transfer of low defect SiGe using an etch-back process
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
EP1399970A2 (en) 2000-12-04 2004-03-24 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US6448152B1 (en) 2001-02-20 2002-09-10 Silicon Genesis Corporation Method and system for generating a plurality of donor wafers and handle wafers prior to an order being placed by a customer
US6410371B1 (en) 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
EP1364411A1 (en) 2001-03-02 2003-11-26 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6566213B2 (en) 2001-04-02 2003-05-20 Advanced Micro Devices, Inc. Method of fabricating multi-thickness silicide device formed by disposable spacers
US6940089B2 (en) 2001-04-04 2005-09-06 Massachusetts Institute Of Technology Semiconductor device structure
JP2002305293A (en) 2001-04-06 2002-10-18 Canon Inc Method of manufacturing semiconductor member, and method of manufacturing semiconductor device
US6724066B2 (en) 2001-04-30 2004-04-20 Texas Instruments Incorporated High breakdown voltage transistor and method
US20020167048A1 (en) 2001-05-14 2002-11-14 Tweet Douglas J. Enhanced mobility NMOS and PMOS transistors using strained Si/SiGe layers on silicon-on-insulator substrates
US6855649B2 (en) 2001-06-12 2005-02-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20020197810A1 (en) 2001-06-21 2002-12-26 International Business Machines Corporation Mosfet having a variable gate oxide thickness and a variable gate work function, and a method for making the same
JP2003007714A (en) 2001-06-22 2003-01-10 Mitsubishi Heavy Ind Ltd Bipolar transistor and method of manufacturing the same
US6919592B2 (en) * 2001-07-25 2005-07-19 Nantero, Inc. Electromechanical memory array using nanotube ribbons and method for making same
JP2003045884A (en) 2001-07-31 2003-02-14 Fujitsu Ltd Semiconductor device and manufacturing method therefor
US6624037B2 (en) 2001-08-01 2003-09-23 Advanced Micro Devices, Inc. XE preamorphizing implantation
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6858888B2 (en) 2001-11-26 2005-02-22 Wisconsin Alumni Research Foundation Stress control of semiconductor microstructures for thin film growth
KR100442105B1 (en) 2001-12-03 2004-07-27 삼성전자주식회사 Method of forming soi type substrate
US6805962B2 (en) 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US20030230778A1 (en) 2002-01-30 2003-12-18 Sumitomo Mitsubishi Silicon Corporation SOI structure having a SiGe Layer interposed between the silicon and the insulator
US6624478B2 (en) 2002-01-30 2003-09-23 International Business Machines Corporation High mobility transistors in SOI and method for forming
US6492216B1 (en) 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US7202139B2 (en) * 2002-02-07 2007-04-10 Taiwan Semiconductor Manufacturing Company , Ltd. MOSFET device with a strained channel
US6649492B2 (en) 2002-02-11 2003-11-18 International Business Machines Corporation Strained Si based layer made by UHV-CVD, and devices therein
US6723622B2 (en) 2002-02-21 2004-04-20 Intel Corporation Method of forming a germanium film on a semiconductor substrate that includes the formation of a graded silicon-germanium buffer layer prior to the formation of a germanium layer
JP3782021B2 (en) 2002-02-22 2006-06-07 株式会社東芝 Semiconductor device, semiconductor device manufacturing method, and semiconductor substrate manufacturing method
JP2003249641A (en) 2002-02-22 2003-09-05 Sharp Corp Semiconductor substrate, manufacturing method therefor and semiconductor device
AU2003222003A1 (en) 2002-03-14 2003-09-29 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6743651B2 (en) 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US6645831B1 (en) 2002-05-07 2003-11-11 Intel Corporation Thermally stable crystalline defect-free germanium bonded to silicon and silicon dioxide
US6821853B1 (en) 2002-05-31 2004-11-23 Advanced Micro Devices, Inc. Differential implant oxide process
US6586297B1 (en) 2002-06-01 2003-07-01 Newport Fab, Llc Method for integrating a metastable base into a high-performance HBT and related structure
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
JP2004039735A (en) * 2002-07-01 2004-02-05 Fujitsu Ltd Semiconductor substrate and its manufacturing method
FR2842350B1 (en) 2002-07-09 2005-05-13 METHOD FOR TRANSFERRING A LAYER OF CONCEALED SEMICONDUCTOR MATERIAL
FR2842349B1 (en) 2002-07-09 2005-02-18 TRANSFERRING A THIN LAYER FROM A PLATE COMPRISING A BUFFER LAYER
US6936869B2 (en) 2002-07-09 2005-08-30 International Rectifier Corporation Heterojunction field effect transistors using silicon-germanium and silicon-carbon alloys
US6812086B2 (en) 2002-07-16 2004-11-02 Intel Corporation Method of making a semiconductor transistor
WO2004019404A2 (en) 2002-08-26 2004-03-04 S.O.I.Tec Silicon On Insulator Technologies Recycling a wafer comprising a buffer layer, after having taken off a thin layer therefrom
CN100557785C (en) 2002-08-26 2009-11-04 S.O.I.Tec绝缘体上硅技术公司 Recirculation with wafer of buffer structure
US6743684B2 (en) 2002-10-11 2004-06-01 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6657223B1 (en) 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6703648B1 (en) 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US20040119101A1 (en) 2002-12-23 2004-06-24 Gerhard Schrom Contact layout for MOSFETs under tensile strain
US7001837B2 (en) 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
US6921913B2 (en) 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6911379B2 (en) 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
US6963078B2 (en) 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US7045836B2 (en) * 2003-07-31 2006-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
WO2005112129A1 (en) * 2004-05-13 2005-11-24 Fujitsu Limited Semiconductor device and process for fabricating same, and process for producing semiconductor substrate
DE102004031710B4 (en) 2004-06-30 2007-12-27 Advanced Micro Devices, Inc., Sunnyvale Method for producing differently deformed semiconductor regions and transistor pair in differently shaped semiconductor regions
JP4504392B2 (en) 2007-03-15 2010-07-14 株式会社東芝 Semiconductor device

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US31979A (en) * 1861-04-09 Improvement in presses
US75149A (en) * 1868-03-03 William b
US215990A (en) * 1879-05-27 Improvement in spooling-guides
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
US5484664A (en) * 1988-04-27 1996-01-16 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate
US4990979A (en) * 1988-05-13 1991-02-05 Eurosil Electronic Gmbh Non-volatile memory cell
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5184111A (en) * 1989-10-20 1993-02-02 Fritz Pichl Circuit arrangement on a support film
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
US5177583A (en) * 1990-02-20 1993-01-05 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5285086A (en) * 1990-08-02 1994-02-08 At&T Bell Laboratories Semiconductor devices with low dislocation defects
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
US5607876A (en) * 1991-10-28 1997-03-04 Xerox Corporation Fabrication of quantum confinement semiconductor light-emitting devices
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
US5617351A (en) * 1992-03-12 1997-04-01 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5739567A (en) * 1992-11-02 1998-04-14 Wong; Chun Chiu D. Highly compact memory device with nonvolatile vertical transistor memory cell
US5596527A (en) * 1992-12-07 1997-01-21 Nippon Steel Corporation Electrically alterable n-bit per cell non-volatile memory with reference cells
US5399522A (en) * 1993-02-16 1995-03-21 Fujitsu Limited Method of growing compound semiconductor
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5728623A (en) * 1994-03-16 1998-03-17 Nec Corporation Method of bonding a III-V group compound semiconductor layer on a silicon substrate
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5863830A (en) * 1994-09-22 1999-01-26 Commissariat A L'energie Atomique Process for the production of a structure having a thin semiconductor film on a substrate
US5630905A (en) * 1995-02-06 1997-05-20 The Regents Of The University Of California Method of fabricating quantum bridges by selective etching of superlattice structures
US6207977B1 (en) * 1995-06-16 2001-03-27 Interuniversitaire Microelektronica Vertical MISFET devices
US6020252A (en) * 1996-05-15 2000-02-01 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US6190998B1 (en) * 1996-05-15 2001-02-20 Commissariat A L'energie Atomique Method for achieving a thin film of solid material and applications of this method
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
US6194722B1 (en) * 1997-03-28 2001-02-27 Interuniversitair Micro-Elektronica Centrum, Imec, Vzw Method of fabrication of an infrared radiation detector and infrared detector device
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6013563A (en) * 1997-05-12 2000-01-11 Silicon Genesis Corporation Controlled cleaning process
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6706618B2 (en) * 1997-08-27 2004-03-16 Canon Kabushiki Kaisha Substrate processing apparatus, substrate support apparatus, substrate processing method, and substrate fabrication method
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6521041B2 (en) * 1998-04-10 2003-02-18 Massachusetts Institute Of Technology Etch stop layer system
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6372609B1 (en) * 1998-10-16 2002-04-16 Shin-Etsu Handotai Co., Ltd. Method of Fabricating SOI wafer by hydrogen ION delamination method and SOI wafer fabricated by the method
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6534381B2 (en) * 1999-01-08 2003-03-18 Silicon Genesis Corporation Method for fabricating multi-layered substrates
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6346459B1 (en) * 1999-02-05 2002-02-12 Silicon Wafer Technologies, Inc. Process for lift off and transfer of semiconductor devices onto an alien substrate
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6355493B1 (en) * 1999-07-07 2002-03-12 Silicon Wafer Technologies Inc. Method for forming IC's comprising a highly-resistive or semi-insulating semiconductor substrate having a thin, low resistance active semiconductor layer thereon
US6372593B1 (en) * 1999-07-19 2002-04-16 Mitsubishi Denki Kabushika Kaisha Method of manufacturing SOI substrate and semiconductor device
US6680260B2 (en) * 1999-08-27 2004-01-20 Shin-Etsu Handotai Co., Ltd. Method of producing a bonded wafer and the bonded wafer
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6368938B1 (en) * 1999-10-05 2002-04-09 Silicon Wafer Technologies, Inc. Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6703144B2 (en) * 2000-01-20 2004-03-09 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6344417B1 (en) * 2000-02-18 2002-02-05 Silicon Wafer Technologies Method for micro-mechanical structures
US6709909B2 (en) * 2000-03-17 2004-03-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US20020043660A1 (en) * 2000-06-27 2002-04-18 Shunpei Yamazaki Semiconductor device and fabrication method therefor
US6713326B2 (en) * 2000-08-16 2004-03-30 Masachusetts Institute Of Technology Process for producing semiconductor article using graded epitaxial growth
US6524935B1 (en) * 2000-09-29 2003-02-25 International Business Machines Corporation Preparation of strained Si/SiGe on insulator by hydrogen induced layer transfer technique
US20030034529A1 (en) * 2000-12-04 2003-02-20 Amberwave Systems Corporation CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6677183B2 (en) * 2001-01-31 2004-01-13 Canon Kabushiki Kaisha Method of separation of semiconductor device
US6706614B1 (en) * 2001-02-28 2004-03-16 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation.
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US20030077867A1 (en) * 2001-03-02 2003-04-24 Fitzergald Eugene A. Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6514836B2 (en) * 2001-06-04 2003-02-04 Rona Elizabeth Belford Methods of producing strained microelectronic and/or optical integrated and discrete devices
US6709903B2 (en) * 2001-06-12 2004-03-23 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US20030013323A1 (en) * 2001-06-14 2003-01-16 Richard Hammond Method of selective removal of SiGe alloys
US20030003679A1 (en) * 2001-06-29 2003-01-02 Doyle Brian S. Creation of high mobility channels in thin-body SOI devices
US20030013305A1 (en) * 2001-07-12 2003-01-16 Hitachi, Ltd. Method of producing semiconductor device and semiconductor substrate
US20030025131A1 (en) * 2001-08-06 2003-02-06 Massachusetts Institute Of Technology Formation of planar strained layers
US20030057439A1 (en) * 2001-08-09 2003-03-27 Fitzgerald Eugene A. Dual layer CMOS devices
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US20040041210A1 (en) * 2002-04-05 2004-03-04 Chandra Mouli Semiconductor-on-insulator constructions
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US20040053477A1 (en) * 2002-07-09 2004-03-18 S.O.I. Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US20040009649A1 (en) * 2002-07-12 2004-01-15 Kub Francis J. Wafer bonding of thinned electronic materials and circuits to high performance substrates
US20040007724A1 (en) * 2002-07-12 2004-01-15 Anand Murthy Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
US20040012075A1 (en) * 2002-07-16 2004-01-22 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US20040012037A1 (en) * 2002-07-18 2004-01-22 Motorola, Inc. Hetero-integration of semiconductor materials on silicon
US20040014304A1 (en) * 2002-07-18 2004-01-22 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040018699A1 (en) * 2002-07-24 2004-01-29 International Business Machines Corporation SOI wafers with 30-100 A buried oxide (box) created by wafer bonding using 30-100 A thin oxide as bonding layer
US20040031990A1 (en) * 2002-08-16 2004-02-19 Been-Yih Jin Semiconductor on insulator apparatus and method
US20040041174A1 (en) * 2002-09-02 2004-03-04 Masao Okihara Strained SOI MOSFET device and method of fabricating same
US20040048454A1 (en) * 2002-09-10 2004-03-11 Kiyofumi Sakaguchi Substrate and manufacturing method therefor
US20040048091A1 (en) * 2002-09-11 2004-03-11 Nobuhiko Sato Substrate and manufacturing method therefor
US20040051140A1 (en) * 2002-09-12 2004-03-18 Arup Bhattacharyya Semiconductor-on-insulator thin film transistor constructions, and methods of making semiconductor-on-insulator thin film transistor constructions
US6707106B1 (en) * 2002-10-18 2004-03-16 Advanced Micro Devices, Inc. Semiconductor device with tensile strain silicon introduced by compressive material in a buried oxide layer

Cited By (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040097025A1 (en) * 2000-12-04 2004-05-20 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel mosfets
US8822282B2 (en) 2001-03-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating contact regions for FET incorporating SiGe
US8878244B2 (en) 2002-05-31 2014-11-04 Renesas Electronics Corporation Semiconductor device having strained silicon film
US20080128863A1 (en) * 2002-05-31 2008-06-05 Yasuichi Kondo Fabrication method of semiconductor device and semiconductor device
US6897129B2 (en) * 2002-05-31 2005-05-24 Renesas Technology Corp. Fabrication method of semiconductor device and semiconductor device
US20050173705A1 (en) * 2002-05-31 2005-08-11 Renesas Technology Corp. Fabrication method of semiconductor device and semiconductor device
US7838392B2 (en) 2002-06-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming III-V semiconductor device structures
US8748292B2 (en) 2002-06-07 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming strained-semiconductor-on-insulator device structures
US8129821B2 (en) 2002-06-25 2012-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Reacted conductive gate electrodes
US7534701B2 (en) * 2002-07-09 2009-05-19 S.O.I. Tec Silicon On Insulator Technologies Process for transferring a layer of strained semiconductor material
US20100314628A1 (en) * 2002-07-09 2010-12-16 S.O.I.Tec Silicon On Insulator Technologies Process for transferring a layer of strained semiconductor material
US20080164492A1 (en) * 2002-07-09 2008-07-10 S.O.I.Tec Silicon On Insulator Technologies Process for transferring a layer of strained semiconductor material
US20080265261A1 (en) * 2002-07-09 2008-10-30 S.O.I.Tec Silicon On Insulator Technologies Process for transferring a layer of strained semiconductor material
US7803694B2 (en) * 2002-07-09 2010-09-28 S.O.I.Tec Silicon On Insulator Technologies Process for transferring a layer of strained semiconductor material
US8049224B2 (en) * 2002-07-09 2011-11-01 S.O.I.Tec Silicon On Insulator Technologies Process for transferring a layer of strained semiconductor material
US20080070397A1 (en) * 2002-07-29 2008-03-20 Amberwave Systems Corporation Methods for Selective Placement of Dislocation Arrays
US20040115916A1 (en) * 2002-07-29 2004-06-17 Amberwave Systems Corporation Selective placement of dislocation arrays
US7494881B2 (en) 2002-07-29 2009-02-24 Amberwave Systems Corporation Methods for selective placement of dislocation arrays
US20040137685A1 (en) * 2002-10-22 2004-07-15 Amberwave Systems Corporation Gate material for semiconductor device fabrication
US6991972B2 (en) * 2002-10-22 2006-01-31 Amberwave Systems Corporation Gate material for semiconductor device fabrication
US7326599B2 (en) 2002-10-22 2008-02-05 Amberwave Systems Corporation Gate material for semiconductor device fabrication
US7074655B2 (en) 2002-10-22 2006-07-11 Amberwave Systems Corporation Gate material for semiconductor device fabrication
US20040173790A1 (en) * 2003-03-05 2004-09-09 Yee-Chia Yeo Method of forming strained silicon on insulator substrate
US6911379B2 (en) 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
US20050275024A1 (en) * 2003-03-10 2005-12-15 Yee-Chia Yeo SOI chip with recess-resistant buried insulator and method of manufacturing the same
US20040178447A1 (en) * 2003-03-10 2004-09-16 Yee-Chia Yeo SOI chip with recess-resistant buried insulator and method of manufacturing the same
US6949451B2 (en) * 2003-03-10 2005-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. SOI chip with recess-resistant buried insulator and method of manufacturing the same
US7372107B2 (en) 2003-03-10 2008-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. SOI chip with recess-resistant buried insulator and method of manufacturing the same
US7704809B2 (en) 2003-04-04 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US20060084244A1 (en) * 2003-04-04 2006-04-20 Yee-Chia Yeo Silicon-on-insulator chip with multiple crystal orientations
US20080160727A1 (en) * 2003-04-04 2008-07-03 Yee-Chia Yeo Silicon-on-Insulator Chip with Multiple Crystal Orientations
US7368334B2 (en) 2003-04-04 2008-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
US6803240B1 (en) * 2003-09-03 2004-10-12 International Business Machines Corporation Method of measuring crystal defects in thin Si/SiGe bilayers
US8106424B2 (en) * 2003-12-23 2012-01-31 Infineon Technologies Ag Field effect transistor with a heterostructure
US20110031530A1 (en) * 2003-12-23 2011-02-10 Infineon Technologies Ag Field effect transistor with a heterostructure
US7943486B2 (en) 2004-01-07 2011-05-17 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US20080044987A1 (en) * 2004-01-07 2008-02-21 International Business Machines Corporation ENHANCEMENT OF ELECTRON AND HOLE MOBILITIES IN <110> Si UNDER BIAXIAL COMPRESSIVE STRAIN
US7462525B2 (en) 2004-01-07 2008-12-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US20080206958A1 (en) * 2004-01-07 2008-08-28 International Business Machines Corporation ENHANCEMENT OF ELECTRON AND HOLE MOBILITIES IN <110> Si UNDER BIAXIAL COMPRESSIVE STRAIN
US7205210B2 (en) * 2004-02-17 2007-04-17 Freescale Semiconductor, Inc. Semiconductor structure having strained semiconductor and method therefor
US20050181549A1 (en) * 2004-02-17 2005-08-18 Barr Alexander L. Semiconductor structure having strained semiconductor and method therefor
US20050196937A1 (en) * 2004-03-05 2005-09-08 Nicolas Daval Methods for forming a semiconductor structure
US7449394B2 (en) 2004-03-05 2008-11-11 S.O.I.Tec Silicon On Insulator Technologies Atomic implantation and thermal treatment of a semiconductor layer
US7285495B2 (en) 2004-03-05 2007-10-23 S.O.I.Tec Silicon On Insulator Technologies Methods for thermally treating a semiconductor layer
US7282449B2 (en) 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
US20050196936A1 (en) * 2004-03-05 2005-09-08 Nicolas Daval Methods for thermally treating a semiconductor layer
US20060141748A1 (en) * 2004-03-05 2006-06-29 Nicolas Daval Thermal treament of a semiconductor layer
US20050245049A1 (en) * 2004-03-05 2005-11-03 Takeshi Akatsu Atomic implantation and thermal treatment of a semiconductor layer
US7276428B2 (en) 2004-03-05 2007-10-02 S.O.I.Tec Silicon On Insulator Technologies Methods for forming a semiconductor structure
US20060014363A1 (en) * 2004-03-05 2006-01-19 Nicolas Daval Thermal treatment of a semiconductor layer
US20100015780A1 (en) * 2004-09-21 2010-01-21 S.O.I.Tec Silicon On Insulator Technologies Transfer method with a treatment of a surface to be bonded
WO2006032946A1 (en) * 2004-09-21 2006-03-30 S.O.I.Tec Silicon On Insulator Technologies Transfer method with a treatment of a surface to be bonded
EP2048706A1 (en) * 2004-09-21 2009-04-15 S.O.I.Tec Silicon on Insulator Technologies Transfer method with a treatment of a surface to be bonded
US7972939B2 (en) 2004-09-21 2011-07-05 S.O.I.Tec Silicon On Insulator Technologies Transfer method with a treatment of a surface to be bonded
US20060270187A1 (en) * 2004-09-21 2006-11-30 Kerdiles Sebastien Transfer method with a treatment of a surface to be bonded
US7615464B2 (en) 2004-09-21 2009-11-10 S.O.I.Tec Silicon On Insulator Technologies Transfer method with a treatment of a surface to be bonded
US8183627B2 (en) 2004-12-01 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid fin field-effect transistor structures and related methods
WO2006060054A1 (en) * 2004-12-01 2006-06-08 Amberwave Systems Corporation Hybrid semiconductor-on-insulator and fin-field-effect transistor structures and related methods
US7470573B2 (en) * 2005-02-18 2008-12-30 Sharp Laboratories Of America, Inc. Method of making CMOS devices on strained silicon on glass
US20060189111A1 (en) * 2005-02-18 2006-08-24 Sharp Laboratories Of America, Inc. Method of making CMOS devices on strained silicon on glass
US7531427B2 (en) 2005-02-24 2009-05-12 S.O.I.Tec Silicon On Insulator Technologies Thermal oxidation of a SiGe layer and applications thereof
WO2006090201A2 (en) * 2005-02-24 2006-08-31 S.O.I.Tec Silicon On Insulator Technologies Thermal oxidation of a sige layer and applications thereof
US20070254440A1 (en) * 2005-02-24 2007-11-01 Nicolas Daval Thermal oxidation of a sige layer and applications thereof
WO2006090201A3 (en) * 2005-02-24 2007-01-25 Soitec Silicon On Insulator Thermal oxidation of a sige layer and applications thereof
US20070117350A1 (en) * 2005-08-03 2007-05-24 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) with layer transfer from oxidized donor
US20070042566A1 (en) * 2005-08-03 2007-02-22 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) structure with improved crystallinity in the strained silicon layer
WO2007019260A1 (en) * 2005-08-03 2007-02-15 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) structure with improved crystallinity in the strained silicon layer
US20070045738A1 (en) * 2005-08-26 2007-03-01 Memc Electronic Materials, Inc. Method for the manufacture of a strained silicon-on-insulator structure
US7176504B1 (en) * 2005-09-28 2007-02-13 United Microelectronics Corp. SiGe MOSFET with an erosion preventing Six1Gey1 layer
US20070111474A1 (en) * 2005-11-16 2007-05-17 Cecile Delattre Treating a SiGe layer for selective etching
WO2008029607A1 (en) * 2006-09-07 2008-03-13 Nec Electronics Corporation Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7981754B2 (en) * 2006-09-07 2011-07-19 Renesas Electronics Corporation Manufacturing method of bonded SOI substrate and manufacturing method of semiconductor device
US20100055870A1 (en) * 2006-09-07 2010-03-04 Nec Electronics Corporation Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US9853157B2 (en) 2011-10-24 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
US11158740B2 (en) 2011-10-24 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
US10269967B2 (en) 2011-10-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
WO2013119559A1 (en) * 2012-02-07 2013-08-15 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications
US9653639B2 (en) 2012-02-07 2017-05-16 Apic Corporation Laser using locally strained germanium on silicon for opto-electronic applications
CN104170073A (en) * 2012-02-16 2014-11-26 索泰克公司 Method for transferring a layer
CN104737293A (en) * 2013-12-23 2015-06-24 伍震威 Field plate structure for power semiconductor device and method of manufacturing the same
CN105374873A (en) * 2014-08-08 2016-03-02 台湾积体电路制造股份有限公司 Mosfets with multiple dislocation planes
CN105870061A (en) * 2015-02-10 2016-08-17 国际商业机器公司 Dual isolation on ssoi wafer
JP2018520510A (en) * 2015-06-01 2018-07-26 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited Method for manufacturing silicon germanium-on-insulator
US9779996B2 (en) 2015-07-30 2017-10-03 Samsung Electronics Co., Ltd. Integrated circuit devices and methods of manufacturing the same
US9922879B2 (en) 2015-07-30 2018-03-20 Samsung Electronics Co., Ltd. Integrated circuit devices
US20180294165A1 (en) * 2017-04-11 2018-10-11 Entegris, Inc. Formulations to selectively etch silicon-germanium relative to silicon
US11875997B2 (en) * 2017-04-11 2024-01-16 Entegris, Inc. Formulations to selectively etch silicon-germanium relative to silicon
US20220148925A1 (en) * 2019-03-06 2022-05-12 Sk Siltron Co., Ltd. Wafer evaluation method
US11769697B2 (en) * 2019-03-06 2023-09-26 Sk Siltron Co., Ltd. Wafer evaluation method

Also Published As

Publication number Publication date
US10510581B2 (en) 2019-12-17
US20170117176A1 (en) 2017-04-27
US20140242778A1 (en) 2014-08-28
US9548236B2 (en) 2017-01-17
US8748292B2 (en) 2014-06-10
US20050156246A1 (en) 2005-07-21

Similar Documents

Publication Publication Date Title
US10510581B2 (en) Methods of forming strained-semiconductor-on-insulator device structures
US10050145B2 (en) Methods for forming semiconductor device structures
US7109516B2 (en) Strained-semiconductor-on-insulator finFET device structures
US6713326B2 (en) Process for producing semiconductor article using graded epitaxial growth
US6893936B1 (en) Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
WO2003105189A2 (en) Strained-semiconductor-on-insulator device structures

Legal Events

Date Code Title Description
AS Assignment

Owner name: AMBERWAVE SYSTEMS CORPORATION, NEW HAMPSHIRE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LOCHTEFELD, ANTHONY J.;LANGDO, THOMAS A.;HAMMOND, RICHARD;AND OTHERS;REEL/FRAME:013611/0787;SIGNING DATES FROM 20021121 TO 20021122

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION