US20030164143A1 - Batch-type remote plasma processing apparatus - Google Patents

Batch-type remote plasma processing apparatus Download PDF

Info

Publication number
US20030164143A1
US20030164143A1 US10/339,639 US33963903A US2003164143A1 US 20030164143 A1 US20030164143 A1 US 20030164143A1 US 33963903 A US33963903 A US 33963903A US 2003164143 A1 US2003164143 A1 US 2003164143A1
Authority
US
United States
Prior art keywords
electrodes
gas
processing
pair
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/339,639
Inventor
Kazuyuki Toyoda
Yasuhiro Inokuchi
Motonari Takebayashi
Tadashi Kontani
Nobuo Ishimaru
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2002003615A external-priority patent/JP3979849B2/en
Priority claimed from JP2002203397A external-priority patent/JP4281986B2/en
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Assigned to HITACHI KOKUSAI ELECTRIC INC. reassignment HITACHI KOKUSAI ELECTRIC INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ISHIMARU, NOBUO, KONTANI, TADASHI, INOKUCHI, YASUHIRO, TAKEBAYASHI, MOTONARI, TOYODA, KAZUYUKI
Publication of US20030164143A1 publication Critical patent/US20030164143A1/en
Priority to US11/688,730 priority Critical patent/US8028652B2/en
Priority to US11/931,386 priority patent/US20080093215A1/en
Priority to US11/931,502 priority patent/US20080060580A1/en
Priority to US11/931,585 priority patent/US7861668B2/en
Priority to US12/357,213 priority patent/US8020514B2/en
Priority to US12/390,291 priority patent/US8544411B2/en
Priority to US13/674,753 priority patent/US9039912B2/en
Priority to US13/674,761 priority patent/US20130104804A1/en
Priority to US14/690,936 priority patent/US9373499B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour

Definitions

  • the present invention relates to a plasma processing apparatus, and more particularly, to a batch-type remote plasma processing apparatus, e.g., to an apparatus which is effectively utilized for depositing an insulative film or a metal film on a semiconductor wafer (wafer, hereinafter) on which a semiconductor integrated circuit including semiconductor elements is formed in producing a semiconductor device.
  • a batch-type remote plasma processing apparatus e.g., to an apparatus which is effectively utilized for depositing an insulative film or a metal film on a semiconductor wafer (wafer, hereinafter) on which a semiconductor integrated circuit including semiconductor elements is formed in producing a semiconductor device.
  • a plasma processing apparatus comprising:
  • a pair of electrodes extending in the stacking direction of the plurality of substrates, the electrodes being disposed at one side of the plurality of substrates in the processing chamber, and high frequency electricity being applied to the electrodes, and
  • a gas supply member which supplies processing gas into a space between the pair of electrodes.
  • a plasma processing apparatus comprising:
  • a pair of electrodes which is disposed inside and outside of the processing chamber such as to be opposed to each other at one side of the plurality of substrates, and to which high frequency electricity is applied, and
  • a gas supplying pipe which supplies processing gas into the processing chamber to a place which is away from the space between the pair of electrodes.
  • FIG. 1 is a transversal sectional view of a CVD apparatus according to a first embodiment of the present invention
  • FIG. 2 is a longitudinal sectional view taken along a line II-II of FIG. 1;
  • FIG. 3 is a longitudinal sectional view taken along a line III-III of FIG. 1;
  • FIG. 4 is a transversal sectional view of a CVD apparatus according to a second embodiment of the present invention.
  • FIG. 5 is a longitudinal sectional view taken along a line V-V of FIG. 4;
  • FIG. 6 is a transversal sectional view of a CVD apparatus according to a third embodiment of the present invention.
  • FIG. 7 is a longitudinal sectional view taken along a line VII-VII of FIG. 6;
  • FIG. 8 is a longitudinal sectional view taken along a line VIII-VIII of FIG. 6;
  • FIG. 9 is a transversal sectional view of a CVD apparatus according to a fourth embodiment of the present invention.
  • FIG. 10 is a transversal sectional view of a CVD apparatus according to the fourth embodiment of the present invention.
  • FIG. 11 is a longitudinal sectional view taken along a line X-X of FIG. 9;
  • FIG. 12 is a longitudinal sectional view taken along a line XI-XI of FIG. 9;
  • FIG. 13 is a transversal sectional view of a CVD apparatus according to a fifth embodiment of the present invention.
  • FIG. 14 is a longitudinal sectional view taken along a line XIII-XIII of FIG. 12;
  • FIG. 15 is a longitudinal sectional view taken along a line XIV-XIV of FIG. 12.
  • a single wafer-feeding type remote plasma CVD apparatus can lower a heating temperature of a wafer to 300 to 400° C. while preventing plasma damage of a wafer. Therefore, studies are carried out for eliminating the carbon on a Ta 2 O 5 film by the single wafer-feeding type remote plasma CVD apparatus.
  • a general single wafer-feeding type remote plasma CVD apparatus is of a cold wall type in which only a susceptor is heated to a processing temperature. Therefore, in such a single wafer-feeding type remote plasma CVD apparatus, there are problems that it is difficult to uniformly heat the entire surface of a wafer, and it is difficult to heat the wafer to 400° C. or higher due to a problem of selection of material of a chamber. Further, when a heater is embedded into a susceptor and a wafer is heated, since heat is not uniformly transferred to the wafer due to warpage of the wafer or roughness of a surface of the wafer, it is difficult to heat the wafer to 500° C. ⁇ 1%. Therefore, it is conceived to use a heater having an electrostatic fastener, but the heater having an electrostatic fastener is extremely expensive, and the reliability is low with respect to its price.
  • a plasma processing apparatus comprises:
  • a pair of electrodes extending in the stacking direction of the plurality of substrates, the electrodes being disposed at one side of said plurality of substrates in the processing chamber, and high frequency electricity being applied to the electrodes, wherein
  • the processing apparatus is constituted such that processing gas is supplied into a space between the pair of electrodes.
  • a plasma processing apparatus comprises:
  • a pair of electrodes extending in the stacking direction of the prurality of substrates, the electrodes being disposed inside and outside of the processing chamber and at one side of the plurality of substrates, and high frequency electricity being applied to said electrodes, wherein
  • the processing apparatus is constituted such that processing gas is supplied into a space between the pair of electrodes.
  • a plasma processing apparatus comprises:
  • a pair of electrodes extending in the stacking direction of the plurality of substrates, said electrodes being disposed at one side of the plurality of substrates, and high frequency electricity being applied to the electrodes, and
  • an electrical discharging chamber which is separated from the processing chamber and which includes a space between the pair of electrodes, wherein
  • a gas blowout opening for supplying the processing gas into the processing chamber is provided in the electrical discharging chamber.
  • the plurality of substrates to be processed are collectively batch-processed, it is possible to largely enhance the throughput as compared with a case in which the substrates to be processed are processed one by one (single substrate-processing). Further, the entire surface of each substrate can be heated uniformly by heating the plurality of substrates accommodated in the processing chamber by a hot-wall type heater. Therefore, processing of substrate by plasma can be carried out uniformly.
  • a batch-type remote plasma processing apparatus of the invention is formed as a batch-type vertical hot wall type remote plasma CVD apparatus (CVD apparatus, hereinafter). That is, a CVD apparatus 10 is made of material having high heat resistance such as quartz glass or the like.
  • the CVD apparatus 10 is provided with a cylindrical process tube 11 . One end of the process tube 11 is opened and the other end thereof is closed.
  • the process tube 11 is vertically fixedly supported such that a center line of the tube 11 is vertically directed.
  • a cylindrical hollow portion of the process tube 11 forms a processing chamber 12 in which a plurality of wafers 1 are accommodated.
  • a lower end opening of the process tube 11 is formed into a furnace opening 13 through which the wafer 1 as a subject to be processed is loaded and unloaded.
  • An inner diameter of the process tube 11 is set greater than a maximum outer diameter of the wafer 1 to be handled.
  • Heaters 14 for uniformly heating the entire processing chamber 12 are concentrically provided around the process tube 11 such as to surround the process tube 11 .
  • the heaters 14 are supported by a machine frame (not shown) of the CVD apparatus 10 such that the heaters 14 are mounted vertically.
  • a manifold 15 abuts against a lower end surface of the process tube 11 .
  • the manifold 15 is made of metal.
  • the manifold 15 is formed into a cylindrical shape which is provided at its upper and lower ends with flanges. The flanges project outward in a diametrical direction of the manifold 15 .
  • the manifold 15 is detachably mounted to the process tube 11 for maintenance operation and cleaning operation for the process tube 11 .
  • the manifold 15 is supported by a machine frame (not shown) of the CVD apparatus 10 and the process tube 11 is mounted vertically.
  • One end of an exhaust pipe 16 is connected to a portion of a sidewall of the manifold 15 .
  • the other end of the exhaust pipe 16 is connected to an exhaust apparatus (not shown) so that the processing chamber 12 can be evacuated.
  • a seal cap 17 which closes a lower end opening of the manifold 15 abuts against the lower end opening of the manifold 15 from vertically lower side through a seal ring 18 .
  • the seal cap 17 is formed into a disc-like shape having substantially the same outer diameter as that of the manifold 15 .
  • the seal cap 17 is moved up and down in the vertical direction by an elevator (not shown) which is vertically provided outside the process tube 11 .
  • a rotation shaft 19 passes through a center line of the seal cap 17 .
  • the rotation shaft 19 is moved up and down together with the seal cap 17 , and is rotated by a rotating driving apparatus (not shown).
  • a boat 2 which holds the wafers 1 as subjects to be processed is vertically supported on an upper end of the rotation shaft 19 such as to stand thereon.
  • the boat 2 comprises a pair of upper and lower end plates 3 and 4 , and a plurality of (three, in this embodiment) holding members 5 vertically disposed between the end plates 3 and 4 .
  • Each the holding member 5 is provided with a large number of holding grooves 6 which are disposed in the longitudinal direction at equal distances from one another.
  • Outer peripheral edge sides of the wafers 1 are respectively inserted into the large number of holding grooves 6 of the holding member 5 .
  • the wafers 1 are arranged and held horizontally with respect to the boat 2 such that centers of the wafers 1 are aligned to each other.
  • a thermal insulation cap 7 is formed on a lower surface of the lower end plate 4 of the boat 2 .
  • a lower end surface of the thermal insulation cap 7 is supported by the rotation shaft 19 .
  • a gas supply pipe 21 for supplying processing gas vertically stands on a position in the vicinity of an inner peripheral surface of the process tube 11 different from a position of the exhaust pipe 16 (at a position on the opposite side from the exhaust pipe 16 through 180° in the illustrated example).
  • the gas supply pipe 21 is made of dielectric material, and is formed into a thin and long circular pipe.
  • a lower end of the gas supply pipe 21 is bent into an elbow shape at right angles to form a gas introducing portion 22 .
  • the gas introducing portion 22 passes through a sidewall of the manifold 15 outward in the diametrical direction, and projects outside.
  • a plurality of blowout openings 23 are opened in the gas supply pipe 21 and arranged in the vertical direction.
  • the number of blowout openings 23 corresponds to the number of wafers 1 to be processed. In this embodiment, the number of blowout openings 23 coincides with the number of wafers 1 to be processed, and a height of each blowout opening 23 is set such that each blowout opening 23 is opposed to a space between vertically adjacent wafers 1 held by the boat.
  • a pair of support cylinders 24 and 24 project outward in the diametrical direction on opposite sides of the gas introducing portion 22 of the gas supply pipe 21 in the manifold 15 in the circumferential direction.
  • Holder portions 26 and 26 of a pair of protect pipes 25 and 25 are supported such that the holder portions 26 and 26 pass through the support cylinders 24 and 24 in the diametrical direction.
  • Each the protect pipe 25 is made of dielectric material, and is formed into a thin and long circular pipe shape whose upper end is closed. Upper and lower ends of the protect pipes 25 are vertically aligned to the gas supply pipe 21 .
  • a lower end of each the protect pipe 25 is bent into an elbow shape at right angles to form a the holder portion 26 .
  • the holder portion 26 passes through the support cylinder 24 of the manifold 15 outward in the diametrical direction and projects outside.
  • a hollow portion of each the protect pipe 25 is brought into communication with outside (atmospheric pressure) of the processing chamber 12 .
  • a pair of thin and long rod-like electrodes 27 and 27 made of conductive material are concentrically disposed in the hollow portions of the protect pipes 25 and 25 .
  • a portion-to-be-held 28 which is a lower end of each the electrode 27 is held by the holder portion 26 through a insulative cylinder 29 and a shield cylinder 30 which prevent electric discharge.
  • a high frequency power source 31 is electrically connected between both the electrodes 27 and 27 through a matching device 32 . The high frequency power source 31 applies high frequency electricity.
  • a plurality of wafers 1 as substrates to be processed of the CVD apparatus 10 are charged to the boat 2 by a wafer transfer apparatus (not shown). As shown in FIGS. 2 and 3, the boat 2 into which the plurality of wafers 1 are charged is moved upward by the elevator together with the seal cap 17 and the rotation shaft 19 , and is loaded (boat-loaded) into the processing chamber 12 of the process tube 11 .
  • the processing chamber 12 is evacuated into a predetermined pressure or lower by an exhaust apparatus connected to the exhaust pipe 16 , and a temperature of the processing chamber 12 is increased to a predetermined temperature by increasing electricity supplied to the heaters 14 . Since the heater 14 is of the hot wall type structure, a temperature of the processing chamber 12 is uniformly maintained entirely and as a result, a temperature distribution of the group of wafers 1 held by the boat 2 also becomes uniform over the entire length, and a temperature distribution over the entire surface of each the wafer 1 also becomes uniform.
  • oxygen (O 2 ) gas is introduced as processing gas 41 , and if a pressure thereof reaches a preset value, the boat 2 is rotated by the rotation shaft 19 and in this state, high frequency electricity is applied between the pair of electrodes 27 and 27 by the high frequency power source 31 and the matching device 32 .
  • the oxygen gas which is the processing gas 41 is supplied to the gas supply pipe 21 , and if the high frequency electricity is applied between both the electrodes 27 and 27 , plasma 40 is formed in the gas supply pipe 21 as shown in FIG. 2, and reaction of the processing gas 41 becomes active.
  • activated particles (oxygen radical) 42 of the processing gas 41 are emitted from the blowout openings 23 of the gas supply pipe 21 into the processing chamber 12 .
  • the activated particles (active particles, hereinafter) 42 are emitted from the blowout openings 23 , and flow between the opposed wafers 1 and 1 and come into contact with the wafers 1 . Therefore, the contact distribution of the active particles 42 with respect to the entire group of wafers 1 becomes uniform over the entire length of the boat 2 , and a contact distribution of the entire surface of each the wafer 1 in its diametrical direction which corresponds to a flowing direction of the active particles also becomes uniform. At that time, since the wafer 1 is rotated by rotation of the boat 2 , a contact distribution of the entire surface of the wafer of the active particles 42 which flow between the wafers 1 and 1 also becomes uniform in the circumferential direction.
  • the active particles (oxygen radical) 42 which came into contact with the wafers 1 thermally reacts with carbon which exists in the vicinity of a surface of the Ta 2 O 5 film to generate CO (carbon monoxide), thereby eliminating carbon from the Ta 2 O 5 film.
  • CO carbon monoxide
  • Processing conditions for eliminating carbon from the Ta 2 O 5 film to form a capacitance portion of capacitor of the DRAM are as follows: a supply flow rate of oxygen gas used as the processing gas is 8.45 ⁇ 10 ⁇ 1 to 3.38 Pa ⁇ m 3 /s, a pressure in the processing chamber is 10 to 100 Pa, and a temperature thereof is 500 to 700° C.
  • the group of wafers 1 transferred outside of the processing chamber 12 is discharged (unloaded) from the boat 2 by the wafer transfer apparatus. Thereafter, the above operation is repeated, thereby collectively batch processing the plurality of wafers 1 .
  • the plurality of wafers are collectively batch processed. Therefore, it is possible to largely enhance the throughput as compared with a case in which the substrates to be processed are processed one by one.
  • the number of substrates which are processed per one hour when the substrates are processed one by one is five if the processing time is 10 minutes and the operation time of a transfer system is two minutes.
  • the number of substrates which are batch processed per one hour is 66.7 if the processing time is 30 minutes and the operation time of a transfer system is 60 minutes.
  • the blowout opening is formed in the gas supplying pipe such that the blowout opening is opposed to a space between the upper and lower wafers held by the boat.
  • the active particles are allowed to flow between the wafers. Therefore, it is possible to uniform the contact distribution of the active particles with respect to the group of wafers over the entire length of the boat. As a result, it is possible to further uniform the processing state by the active particles.
  • the second embodiment is different from the first embodiment in that a pair of electrodes 27 A and 27 B are disposed inside and outside of the process tube 11 , and a gas supply pipe 21 A is located at a position other than a space to which the electrodes 27 A and 27 B are opposed.
  • the high frequency electricity is applied between the inner electrode 27 A and the outer electrode 27 B by the high frequency power source 31 and the matching device 32 , and if processing gas 41 is supplied to the processing chamber 12 by the gas supply pipe 21 A, plasma 40 is formed between a sidewall of the process tube 11 and the inner electrode 27 A, and the processing gas 41 is brought into a reaction active state.
  • the active particles 42 are dispersed over the entire processing chamber 12 so that the active particles 42 come into contact with each wafer 1 .
  • the active particles 42 which came into contact with the wafer 1 eliminate carbon which exists on the Ta 2 O 5 film of the wafer 1 by thermal reaction.
  • a CVD apparatus of the third embodiment of the present invention will be explained with reference to FIGS. 6 to 8 .
  • a pair of protect pipes 25 and 25 provided vertically along an inner wall surface of the process tube 11 are bent at lower portions thereof and pass through a side surface of the process tube 11 .
  • a pair of electrodes 27 and 27 are inserted through both the protect pipes 25 and 25 from a lower portion of the side surface of the process tube 11 .
  • a guttering-like partition 34 forming a plasma chamber 33 is disposed around an inner peripheral of the process tube 11 such as to air-tightly surround both the protect pipes 25 and 25 .
  • a plurality of blowout openings 35 are arranged in the partition 34 such as to be opposed to a space between the upper and lower wafers 1 and 1 .
  • a gas supply pipe 21 is provided at a position of a lower portion of a side surface of the process tube 11 where gas can be supplied to the plasma chamber 33 .
  • the processing gas 41 is supplied to the plasma chamber 33 and a pressure of the gas is maintained at a predetermined value, if the high frequency electricity is applied between both the electrodes 27 and 27 by the high frequency power source 31 and the matching device 32 , plasma 40 is formed in the plasma chamber 33 and the processing gas 41 is activated.
  • Activated electrically neutral particles 42 are emitted from the blowout openings 35 which are opened at the partition 34 and are supplied to the processing chamber 12 , and the particles come into contact with each wafer 1 held by the boat 2 .
  • the active particles 42 which came into contact with wafer 1 processes a surface of the wafer 1 .
  • This embodiment is different from the third embodiment in that the pair of electrodes 27 and 27 and their protect pipes 25 are located closer to the partition 34 provided with blowout openings 35 than the process tube 11 .
  • the protect pipes 25 are located closer to the partition 34 than the process tube 11 in this manner, it is possible to limit the gas flow between the protect pipe 25 and the partition 34 . As a result, most of processing gas pass between the two protect pipes 25 , i.e., pass through a space having great plasma density.
  • a CVD apparatus of the fifth embodiment of the present invention will be explained with reference to FIGS. 10 to 12 .
  • a CVD apparatus of this embodiment includes a pair of thin and long flat plate-like electrodes 27 C and 27 C which are shorter than the process tube 11 . Both the electrodes 27 C and 27 C are inserted, from outside of the process tube 11 , into a pair of electrode insertion openings 36 and 36 which extend in the vertical direction in a state in which the electrodes 27 C and 27 C are in parallel to a portion of the sidewall of the process tube 11 and upper and lower ends of the electrodes 27 C and 27 C are aligned to each other.
  • a protect pipes 25 C and 25 C project from an inner peripheral surface of the process tube 11 such as to be opposed to the pair of electrode insertion openings 36 and 36 , respectively.
  • Inserting ends of the electrodes 27 C and 27 C are inserted into the pair of protect pipes 25 C and 25 C and surrounded.
  • a distance between the electrode insertion opening and protect pipe 25 C is set slightly greater than a thickness of the electrode 27 C so that the electrode 27 C is exposed to atmospheric pressure.
  • Connecting portions 28 C and 28 C respectively project from lower ends of the electrodes 27 C and 27 C.
  • the high frequency power source 31 for applying high frequency electricity is electrically connected to the connecting portions 28 C and 28 C through the matching device 32 .
  • a flat plate-like partition 34 C which forms a plasma chamber 33 C in cooperation with both the protect pipes 25 C and 25 C is provided between both the protect pipes 25 C and 25 C.
  • a plurality of blowout openings 35 C are arranged in the partition 34 C such as to be opposed to the upper and lower wafers 1 and 1 . Processing gas 41 is supplied from the gas supply pipe 21 into the plasma chamber 33 C.
  • the processing gas 41 is supplied to the plasma chamber 33 C by the gas supply pipe 21 and a pressure of the gas is maintained at a predetermined value
  • the high frequency electricity is applied between both the electrodes 27 C and 27 C by the high frequency power source 31 and the matching device 32 , plasma 40 is formed in the plasma chamber 33 C and the processing gas 41 is activated.
  • the activated particles 42 are emitted from the blowout openings 35 C which are opened at the partition 34 C and are supplied to the processing chamber 12 , and the particles come into contact with each wafer 1 held by the boat 2 .
  • the active particles 42 which came into contact with wafer 1 processes a surface of the wafer 1 .
  • a CVD apparatus of the sixth embodiment of the present invention will be explained with reference to FIGS. 13 to 15 .
  • a CVD apparatus of this embodiment includes a discharge tube 38 forming a plasma chamber 37 .
  • the discharge tube 38 is made of dielectric material, and is formed into a substantially triangular prism shape which is shorter than the process tube 11 .
  • the discharge tube 38 extends in the vertical direction along a portion of an outer periphery of a sidewall of the process tube 11 .
  • a plurality of blowout openings 39 are arranged in the sidewall of the process tube 11 surrounded by the discharge tube 38 such as to be opposed to the space between the upper and lower wafers 1 and 1 .
  • the processing gas 41 is supplied from the gas supply pipe 21 to the plasma chamber 37 of the discharge tube 38 .
  • a pair of thin and long flat plate-like electrodes 27 D and 27 D which are shorter than the discharge tube 38 are provided on opposite sides of the discharge tube 38 in its circumferential direction in a state in which the electrodes 27 D and 27 D are exposed to the atmospheric pressure.
  • the high frequency power source 31 which applies high frequency electricity is electrically connected to connecting portions 28 D and 28 D respectively formed on the electrodes 27 D and 27 D through the matching device 32 .
  • the processing gas 41 is supplied to the plasma chamber 37 by the gas supply pipe 21 and a pressure of the gas is maintained at a predetermined value, if the high frequency electricity is applied between both the electrodes 27 D and 27 D by the high frequency power source 31 and the matching device 32 , plasma 40 is formed in the plasma chamber 37 and the processing gas 41 is activated.
  • the activated particles 42 are emitted from the blowout openings 35 C which are in communication with the discharge tube 38 and are supplied to the processing chamber 12 , and the particles come into contact with each wafer 1 held by the boat 2 .
  • the active particles 42 which came into contact with wafer 1 processes a surface of the wafer 1 .
  • the above-described batch-type remote plasma processing apparatuses according to the preferred embodiments of the present invention are preferably used for a substrate processing method for processing a substrate, a film forming method and a semiconductor device manufacturing method.
  • the number of blowout openings of the gas supplying pipe is not necessarily the same as the number of wafers to be processed, and may be increased or decreased in correspondence with the number of wafers to be processed.
  • the blowout opening is not necessarily opposed to the space of the upper and lower adjacent wafers, and two or three blowout openings may be disposed between the adjacent wafers.
  • the batch-type remote plasma processing apparatus of the present invention can also be applied to a case in which a foreign matter existing on another film (molecule, atom or the like on other films) is to be eliminated, a case in which a CVD film is formed on a wafer, a case in which thermal processing is carried out, and the like.
  • a surface of the oxide film could be nitrided by supplying nitrogen (N 2 ) gas, ammonia (NH 3 ) gas or nitrogen monoxide (N 2 O) to a gas supplying pipe, and by heating a processing chamber to a temperature in a range from a room temperature to 750° C.
  • N 2 nitrogen
  • NH 3 ammonia
  • N 2 O nitrogen monoxide
  • a surface of a silicon wafer before a silicon germanium (SiGe) film was formed was processed by plasma using active particles of hydrogen (H 2 ) gas, a natural oxide film could be eliminated, and a desired SiGe film could be formed.
  • ALD atomic layer deposition atomic layer film forming
  • DCS dichlorosilane
  • NH 3 ammonia
  • Si silicon
  • N nitrogen
  • a subject to be processed may be a photomask, a printed wiring substrate, a liquid crystal panel, a compact disk, a magnetic disk or the like.

Abstract

A plasma processing apparatus comprises a processing chamber in which a plurality of substrates are stacked and accommodated; a pair of electrodes extending in the stacking direction of the plurality of substrates, which are disposed at one side of the plurality of substrates in said processing chamber, and to which high frequency electricity is applied; and a gas supply member which supplies processing gas into a space between the pair of electrodes.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a plasma processing apparatus, and more particularly, to a batch-type remote plasma processing apparatus, e.g., to an apparatus which is effectively utilized for depositing an insulative film or a metal film on a semiconductor wafer (wafer, hereinafter) on which a semiconductor integrated circuit including semiconductor elements is formed in producing a semiconductor device. [0002]
  • 2. Description of the Related Art [0003]
  • As a conventional batch-type remote plasma processing apparatus, a single wafer-feeding type remote plasma CVD apparatus has been used. However, in the single wafer-feeding type remote plasma CVD apparatus, since wafers are processed one by one, there has been a problem that throughput is small. [0004]
  • SUMMARY OF THE INVENTION
  • Therefore, it is a main object of the present invention to provide a plasma processing apparatus capable of obtaining great throughput. [0005]
  • According to a first aspect of the present invention, there is provided a plasma processing apparatus, comprising: [0006]
  • a processing chamber in which a plurality of substrates are stacked and accommodated, [0007]
  • a pair of electrodes extending in the stacking direction of the plurality of substrates, the electrodes being disposed at one side of the plurality of substrates in the processing chamber, and high frequency electricity being applied to the electrodes, and [0008]
  • a gas supply member which supplies processing gas into a space between the pair of electrodes. [0009]
  • According to a second aspect of the present invention, there is provided a plasma processing apparatus, comprising: [0010]
  • a processing chamber in which a plurality of substrates are stacked and accommodated, [0011]
  • a pair of electrodes which is disposed inside and outside of the processing chamber such as to be opposed to each other at one side of the plurality of substrates, and to which high frequency electricity is applied, and [0012]
  • a gas supplying pipe which supplies processing gas into the processing chamber to a place which is away from the space between the pair of electrodes. [0013]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and further objects, features and advantages of the present invention will become more apparent from the following detailed description taken in conjunction with the accompanying drawings, wherein: [0014]
  • FIG. 1 is a transversal sectional view of a CVD apparatus according to a first embodiment of the present invention; [0015]
  • FIG. 2 is a longitudinal sectional view taken along a line II-II of FIG. 1; [0016]
  • FIG. 3 is a longitudinal sectional view taken along a line III-III of FIG. 1; [0017]
  • FIG. 4 is a transversal sectional view of a CVD apparatus according to a second embodiment of the present invention; [0018]
  • FIG. 5 is a longitudinal sectional view taken along a line V-V of FIG. 4; [0019]
  • FIG. 6 is a transversal sectional view of a CVD apparatus according to a third embodiment of the present invention; [0020]
  • FIG. 7 is a longitudinal sectional view taken along a line VII-VII of FIG. 6; [0021]
  • FIG. 8 is a longitudinal sectional view taken along a line VIII-VIII of FIG. 6; [0022]
  • FIG. 9 is a transversal sectional view of a CVD apparatus according to a fourth embodiment of the present invention; [0023]
  • FIG. 10 is a transversal sectional view of a CVD apparatus according to the fourth embodiment of the present invention; [0024]
  • FIG. 11 is a longitudinal sectional view taken along a line X-X of FIG. 9; [0025]
  • FIG. 12 is a longitudinal sectional view taken along a line XI-XI of FIG. 9; [0026]
  • FIG. 13 is a transversal sectional view of a CVD apparatus according to a fifth embodiment of the present invention; [0027]
  • FIG. 14 is a longitudinal sectional view taken along a line XIII-XIII of FIG. 12; and [0028]
  • FIG. 15 is a longitudinal sectional view taken along a line XIV-XIV of FIG. 12.[0029]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • In order to form a capacitance portion (insulative film) of a capacitor of a DRAM (Dynamic Random Access Memory) which is one example of a semiconductor integrated circuit apparatus, studies are carried out for using a tantalum pentoxide (Ta[0030] 2O5). Since Ta2O5 has high dielectric constant, it is suitable for obtaining great capacitance with a fine area. In a producing method of the DRAM, it is desired to form a Ta2O5 film by an MOCVC apparatus in view of productivity, quality of film and the like.
  • It is know that if the Ta[0031] 2O1 film is formed by the MOCVD apparatus, carbon (C) which may generate leak current adheres to a surface of the Ta2O5 film or in the vicinity of the surface. Therefore, after the Ta2O5 film is formed on a wafer, it is necessary to eliminate carbon existing in the vicinity of the surface of the Ta2O5 film. A single wafer-feeding type remote plasma CVD apparatus can lower a heating temperature of a wafer to 300 to 400° C. while preventing plasma damage of a wafer. Therefore, studies are carried out for eliminating the carbon on a Ta2O5 film by the single wafer-feeding type remote plasma CVD apparatus.
  • In the single wafer-feeding type remote plasma CVD apparatus, however, since carbon of the Ta[0032] 2O5 film is eliminated one by one, there is a problem that throughput becomes small. For example, if net processing time in a single wafer-feeding type remote plasma CVD apparatus is ten minutes and operation time of a transfer system is two minutes, the processing number of wafers per one hour is as small as five.
  • A general single wafer-feeding type remote plasma CVD apparatus is of a cold wall type in which only a susceptor is heated to a processing temperature. Therefore, in such a single wafer-feeding type remote plasma CVD apparatus, there are problems that it is difficult to uniformly heat the entire surface of a wafer, and it is difficult to heat the wafer to 400° C. or higher due to a problem of selection of material of a chamber. Further, when a heater is embedded into a susceptor and a wafer is heated, since heat is not uniformly transferred to the wafer due to warpage of the wafer or roughness of a surface of the wafer, it is difficult to heat the wafer to 500° C.±1%. Therefore, it is conceived to use a heater having an electrostatic fastener, but the heater having an electrostatic fastener is extremely expensive, and the reliability is low with respect to its price. [0033]
  • It is, therefore, a main object of preferred embodiment of the present invention to provide a plasma processing apparatus capable of obtaining great throughput, and capable of enhancing uniformity of a temperature of a substrate to be processed. [0034]
  • A plasma processing apparatus according to one preferred aspect of the present invention, comprises: [0035]
  • a processing chamber in which a plurality of substrates are stacked and accommodated, and [0036]
  • a pair of electrodes extending in the stacking direction of the plurality of substrates, the electrodes being disposed at one side of said plurality of substrates in the processing chamber, and high frequency electricity being applied to the electrodes, wherein [0037]
  • the processing apparatus is constituted such that processing gas is supplied into a space between the pair of electrodes. [0038]
  • A plasma processing apparatus according to another aspect of the present invention, comprises: [0039]
  • a processing chamber in which a plurality of substrates are stacked and accommodated, and [0040]
  • a pair of electrodes extending in the stacking direction of the prurality of substrates, the electrodes being disposed inside and outside of the processing chamber and at one side of the plurality of substrates, and high frequency electricity being applied to said electrodes, wherein [0041]
  • the processing apparatus is constituted such that processing gas is supplied into a space between the pair of electrodes. [0042]
  • A plasma processing apparatus according to still another aspect of the present invention, comprises: [0043]
  • a processing chamber in which a plurality of substrates are stacked and accommodated, [0044]
  • a pair of electrodes extending in the stacking direction of the plurality of substrates, said electrodes being disposed at one side of the plurality of substrates, and high frequency electricity being applied to the electrodes, and [0045]
  • an electrical discharging chamber which is separated from the processing chamber and which includes a space between the pair of electrodes, wherein [0046]
  • a gas blowout opening for supplying the processing gas into the processing chamber is provided in the electrical discharging chamber. [0047]
  • In the above-mentioned batch-type remote plasma processing apparatuses according to each aspect of the present invention, when high frequency electricity is applied between the pair of electrodes, plasma is generated between the pair of electrodes. When the processing gas is supplied into this plasma atmosphere, active particles are formed, and if the active particles are supplied to the plurality of substrates which were transferred into a process tube, the plurality of substrates are collectively subjected to plasma processing. [0048]
  • Since the plurality of substrates to be processed are collectively batch-processed, it is possible to largely enhance the throughput as compared with a case in which the substrates to be processed are processed one by one (single substrate-processing). Further, the entire surface of each substrate can be heated uniformly by heating the plurality of substrates accommodated in the processing chamber by a hot-wall type heater. Therefore, processing of substrate by plasma can be carried out uniformly. [0049]
  • Next, preferred embodiments according to the present invention will be explained in detail. [0050]
  • (First Embodiment) [0051]
  • In this embodiment, as shown in FIGS. [0052] 1 to 3, a batch-type remote plasma processing apparatus of the invention is formed as a batch-type vertical hot wall type remote plasma CVD apparatus (CVD apparatus, hereinafter). That is, a CVD apparatus 10 is made of material having high heat resistance such as quartz glass or the like. The CVD apparatus 10 is provided with a cylindrical process tube 11. One end of the process tube 11 is opened and the other end thereof is closed. The process tube 11 is vertically fixedly supported such that a center line of the tube 11 is vertically directed. A cylindrical hollow portion of the process tube 11 forms a processing chamber 12 in which a plurality of wafers 1 are accommodated. A lower end opening of the process tube 11 is formed into a furnace opening 13 through which the wafer 1 as a subject to be processed is loaded and unloaded. An inner diameter of the process tube 11 is set greater than a maximum outer diameter of the wafer 1 to be handled.
  • [0053] Heaters 14 for uniformly heating the entire processing chamber 12 are concentrically provided around the process tube 11 such as to surround the process tube 11. The heaters 14 are supported by a machine frame (not shown) of the CVD apparatus 10 such that the heaters 14 are mounted vertically.
  • A [0054] manifold 15 abuts against a lower end surface of the process tube 11. The manifold 15 is made of metal. The manifold 15 is formed into a cylindrical shape which is provided at its upper and lower ends with flanges. The flanges project outward in a diametrical direction of the manifold 15. The manifold 15 is detachably mounted to the process tube 11 for maintenance operation and cleaning operation for the process tube 11. The manifold 15 is supported by a machine frame (not shown) of the CVD apparatus 10 and the process tube 11 is mounted vertically.
  • One end of an [0055] exhaust pipe 16 is connected to a portion of a sidewall of the manifold 15. The other end of the exhaust pipe 16 is connected to an exhaust apparatus (not shown) so that the processing chamber 12 can be evacuated. A seal cap 17 which closes a lower end opening of the manifold 15 abuts against the lower end opening of the manifold 15 from vertically lower side through a seal ring 18. The seal cap 17 is formed into a disc-like shape having substantially the same outer diameter as that of the manifold 15. The seal cap 17 is moved up and down in the vertical direction by an elevator (not shown) which is vertically provided outside the process tube 11. A rotation shaft 19 passes through a center line of the seal cap 17. The rotation shaft 19 is moved up and down together with the seal cap 17, and is rotated by a rotating driving apparatus (not shown). A boat 2 which holds the wafers 1 as subjects to be processed is vertically supported on an upper end of the rotation shaft 19 such as to stand thereon.
  • The [0056] boat 2 comprises a pair of upper and lower end plates 3 and 4, and a plurality of (three, in this embodiment) holding members 5 vertically disposed between the end plates 3 and 4. Each the holding member 5 is provided with a large number of holding grooves 6 which are disposed in the longitudinal direction at equal distances from one another. Outer peripheral edge sides of the wafers 1 are respectively inserted into the large number of holding grooves 6 of the holding member 5. With this design, the wafers 1 are arranged and held horizontally with respect to the boat 2 such that centers of the wafers 1 are aligned to each other. A thermal insulation cap 7 is formed on a lower surface of the lower end plate 4 of the boat 2. A lower end surface of the thermal insulation cap 7 is supported by the rotation shaft 19.
  • A [0057] gas supply pipe 21 for supplying processing gas vertically stands on a position in the vicinity of an inner peripheral surface of the process tube 11 different from a position of the exhaust pipe 16 (at a position on the opposite side from the exhaust pipe 16 through 180° in the illustrated example). The gas supply pipe 21 is made of dielectric material, and is formed into a thin and long circular pipe. A lower end of the gas supply pipe 21 is bent into an elbow shape at right angles to form a gas introducing portion 22. The gas introducing portion 22 passes through a sidewall of the manifold 15 outward in the diametrical direction, and projects outside. A plurality of blowout openings 23 are opened in the gas supply pipe 21 and arranged in the vertical direction. The number of blowout openings 23 corresponds to the number of wafers 1 to be processed. In this embodiment, the number of blowout openings 23 coincides with the number of wafers 1 to be processed, and a height of each blowout opening 23 is set such that each blowout opening 23 is opposed to a space between vertically adjacent wafers 1 held by the boat.
  • A pair of [0058] support cylinders 24 and 24 project outward in the diametrical direction on opposite sides of the gas introducing portion 22 of the gas supply pipe 21 in the manifold 15 in the circumferential direction. Holder portions 26 and 26 of a pair of protect pipes 25 and 25 are supported such that the holder portions 26 and 26 pass through the support cylinders 24 and 24 in the diametrical direction. Each the protect pipe 25 is made of dielectric material, and is formed into a thin and long circular pipe shape whose upper end is closed. Upper and lower ends of the protect pipes 25 are vertically aligned to the gas supply pipe 21. A lower end of each the protect pipe 25 is bent into an elbow shape at right angles to form a the holder portion 26. The holder portion 26 passes through the support cylinder 24 of the manifold 15 outward in the diametrical direction and projects outside. A hollow portion of each the protect pipe 25 is brought into communication with outside (atmospheric pressure) of the processing chamber 12.
  • A pair of thin and long rod-[0059] like electrodes 27 and 27 made of conductive material are concentrically disposed in the hollow portions of the protect pipes 25 and 25. A portion-to-be-held 28 which is a lower end of each the electrode 27 is held by the holder portion 26 through a insulative cylinder 29 and a shield cylinder 30 which prevent electric discharge. A high frequency power source 31 is electrically connected between both the electrodes 27 and 27 through a matching device 32. The high frequency power source 31 applies high frequency electricity.
  • Next, a method for eliminating carbon existing in the vicinity of a surface of a Ta[0060] 2O5 film for a capacitance portion of a capacitor of the DRAM using the CVD apparatus 10 having the above structure will be explained. That is, in this embodiment, it is assumed that the wafer 1 to be supplied to the CVD apparatus 10 is coated with a Ta2O5 film (not shown) for forming the capacitance portion of the capacitor by a previous MOCVD step, carbon (not shown) exists in the vicinity of a surface of the Ta2O5 film, and the carbon is to be eliminated by the CVD apparatus 10.
  • A plurality of [0061] wafers 1 as substrates to be processed of the CVD apparatus 10 are charged to the boat 2 by a wafer transfer apparatus (not shown). As shown in FIGS. 2 and 3, the boat 2 into which the plurality of wafers 1 are charged is moved upward by the elevator together with the seal cap 17 and the rotation shaft 19, and is loaded (boat-loaded) into the processing chamber 12 of the process tube 11.
  • If the [0062] boat 2 holding the group of wafers 1 is loaded into the processing chamber 12, the processing chamber 12 is evacuated into a predetermined pressure or lower by an exhaust apparatus connected to the exhaust pipe 16, and a temperature of the processing chamber 12 is increased to a predetermined temperature by increasing electricity supplied to the heaters 14. Since the heater 14 is of the hot wall type structure, a temperature of the processing chamber 12 is uniformly maintained entirely and as a result, a temperature distribution of the group of wafers 1 held by the boat 2 also becomes uniform over the entire length, and a temperature distribution over the entire surface of each the wafer 1 also becomes uniform.
  • After a temperature of the [0063] processing chamber 12 reaches a preset value and is stabilized, oxygen (O2) gas is introduced as processing gas 41, and if a pressure thereof reaches a preset value, the boat 2 is rotated by the rotation shaft 19 and in this state, high frequency electricity is applied between the pair of electrodes 27 and 27 by the high frequency power source 31 and the matching device 32. The oxygen gas which is the processing gas 41 is supplied to the gas supply pipe 21, and if the high frequency electricity is applied between both the electrodes 27 and 27, plasma 40 is formed in the gas supply pipe 21 as shown in FIG. 2, and reaction of the processing gas 41 becomes active.
  • As shown with broken arrows in FIGS. 1 and 2, activated particles (oxygen radical) [0064] 42 of the processing gas 41 are emitted from the blowout openings 23 of the gas supply pipe 21 into the processing chamber 12.
  • The activated particles (active particles, hereinafter) [0065] 42 are emitted from the blowout openings 23, and flow between the opposed wafers 1 and 1 and come into contact with the wafers 1. Therefore, the contact distribution of the active particles 42 with respect to the entire group of wafers 1 becomes uniform over the entire length of the boat 2, and a contact distribution of the entire surface of each the wafer 1 in its diametrical direction which corresponds to a flowing direction of the active particles also becomes uniform. At that time, since the wafer 1 is rotated by rotation of the boat 2, a contact distribution of the entire surface of the wafer of the active particles 42 which flow between the wafers 1 and 1 also becomes uniform in the circumferential direction.
  • The active particles (oxygen radical) [0066] 42 which came into contact with the wafers 1 thermally reacts with carbon which exists in the vicinity of a surface of the Ta2O5 film to generate CO (carbon monoxide), thereby eliminating carbon from the Ta2O5 film. At that time, as described above, the temperature distribution of the wafers 1 is maintained uniform over the entire length of the boat 2 and over the entire surface of the wafer, and the contact distribution of the active particles 42 with the wafers 1 is uniform over the all positions of the boat 2 and the entire surface of the wafer. Therefore, the eliminating effect of carbon on the wafers 1 by the thermal reaction of the active particles 42 becomes uniform over the all positions of the boat 2 and the entire surface of the wafer.
  • Processing conditions for eliminating carbon from the Ta[0067] 2O5 film to form a capacitance portion of capacitor of the DRAM are as follows: a supply flow rate of oxygen gas used as the processing gas is 8.45×10−1 to 3.38 Pa·m3/s, a pressure in the processing chamber is 10 to 100 Pa, and a temperature thereof is 500 to 700° C.
  • If a preset processing time is elapsed, after supply of processing [0068] gas 41, rotation of rotation shaft 19, application of high frequency electricity, heating of heaters 14, and evacuation of the exhaust pipe 16 are stopped, if the seal cap 17 is lowered, the furnace opening 13 is opened, and the group of wafers 1 is transferred out from the processing chamber 12 from the furnace opening 13 (the boat is unloaded).
  • The group of [0069] wafers 1 transferred outside of the processing chamber 12 is discharged (unloaded) from the boat 2 by the wafer transfer apparatus. Thereafter, the above operation is repeated, thereby collectively batch processing the plurality of wafers 1.
  • According to the above embodiment, the following effects can be obtained. [0070]
  • [0071] 1) The plurality of wafers are collectively batch processed. Therefore, it is possible to largely enhance the throughput as compared with a case in which the substrates to be processed are processed one by one. For example, the number of substrates which are processed per one hour when the substrates are processed one by one is five if the processing time is 10 minutes and the operation time of a transfer system is two minutes. Whereas, the number of substrates which are batch processed per one hour is 66.7 if the processing time is 30 minutes and the operation time of a transfer system is 60 minutes.
  • [0072] 2) By heating the plurality of wafers which were held by the boat and transferred into the processing chamber by means of the hot wall type heaters, it is possible to uniformly distribute a temperature of the wafers over the entire length of the boat and over the entire surface of each wafer. Therefore, it is possible to uniform the processing state of wafers by the active particles which are formed by activating the processing gas by plasma, i.e., the eliminating distribution of carbon on the Ta2O5 film.
  • [0073] 3) By disposing the pair of thin and long electrodes in the processing chamber such that the electrodes are opposed to each other, it is possible to form plasma over the entire length of both the electrodes. Therefore, it is possible to more uniformly supply the active particles which are formed by activating the processing gas by plasma, over the entire length of the group of wafers held by the boat.
  • [0074] 4) By disposing the gas supplying pipe in the space between the pair of thin and long electrodes to which the processing gas is supplied, it is possible to activate the processing gas by plasma in the gas supplying pipe. Therefore, it is possible to prevent the wafer from being damaged by plasma, and it is possible to prevent the yield of wafers from being deteriorated by the plasma damage.
  • [0075] 5) The blowout opening is formed in the gas supplying pipe such that the blowout opening is opposed to a space between the upper and lower wafers held by the boat. With this structure, the active particles are allowed to flow between the wafers. Therefore, it is possible to uniform the contact distribution of the active particles with respect to the group of wafers over the entire length of the boat. As a result, it is possible to further uniform the processing state by the active particles.
  • [0076] 6) By rotating the boat which holds the plurality of wafers, the contact distribution of the active particles which flowed between the wafers can be uniformed over the entire surface of the wafer in the circumferential direction. Therefore, it is possible to further uniform the processing state by the active particles.
  • [0077] 7) By eliminating the carbon of the Ta2O5 film used for the capacitance portion of the capacitor of the DRAM, it is possible to reduce the leak current between the electrodes of the capacitor. Therefore, it is possible to enhance the performance of the DRAM.
  • (Second Embodiment) [0078]
  • A CVD apparatus of the second embodiment of the present invention will be explained with reference to FIGS. 4 and 5. [0079]
  • The second embodiment is different from the first embodiment in that a pair of [0080] electrodes 27A and 27B are disposed inside and outside of the process tube 11, and a gas supply pipe 21A is located at a position other than a space to which the electrodes 27A and 27B are opposed.
  • In this embodiment, the high frequency electricity is applied between the [0081] inner electrode 27A and the outer electrode 27B by the high frequency power source 31 and the matching device 32, and if processing gas 41 is supplied to the processing chamber 12 by the gas supply pipe 21A, plasma 40 is formed between a sidewall of the process tube 11 and the inner electrode 27A, and the processing gas 41 is brought into a reaction active state. The active particles 42 are dispersed over the entire processing chamber 12 so that the active particles 42 come into contact with each wafer 1. The active particles 42 which came into contact with the wafer 1 eliminate carbon which exists on the Ta2O5 film of the wafer 1 by thermal reaction.
  • (Third Embodiment) [0082]
  • A CVD apparatus of the third embodiment of the present invention will be explained with reference to FIGS. [0083] 6 to 8.
  • In the third embodiment, a pair of [0084] protect pipes 25 and 25 provided vertically along an inner wall surface of the process tube 11 are bent at lower portions thereof and pass through a side surface of the process tube 11. A pair of electrodes 27 and 27 are inserted through both the protect pipes 25 and 25 from a lower portion of the side surface of the process tube 11. A guttering-like partition 34 forming a plasma chamber 33 is disposed around an inner peripheral of the process tube 11 such as to air-tightly surround both the protect pipes 25 and 25. A plurality of blowout openings 35 are arranged in the partition 34 such as to be opposed to a space between the upper and lower wafers 1 and 1. A gas supply pipe 21 is provided at a position of a lower portion of a side surface of the process tube 11 where gas can be supplied to the plasma chamber 33.
  • After the [0085] processing gas 41 is supplied to the plasma chamber 33 and a pressure of the gas is maintained at a predetermined value, if the high frequency electricity is applied between both the electrodes 27 and 27 by the high frequency power source 31 and the matching device 32, plasma 40 is formed in the plasma chamber 33 and the processing gas 41 is activated. Activated electrically neutral particles 42 are emitted from the blowout openings 35 which are opened at the partition 34 and are supplied to the processing chamber 12, and the particles come into contact with each wafer 1 held by the boat 2. The active particles 42 which came into contact with wafer 1 processes a surface of the wafer 1.
  • (Fourth Embodiment) [0086]
  • A CVD apparatus of the fourth embodiment of the present invention will be explained with reference to FIG. 9. [0087]
  • This embodiment is different from the third embodiment in that the pair of [0088] electrodes 27 and 27 and their protect pipes 25 are located closer to the partition 34 provided with blowout openings 35 than the process tube 11.
  • If the [0089] protect pipes 25 are located closer to the partition 34 than the process tube 11 in this manner, it is possible to limit the gas flow between the protect pipe 25 and the partition 34. As a result, most of processing gas pass between the two protect pipes 25, i.e., pass through a space having great plasma density.
  • (Fifth Embodiment) [0090]
  • A CVD apparatus of the fifth embodiment of the present invention will be explained with reference to FIGS. [0091] 10 to 12.
  • A CVD apparatus of this embodiment includes a pair of thin and long flat plate-[0092] like electrodes 27C and 27C which are shorter than the process tube 11. Both the electrodes 27C and 27C are inserted, from outside of the process tube 11, into a pair of electrode insertion openings 36 and 36 which extend in the vertical direction in a state in which the electrodes 27C and 27C are in parallel to a portion of the sidewall of the process tube 11 and upper and lower ends of the electrodes 27C and 27C are aligned to each other. A protect pipes 25C and 25C project from an inner peripheral surface of the process tube 11 such as to be opposed to the pair of electrode insertion openings 36 and 36, respectively. Inserting ends of the electrodes 27C and 27C are inserted into the pair of protect pipes 25C and 25C and surrounded. A distance between the electrode insertion opening and protect pipe 25C is set slightly greater than a thickness of the electrode 27C so that the electrode 27C is exposed to atmospheric pressure. Connecting portions 28C and 28C respectively project from lower ends of the electrodes 27C and 27C. The high frequency power source 31 for applying high frequency electricity is electrically connected to the connecting portions 28C and 28C through the matching device 32. A flat plate-like partition 34C which forms a plasma chamber 33C in cooperation with both the protect pipes 25C and 25C is provided between both the protect pipes 25C and 25C. A plurality of blowout openings 35C are arranged in the partition 34C such as to be opposed to the upper and lower wafers 1 and 1. Processing gas 41 is supplied from the gas supply pipe 21 into the plasma chamber 33C.
  • After the [0093] processing gas 41 is supplied to the plasma chamber 33C by the gas supply pipe 21 and a pressure of the gas is maintained at a predetermined value, if the high frequency electricity is applied between both the electrodes 27C and 27C by the high frequency power source 31 and the matching device 32, plasma 40 is formed in the plasma chamber 33C and the processing gas 41 is activated. The activated particles 42 are emitted from the blowout openings 35C which are opened at the partition 34C and are supplied to the processing chamber 12, and the particles come into contact with each wafer 1 held by the boat 2. The active particles 42 which came into contact with wafer 1 processes a surface of the wafer 1.
  • (Sixth Embodiment) [0094]
  • A CVD apparatus of the sixth embodiment of the present invention will be explained with reference to FIGS. [0095] 13 to 15.
  • A CVD apparatus of this embodiment includes a [0096] discharge tube 38 forming a plasma chamber 37. The discharge tube 38 is made of dielectric material, and is formed into a substantially triangular prism shape which is shorter than the process tube 11. The discharge tube 38 extends in the vertical direction along a portion of an outer periphery of a sidewall of the process tube 11. A plurality of blowout openings 39 are arranged in the sidewall of the process tube 11 surrounded by the discharge tube 38 such as to be opposed to the space between the upper and lower wafers 1 and 1. The processing gas 41 is supplied from the gas supply pipe 21 to the plasma chamber 37 of the discharge tube 38. A pair of thin and long flat plate- like electrodes 27D and 27D which are shorter than the discharge tube 38 are provided on opposite sides of the discharge tube 38 in its circumferential direction in a state in which the electrodes 27D and 27D are exposed to the atmospheric pressure. The high frequency power source 31 which applies high frequency electricity is electrically connected to connecting portions 28D and 28D respectively formed on the electrodes 27D and 27D through the matching device 32.
  • After the [0097] processing gas 41 is supplied to the plasma chamber 37 by the gas supply pipe 21 and a pressure of the gas is maintained at a predetermined value, if the high frequency electricity is applied between both the electrodes 27D and 27D by the high frequency power source 31 and the matching device 32, plasma 40 is formed in the plasma chamber 37 and the processing gas 41 is activated. The activated particles 42 are emitted from the blowout openings 35C which are in communication with the discharge tube 38 and are supplied to the processing chamber 12, and the particles come into contact with each wafer 1 held by the boat 2. The active particles 42 which came into contact with wafer 1 processes a surface of the wafer 1.
  • The above-described batch-type remote plasma processing apparatuses according to the preferred embodiments of the present invention are preferably used for a substrate processing method for processing a substrate, a film forming method and a semiconductor device manufacturing method. [0098]
  • The present invention is not limited to the above embodiments and can be variously modified of course. [0099]
  • For example, the number of blowout openings of the gas supplying pipe is not necessarily the same as the number of wafers to be processed, and may be increased or decreased in correspondence with the number of wafers to be processed. For example, the blowout opening is not necessarily opposed to the space of the upper and lower adjacent wafers, and two or three blowout openings may be disposed between the adjacent wafers. [0100]
  • Although carbon existing on the Ta[0101] 2O5 film of the capacitance portion of the capacitor was eliminated in the above embodiment, the batch-type remote plasma processing apparatus of the present invention can also be applied to a case in which a foreign matter existing on another film (molecule, atom or the like on other films) is to be eliminated, a case in which a CVD film is formed on a wafer, a case in which thermal processing is carried out, and the like.
  • For example, in a processing for nitriding an oxide film for a gate electrode of a DRAM, a surface of the oxide film could be nitrided by supplying nitrogen (N[0102] 2) gas, ammonia (NH3) gas or nitrogen monoxide (N2O) to a gas supplying pipe, and by heating a processing chamber to a temperature in a range from a room temperature to 750° C. A surface of a silicon wafer before a silicon germanium (SiGe) film was formed was processed by plasma using active particles of hydrogen (H2) gas, a natural oxide film could be eliminated, and a desired SiGe film could be formed. When a nitrogen film was formed at a low temperature, if ALD (atomic layer deposition atomic layer film forming) in which DCS (dichlorosilane) and NH3 (ammonia) were alternately supplied to form Si (silicon) and N (nitrogen) were formed one each, a high quality nitrogen film could be obtained by activating NH3 with plasma and supplying the same when NH3 was supplied.
  • Although a wafer was processed in the above embodiment, a subject to be processed may be a photomask, a printed wiring substrate, a liquid crystal panel, a compact disk, a magnetic disk or the like. [0103]
  • The entire disclosures of Japanese Patent Application No. 2001-3703 filed on Jan. 11, 2001, Japanese Patent Application No. 2002-3615 filed on Jan. 10, 2002 and Japanese Patent Application No. 2002-203397 filed on Jul. 12, 2002 including specifications, claims, drawings and abstracts are incorporated herein by reference in their entireties. [0104]
  • Although various exemplary embodiments have been shown and described, the invention is not limited to the embodiments shown. Therefore, the scope of the invention is intended to be limited solely by the scope of the claims that follow. [0105]

Claims (9)

What is claimed is:
1. A plasma processing apparatus, comprising:
a processing chamber in which a plurality of substrates are stacked and accommodated,
a pair of electrodes extending in the stacking direction of said plurality of substrates, said electrodes being disposed at one side of said plurality of substrates in said processing chamber, and high frequency electricity being applied to said electrodes, and
a gas supply member which supplies processing gas into a space between said pair of electrodes.
2. A plasma processing apparatus as recited in claim 1, wherein said pair of electrodes are respectively covered with protecting members.
3. A plasma processing apparatus as recited in claim 1, wherein an electrical discharging chamber is formed at the one side of said plurality of stacked substrates in said processing chamber such that said electrical discharging chamber is partitioned from said processing chamber such as to include said pair of electrodes, and
a gas blowout opening is provided in said electrical discharging chamber for supplying the processing gas into said processing chamber.
4. A plasma processing apparatus as recited in claim 3, wherein said gas blowout opening is located between said pair of electrodes.
5. A plasma processing apparatus as recited in claim 1, wherein said pair of electrodes are rod-like electrodes extending in a direction in which said plurality of stacked substrates are stacked.
6. A plasma processing apparatus as recited in claim 5, further comprising:
a substrate holding tool which holds said plurality of stacked substrates, and
a substrate holding tool rotating driving apparatus which rotates said substrate holding tool.
7. A plasma processing apparatus as recited in claim 1, wherein an electrical discharging chamber which is independent from said processing chamber is formed between said pair of electrodes, and
a gas blowout opening which supplies the processing gas into said processing chamber is provided in said electrical discharging chamber.
8. A plasma processing apparatus as recited in claim 1, wherein a gas supplying pipe is disposed between said pair of electrodes, and said gas supplying pipe is provided with a gas blowout opening which supplies processing gas into said processing chamber.
9. A plasma processing apparatus, comprising:
a processing chamber in which a plurality of substrates are stacked and accommodated,
a pair of electrodes which is disposed inside and outside of said processing chamber such as to be opposed to each other at one side of said plurality of substrates, and to which high frequency electricity is applied, and
a gas supplying pipe which supplies processing gas into the processing chamber to a place which is away from the space between said pair of electrodes.
US10/339,639 2002-01-10 2003-01-09 Batch-type remote plasma processing apparatus Abandoned US20030164143A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US11/688,730 US8028652B2 (en) 2002-01-10 2007-03-20 Batch-type remote plasma processing apparatus
US11/931,386 US20080093215A1 (en) 2002-01-10 2007-10-31 Batch-Type Remote Plasma Processing Apparatus
US11/931,502 US20080060580A1 (en) 2002-01-10 2007-10-31 Batch-Type Remote Plasma Processing Apparatus
US11/931,585 US7861668B2 (en) 2002-01-10 2007-10-31 Batch-type remote plasma processing apparatus
US12/357,213 US8020514B2 (en) 2002-01-10 2009-01-21 Batch-type remote plasma processing apparatus
US12/390,291 US8544411B2 (en) 2002-01-10 2009-02-20 Batch-type remote plasma processing apparatus
US13/674,761 US20130104804A1 (en) 2002-01-10 2012-11-12 Batch-Type Remote Plasma Processing Apparatus
US13/674,753 US9039912B2 (en) 2002-01-10 2012-11-12 Batch-type remote plasma processing apparatus
US14/690,936 US9373499B2 (en) 2002-01-10 2015-04-20 Batch-type remote plasma processing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002003615A JP3979849B2 (en) 2001-01-11 2002-01-10 Plasma processing apparatus and semiconductor device manufacturing method
JP2002-3615 2002-01-10
JP2002-203397 2002-07-12
JP2002203397A JP4281986B2 (en) 2002-07-12 2002-07-12 Substrate processing equipment

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US11/688,730 Continuation US8028652B2 (en) 2002-01-10 2007-03-20 Batch-type remote plasma processing apparatus
US11/875,785 Continuation US7827597B2 (en) 2002-01-08 2007-10-19 Secure transport for mobile communication network
US11/931,386 Continuation US20080093215A1 (en) 2002-01-10 2007-10-31 Batch-Type Remote Plasma Processing Apparatus

Publications (1)

Publication Number Publication Date
US20030164143A1 true US20030164143A1 (en) 2003-09-04

Family

ID=27806896

Family Applications (10)

Application Number Title Priority Date Filing Date
US10/339,639 Abandoned US20030164143A1 (en) 2002-01-10 2003-01-09 Batch-type remote plasma processing apparatus
US11/688,730 Expired - Fee Related US8028652B2 (en) 2002-01-10 2007-03-20 Batch-type remote plasma processing apparatus
US11/931,386 Abandoned US20080093215A1 (en) 2002-01-10 2007-10-31 Batch-Type Remote Plasma Processing Apparatus
US11/931,585 Expired - Lifetime US7861668B2 (en) 2002-01-10 2007-10-31 Batch-type remote plasma processing apparatus
US11/931,502 Abandoned US20080060580A1 (en) 2002-01-10 2007-10-31 Batch-Type Remote Plasma Processing Apparatus
US12/357,213 Expired - Lifetime US8020514B2 (en) 2002-01-10 2009-01-21 Batch-type remote plasma processing apparatus
US12/390,291 Active 2025-12-08 US8544411B2 (en) 2002-01-10 2009-02-20 Batch-type remote plasma processing apparatus
US13/674,753 Expired - Lifetime US9039912B2 (en) 2002-01-10 2012-11-12 Batch-type remote plasma processing apparatus
US13/674,761 Abandoned US20130104804A1 (en) 2002-01-10 2012-11-12 Batch-Type Remote Plasma Processing Apparatus
US14/690,936 Expired - Lifetime US9373499B2 (en) 2002-01-10 2015-04-20 Batch-type remote plasma processing apparatus

Family Applications After (9)

Application Number Title Priority Date Filing Date
US11/688,730 Expired - Fee Related US8028652B2 (en) 2002-01-10 2007-03-20 Batch-type remote plasma processing apparatus
US11/931,386 Abandoned US20080093215A1 (en) 2002-01-10 2007-10-31 Batch-Type Remote Plasma Processing Apparatus
US11/931,585 Expired - Lifetime US7861668B2 (en) 2002-01-10 2007-10-31 Batch-type remote plasma processing apparatus
US11/931,502 Abandoned US20080060580A1 (en) 2002-01-10 2007-10-31 Batch-Type Remote Plasma Processing Apparatus
US12/357,213 Expired - Lifetime US8020514B2 (en) 2002-01-10 2009-01-21 Batch-type remote plasma processing apparatus
US12/390,291 Active 2025-12-08 US8544411B2 (en) 2002-01-10 2009-02-20 Batch-type remote plasma processing apparatus
US13/674,753 Expired - Lifetime US9039912B2 (en) 2002-01-10 2012-11-12 Batch-type remote plasma processing apparatus
US13/674,761 Abandoned US20130104804A1 (en) 2002-01-10 2012-11-12 Batch-Type Remote Plasma Processing Apparatus
US14/690,936 Expired - Lifetime US9373499B2 (en) 2002-01-10 2015-04-20 Batch-type remote plasma processing apparatus

Country Status (1)

Country Link
US (10) US20030164143A1 (en)

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030186560A1 (en) * 2001-04-25 2003-10-02 Kazuhide Hasebe Gaseous phase growing device
US20030224618A1 (en) * 2000-05-02 2003-12-04 Shoichi Sato Oxidizing method and oxidation system
US20040187785A1 (en) * 2003-03-24 2004-09-30 Sharp Kabushiki Kaisha Deposition apparatus and deposition method
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
FR2862070A1 (en) * 2003-11-06 2005-05-13 Vector Advanced Surface Techno Installation for the continuous surface treatment of plastic, composite, mineral or glass objects in a treatment chamber with the introduction and circulation of a plasmagenic gas
US20050183664A1 (en) * 2004-01-29 2005-08-25 Samsung Electronics Co., Ltd. Batch-type deposition apparatus having gland portion
US20060087211A1 (en) * 2004-10-22 2006-04-27 Sharp Kabushiki Kaisha Plasma processing apparatus
US20060090851A1 (en) * 2004-10-28 2006-05-04 Sung-Ho Kang Diffuser and method for using a diffuser in equipment for manufacturing semiconductor devices
US20060151319A1 (en) * 2005-01-13 2006-07-13 Sharp Kabushiki Kaish Plasma processing apparatus and semiconductor device manufactured by the same apparatus
EP1681706A1 (en) * 2005-01-13 2006-07-19 Sharp Kabushiki Kaisha Plasma processing apparatus
US20060260544A1 (en) * 2003-03-04 2006-11-23 Hitachi Kokusai Electric Inc. Substrate processing and method of manufacturing device
US20070105392A1 (en) * 2005-11-08 2007-05-10 Raymond Joe Batch photoresist dry strip and ash system and process
EP1791172A1 (en) * 2004-09-06 2007-05-30 Tokyo Electron Limited Plasma processing apparatus
US20080035055A1 (en) * 2006-08-08 2008-02-14 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
US20080121180A1 (en) * 2002-04-05 2008-05-29 Tadashi Kontani Substrate Processing Apparatus and Reaction Container
US20080153314A1 (en) * 2006-12-12 2008-06-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and heating apparatus
US20080153308A1 (en) * 2004-02-27 2008-06-26 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus
EP1857569A3 (en) * 2006-05-18 2008-08-06 Siegfried Dr. Strämke Plasma processing system
US20080286980A1 (en) * 2005-03-01 2008-11-20 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Semiconductor Device Producing Method
US20090035463A1 (en) * 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
US20090032190A1 (en) * 2007-07-31 2009-02-05 Tokyo Electron Limited Plasma processing apparatus of batch type
US20090074984A1 (en) * 2007-09-19 2009-03-19 Hitachi Kokusai Electric, Inc. Substrate processing apparatus and coating method
US20090151632A1 (en) * 2006-03-28 2009-06-18 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus
US20090241835A1 (en) * 2008-04-01 2009-10-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20090255468A1 (en) * 2006-05-01 2009-10-15 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US20100130009A1 (en) * 2008-11-26 2010-05-27 Hitachi-Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
CN101834109A (en) * 2009-03-09 2010-09-15 株式会社日立国际电气 Semiconductor-fabricating device
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
CN1875483B (en) * 2003-10-30 2010-11-03 英飞凌科技股份公司 Process for forming a dielectric on a copper-containing metallization and capacitor arrangement
US20100278999A1 (en) * 2009-05-01 2010-11-04 Tokyo Electron Limited Plasma process apparatus and plasma process method
US20100300357A1 (en) * 2009-05-29 2010-12-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
KR101046071B1 (en) * 2006-05-05 2011-07-01 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for photoexciting a chemical for atomic layer deposition of a dielectric film
WO2014001709A1 (en) * 2012-06-27 2014-01-03 Semco Engineering Device for surface treatment with plasma generated ex situ, and related method
US20140235003A1 (en) * 2013-02-18 2014-08-21 Samsung Display Co., Ltd. Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same
US9175395B2 (en) 2010-10-26 2015-11-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
CN109314053A (en) * 2016-09-21 2019-02-05 株式会社国际电气 Substrate processing device, the manufacturing method of semiconductor devices and electrode fixing unit
US10510534B2 (en) * 2015-03-09 2019-12-17 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Thin film, method of fabricating the same, and apparatus for fabricating the same
US20200258721A1 (en) * 2019-02-13 2020-08-13 Kyungpook National University Industry-Academic Cooperation Foundation Atmospheric pressure plasma device
US20200312632A1 (en) * 2019-03-25 2020-10-01 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
CN111883410A (en) * 2019-05-02 2020-11-03 株式会社尤金科技 Batch type substrate processing apparatus
US10961626B2 (en) * 2017-09-20 2021-03-30 Eugene Technology Co., Ltd. Plasma processing apparatus having injection ports at both sides of the ground electrode for batch processing of substrates
US10982325B2 (en) 2019-09-24 2021-04-20 Picosun Oy Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods
CN115323358A (en) * 2021-05-10 2022-11-11 皮考逊公司 Substrate processing apparatus and method
US11542601B2 (en) * 2016-02-09 2023-01-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030164143A1 (en) 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR101025323B1 (en) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 Etching apparatus and etching method
KR20100072316A (en) * 2007-10-19 2010-06-30 엠케이에스 인스트루먼츠, 인코포레이티드 Toroidal plasma chamber for high gas flow rate process
DE102008034330A1 (en) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD reactor for the separation of layers of a reaction gas mixture on workpieces
TWI387400B (en) * 2008-10-20 2013-02-21 Ind Tech Res Inst Plasma system
JP5564311B2 (en) 2009-05-19 2014-07-30 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and substrate manufacturing method
JP5257328B2 (en) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP5553588B2 (en) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 Deposition equipment
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP2012069723A (en) * 2010-09-24 2012-04-05 Hitachi Kokusai Electric Inc Substrate processing device, gas nozzle, and substrate processing method
TW201413780A (en) * 2012-09-24 2014-04-01 Eugene Technology Co Ltd Apparatus for removing fume and apparatus for processing substrate
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
US9446467B2 (en) 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
CN106133190B (en) * 2014-03-20 2018-11-09 丰田自动车株式会社 Plasma CVD equipment
KR102264257B1 (en) 2014-12-30 2021-06-14 삼성전자주식회사 Method of forming a layer band method of manufacturing a semiconductor device using the same
JP6820816B2 (en) * 2017-09-26 2021-01-27 株式会社Kokusai Electric Substrate processing equipment, reaction tubes, semiconductor equipment manufacturing methods, and programs
KR102474847B1 (en) 2018-04-25 2022-12-06 삼성전자주식회사 Gas injector and wafer processing apparatus having the same
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US6424091B1 (en) * 1998-10-26 2002-07-23 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method performed by use of the same apparatus

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263872A (en) * 1980-01-31 1981-04-28 Rca Corporation Radiation heated reactor for chemical vapor deposition on substrates
JPS60189220A (en) 1984-03-07 1985-09-26 Nippon Denso Co Ltd Plasma cvd apparatus
JPS61283114A (en) 1985-06-10 1986-12-13 Toshiba Mach Co Ltd Plasma cvd equipment
JPH0754801B2 (en) 1986-02-25 1995-06-07 株式会社フレンドテツク研究所 Semiconductor device manufacturing apparatus and method for cleaning the inside of its reaction tube
JPS62245626A (en) 1986-04-18 1987-10-26 Furendo Tec Kenkyusho:Kk Semiconductor manufacturing apparatus
JPS63137419A (en) * 1986-11-29 1988-06-09 Furendotetsuku Kenkyusho:Kk Semiconductor manufacturing apparatus
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
JPH0211327A (en) 1988-06-30 1990-01-16 Toshihiko Oba Printer for printing packaging paper already wrapped up
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
JP3115015B2 (en) * 1991-02-19 2000-12-04 東京エレクトロン株式会社 Vertical batch processing equipment
JP3149223B2 (en) 1991-10-07 2001-03-26 東京エレクトロン株式会社 Film formation method
JPH05251391A (en) 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk Plasma processing device for semiconductor wafer
JPH08130210A (en) 1994-10-31 1996-05-21 M C Electron Kk Vertical type plasma reactor
JPH0955372A (en) * 1995-08-11 1997-02-25 Nippon Steel Corp Plasma treatment apparatus
JP3341965B2 (en) 1995-10-19 2002-11-05 東京応化工業株式会社 Vertical coaxial plasma processing system
US6135053A (en) * 1997-07-16 2000-10-24 Canon Kabushiki Kaisha Apparatus for forming a deposited film by plasma chemical vapor deposition
JP4185611B2 (en) 1999-01-06 2008-11-26 ワイエイシイ株式会社 Plasma processing equipment
EP1065299A3 (en) * 1999-06-30 2006-02-15 Sumitomo Electric Industries, Ltd. Group III-V nitride semiconductor growth method and vapor phase growth apparatus
US20030164143A1 (en) 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
KR100829327B1 (en) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and reaction tube
JP4526540B2 (en) * 2004-12-28 2010-08-18 株式会社日立国際電気 Substrate processing apparatus and substrate processing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US6424091B1 (en) * 1998-10-26 2002-07-23 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method performed by use of the same apparatus

Cited By (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030224618A1 (en) * 2000-05-02 2003-12-04 Shoichi Sato Oxidizing method and oxidation system
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7651733B2 (en) 2001-04-25 2010-01-26 Tokyo Electron Limited Method for forming a vapor phase growth film
US20030186560A1 (en) * 2001-04-25 2003-10-02 Kazuhide Hasebe Gaseous phase growing device
US20080251014A1 (en) * 2002-04-05 2008-10-16 Tadashi Kontani Substrate Processing Apparatus and Reaction Container
US20080121180A1 (en) * 2002-04-05 2008-05-29 Tadashi Kontani Substrate Processing Apparatus and Reaction Container
US8261692B2 (en) 2002-04-05 2012-09-11 Hitachi Kokusai Electric Inc. Substrate processing apparatus and reaction container
US8047158B2 (en) * 2002-04-05 2011-11-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and reaction container
US7900580B2 (en) * 2002-04-05 2011-03-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus and reaction container
US20060260544A1 (en) * 2003-03-04 2006-11-23 Hitachi Kokusai Electric Inc. Substrate processing and method of manufacturing device
US20040187785A1 (en) * 2003-03-24 2004-09-30 Sharp Kabushiki Kaisha Deposition apparatus and deposition method
CN1875483B (en) * 2003-10-30 2010-11-03 英飞凌科技股份公司 Process for forming a dielectric on a copper-containing metallization and capacitor arrangement
WO2005046972A1 (en) * 2003-11-06 2005-05-26 Vector Advanced Surface Technologies Unit for the continuous treatment of the surface of objects, and treatment method
FR2862070A1 (en) * 2003-11-06 2005-05-13 Vector Advanced Surface Techno Installation for the continuous surface treatment of plastic, composite, mineral or glass objects in a treatment chamber with the introduction and circulation of a plasmagenic gas
US20050183664A1 (en) * 2004-01-29 2005-08-25 Samsung Electronics Co., Ltd. Batch-type deposition apparatus having gland portion
US20070289531A1 (en) * 2004-01-29 2007-12-20 Samsung Electronics Co., Ltd. Batch-type deposition apparatus having a gland portion
US7958842B2 (en) 2004-02-27 2011-06-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20110209664A1 (en) * 2004-02-27 2011-09-01 Shizue Ogawa Substrate processing apparatus
US8518182B2 (en) 2004-02-27 2013-08-27 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20080153308A1 (en) * 2004-02-27 2008-06-26 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus
EP1791172A1 (en) * 2004-09-06 2007-05-30 Tokyo Electron Limited Plasma processing apparatus
EP1791172A4 (en) * 2004-09-06 2009-01-28 Tokyo Electron Ltd Plasma processing apparatus
US20080093024A1 (en) * 2004-09-06 2008-04-24 Toshiji Abe Plasma Treating Apparatus
US8267041B2 (en) 2004-09-06 2012-09-18 Tokyo Electron Limited Plasma treating apparatus
US20060087211A1 (en) * 2004-10-22 2006-04-27 Sharp Kabushiki Kaisha Plasma processing apparatus
US7927455B2 (en) 2004-10-22 2011-04-19 Sharp Kabushiki Kaisha Plasma processing apparatus
US20060090851A1 (en) * 2004-10-28 2006-05-04 Sung-Ho Kang Diffuser and method for using a diffuser in equipment for manufacturing semiconductor devices
US8092640B2 (en) 2005-01-13 2012-01-10 Sharp Kabushiki Kaisha Plasma processing apparatus and semiconductor device manufactured by the same apparatus
US20060151319A1 (en) * 2005-01-13 2006-07-13 Sharp Kabushiki Kaish Plasma processing apparatus and semiconductor device manufactured by the same apparatus
US20060191480A1 (en) * 2005-01-13 2006-08-31 Sharp Kabushiki Kaisha Plasma processing apparatus and semiconductor device manufactured by the same apparatus
US7540257B2 (en) 2005-01-13 2009-06-02 Sharp Kabushiki Kaisha Plasma processing apparatus and semiconductor device manufactured by the same apparatus
EP1681706A1 (en) * 2005-01-13 2006-07-19 Sharp Kabushiki Kaisha Plasma processing apparatus
US20080286980A1 (en) * 2005-03-01 2008-11-20 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Semiconductor Device Producing Method
US8251012B2 (en) * 2005-03-01 2012-08-28 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
WO2007056369A3 (en) * 2005-11-08 2007-07-05 Tokyo Electron Ltd Batch photoresist dry strip and ash system and process
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
WO2007056369A2 (en) * 2005-11-08 2007-05-18 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20080210273A1 (en) * 2005-11-08 2008-09-04 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070105392A1 (en) * 2005-11-08 2007-05-10 Raymond Joe Batch photoresist dry strip and ash system and process
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20090151632A1 (en) * 2006-03-28 2009-06-18 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus
US20090255468A1 (en) * 2006-05-01 2009-10-15 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus
US8555808B2 (en) * 2006-05-01 2013-10-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20110000433A1 (en) * 2006-05-05 2011-01-06 Maitreeyee Mahajani Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
KR101046071B1 (en) * 2006-05-05 2011-07-01 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for photoexciting a chemical for atomic layer deposition of a dielectric film
US8168269B2 (en) * 2006-05-05 2012-05-01 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20120192792A1 (en) * 2006-05-05 2012-08-02 Applied Materials, Inc. Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
CN103215570A (en) * 2006-05-05 2013-07-24 应用材料公司 Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
EP1857569A3 (en) * 2006-05-18 2008-08-06 Siegfried Dr. Strämke Plasma processing system
US20080035055A1 (en) * 2006-08-08 2008-02-14 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
US7632354B2 (en) 2006-08-08 2009-12-15 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
US7700054B2 (en) * 2006-12-12 2010-04-20 Hitachi Kokusai Electric Inc. Substrate processing apparatus having gas side flow via gas inlet
US20080153314A1 (en) * 2006-12-12 2008-06-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and heating apparatus
TWI405287B (en) * 2007-07-31 2013-08-11 Tokyo Electron Ltd Vertical plasma processing apparatus of batch type, and plasma processing apparatus
US8166914B2 (en) * 2007-07-31 2012-05-01 Tokyo Electron Limited Plasma processing apparatus of batch type
US20090032190A1 (en) * 2007-07-31 2009-02-05 Tokyo Electron Limited Plasma processing apparatus of batch type
US20090035463A1 (en) * 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
US20090074984A1 (en) * 2007-09-19 2009-03-19 Hitachi Kokusai Electric, Inc. Substrate processing apparatus and coating method
US8875656B2 (en) 2008-04-01 2014-11-04 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20090241835A1 (en) * 2008-04-01 2009-10-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20100055347A1 (en) * 2008-08-29 2010-03-04 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US9053909B2 (en) * 2008-08-29 2015-06-09 Tokyo Electron Limited Activated gas injector, film deposition apparatus, and film deposition method
US8093072B2 (en) * 2008-11-26 2012-01-10 Hitachi Kokusai Electric, Inc Substrate processing apparatus and method of manufacturing semiconductor device
US20100130009A1 (en) * 2008-11-26 2010-05-27 Hitachi-Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
CN101834109A (en) * 2009-03-09 2010-09-15 株式会社日立国际电气 Semiconductor-fabricating device
US20100278999A1 (en) * 2009-05-01 2010-11-04 Tokyo Electron Limited Plasma process apparatus and plasma process method
US8683943B2 (en) * 2009-05-01 2014-04-01 Tokyo Electron Limited Plasma process apparatus and plasma process method
US9447926B2 (en) 2009-05-01 2016-09-20 Tokyo Electron Limited Plasma process method
US20100300357A1 (en) * 2009-05-29 2010-12-02 Hitachi-Kokusai Electric Inc. Substrate processing apparatus
US9209015B2 (en) * 2009-05-29 2015-12-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20150140839A1 (en) * 2009-05-29 2015-05-21 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US9175395B2 (en) 2010-10-26 2015-11-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US9593422B2 (en) 2010-10-26 2017-03-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US9963785B2 (en) 2010-10-26 2018-05-08 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
WO2014001709A1 (en) * 2012-06-27 2014-01-03 Semco Engineering Device for surface treatment with plasma generated ex situ, and related method
FR2992822A1 (en) * 2012-06-27 2014-01-03 Semco Engineering EX-SITU PLASMA SURFACE SURFACE TREATMENT EQUIPMENT AND ASSOCIATED METHOD.
US11101328B2 (en) 2013-02-18 2021-08-24 Samsung Display Co., Ltd. Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same
US9318535B2 (en) * 2013-02-18 2016-04-19 Samsung Display Co., Ltd. Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same
US20140235003A1 (en) * 2013-02-18 2014-08-21 Samsung Display Co., Ltd. Vapor deposition apparatus, deposition method, and method of manufacturing organic light-emitting display apparatus by using the same
US10510534B2 (en) * 2015-03-09 2019-12-17 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Thin film, method of fabricating the same, and apparatus for fabricating the same
US11542601B2 (en) * 2016-02-09 2023-01-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
CN109314053A (en) * 2016-09-21 2019-02-05 株式会社国际电气 Substrate processing device, the manufacturing method of semiconductor devices and electrode fixing unit
US10961626B2 (en) * 2017-09-20 2021-03-30 Eugene Technology Co., Ltd. Plasma processing apparatus having injection ports at both sides of the ground electrode for batch processing of substrates
US11011352B2 (en) * 2019-02-13 2021-05-18 Kyungpook National University Industry-Academic Cooperation Foundation Atmospheric pressure plasma device
US20200258721A1 (en) * 2019-02-13 2020-08-13 Kyungpook National University Industry-Academic Cooperation Foundation Atmospheric pressure plasma device
US20200312632A1 (en) * 2019-03-25 2020-10-01 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
CN111883410A (en) * 2019-05-02 2020-11-03 株式会社尤金科技 Batch type substrate processing apparatus
US10982325B2 (en) 2019-09-24 2021-04-20 Picosun Oy Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods
EP4034690A4 (en) * 2019-09-24 2023-10-11 Picosun Oy Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods
CN115323358A (en) * 2021-05-10 2022-11-11 皮考逊公司 Substrate processing apparatus and method

Also Published As

Publication number Publication date
US8028652B2 (en) 2011-10-04
US20070246355A1 (en) 2007-10-25
US20090133630A1 (en) 2009-05-28
US9373499B2 (en) 2016-06-21
US20090159440A1 (en) 2009-06-25
US7861668B2 (en) 2011-01-04
US8020514B2 (en) 2011-09-20
US20080066681A1 (en) 2008-03-20
US20130104804A1 (en) 2013-05-02
US9039912B2 (en) 2015-05-26
US20150228476A1 (en) 2015-08-13
US20130072002A1 (en) 2013-03-21
US8544411B2 (en) 2013-10-01
US20080060580A1 (en) 2008-03-13
US20080093215A1 (en) 2008-04-24

Similar Documents

Publication Publication Date Title
US9373499B2 (en) Batch-type remote plasma processing apparatus
JP3979849B2 (en) Plasma processing apparatus and semiconductor device manufacturing method
US5772770A (en) Substrate processing apparatus
US5383971A (en) Differential pressure CVD chuck
JP4435111B2 (en) ALD apparatus and method for manufacturing semiconductor device
JP3184000B2 (en) Method and apparatus for forming thin film
US8394200B2 (en) Vertical plasma processing apparatus for semiconductor process
JP2006188729A (en) Substrate treatment apparatus
JP2009038155A (en) Plasma processing device
JP2009209447A (en) Substrate processing apparatus
JP2002110572A (en) Multi-deposition sacvd reactor
JPH0786174A (en) Film deposition system
JP2013065872A (en) Manufacturing method of semiconductor device and substrate processing apparatus
JP2006190770A (en) Substrate processor
JP4384645B2 (en) Processing tube
JP4267506B2 (en) Plasma processing equipment
JPH07147273A (en) Etching treatment
JP2005056908A (en) Substrate treatment system
JP2003273020A (en) Substrate-processing method
JP4890313B2 (en) Plasma CVD equipment
JP3259453B2 (en) Electrode used for plasma CVD apparatus and plasma CVD apparatus
JP2002359236A (en) Semiconductor-manufacturing apparatus
JP2006013105A (en) Substrate processing equipment
JP2006012994A (en) Substrate processing apparatus
JP2003100742A (en) Manufacturing method for semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI KOKUSAI ELECTRIC INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TOYODA, KAZUYUKI;INOKUCHI, YASUHIRO;TAKEBAYASHI, MOTONARI;AND OTHERS;REEL/FRAME:014028/0636;SIGNING DATES FROM 20030324 TO 20030331

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION