US20030067017A1 - Variable threshold voltage double gated transistors and method of fabrication - Google Patents

Variable threshold voltage double gated transistors and method of fabrication Download PDF

Info

Publication number
US20030067017A1
US20030067017A1 US10/242,941 US24294102A US2003067017A1 US 20030067017 A1 US20030067017 A1 US 20030067017A1 US 24294102 A US24294102 A US 24294102A US 2003067017 A1 US2003067017 A1 US 2003067017A1
Authority
US
United States
Prior art keywords
gate
transistor
transistors
layer
gate structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/242,941
Inventor
Meikei Ieong
Edward Nowak
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/242,941 priority Critical patent/US20030067017A1/en
Publication of US20030067017A1 publication Critical patent/US20030067017A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates generally to the field of semiconductor manufacturing and, more specifically, to a method for forming double gated field effect transistors.
  • FETs field effect transistors
  • Vt threshold voltage
  • the threshold voltage of a FET is generally defined as the gate voltage required to switch the transistor on or off (depending upon the type of FET).
  • FETs with different threshold voltages have different operational characteristics. For example, transistors with lower threshold voltage generally can operate at higher operational frequency, and have a higher current drive. However, transistors with lower threshold voltage also have higher leakage current, and thus generally use more power than transistors with higher threshold voltages.
  • Double gated FETs use two gates, one on each side of the body, to facilitate scaling of CMOS dimensions while maintaining an acceptable performance.
  • the use of the double gate increases the control of the gate on the channel potential, which allows the transistor to have better current control, without increasing the gate length of the device.
  • the double gated FET is able to have the current control of a larger transistor without requiring the device space of the larger transistor.
  • the present invention provides a double gate transistor and a method for forming the same that facilitates the formation of different transistors having different threshold voltages.
  • the invention is a method for forming a plurality of transistors having different threshold voltages, the method comprising the steps of:
  • the invention is a plurality of transistors comprising:
  • FIG. 1 is a flow diagram illustrating a first fabrication method
  • FIGS. 2 - 13 are cross-sectional side views of an exemplary double gated transistor during fabrication
  • FIGS. 14 - 18 are perspective views of an exemplary double gated transistor during fabrication
  • FIG. 19 is a flow diagram illustrating a second fabrication method
  • FIGS. 20 - 31 are cross-sectional side views of a second exemplary double gated transistor during fabrication.
  • the present invention provides a double gate transistor and a method for forming the same that facilitates the formation of different transistors having different threshold voltages.
  • the embodiments of the present invention form transistors having different body widths.
  • the preferred embodiment forms double gate transistors that have different threshold voltages, without adding excessive process complexity.
  • the double gates are formed on each side of a body disposed horizontally between the gates. This allows the gate length of the device to have minimum feature size, while allowing the thickness of the body to be much smaller than the gate length. This also improves control of the threshold voltage of the resulting device. Additionally, this method allows different transistors to be formed with different threshold voltages while minimizing process and device complexity.
  • the invention provides a double gate transistor with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type.
  • the threshold voltage of the resulting device is improved.
  • the resulting transistor can, with adequate doping of the body, have a threshold voltage in a range that enables low-voltage CMOS operation.
  • a transistor can be created that has a threshold voltage between 0V and 0.5V for nFETs and between 0 and ⁇ 0.5V for pFETs.
  • Various electrically conducting materials have associated with them a built-in electrical potential, often referred to as a “work-function, ” which, along with externally applied voltage, determines the relative affinity of the conductor for electrons (or holes).
  • the work-function is intrinsic to the material, while in a semiconductor, such as silicon, this work-function can be adjusted to values between the valence band and conduction band by introduction of impurities which supply excess holes or electrons.
  • the two gate electrodes are doped with impurities of opposite polarity, with one gate being doped n-type and the other gate being doped p-type.
  • the two gate electrodes have different work-functions and hence one gate electrode (the strong gate, the n-gate for nFETs) has a greater affinity for the inversion carriers while the other electrode (the weak gate, the p-gate for nFETs) has a lesser affinity for the inversion carriers.
  • the inversion channel will form in the semiconductor body at a location nearer the ‘strong’ gate, and thus results both gate electrodes contributing to the inversion potential leading to a relatively low threshold voltage (e.g, between 0 and 0.5 volts).
  • Method 100 forms a double gated transistor in a way that improves the threshold voltage of the transistor, while maintaining fabrication reliability and simplicity. Additionally, method 100 facilitates the formation of double gate transistors with different body widths and thus different threshold voltages.
  • method 100 uses sidewall spacers to define the width of the body of the transistor (sometimes referred to as the “fin width”). In method 100 , the sidewall spacers are selectively modified to facilitate the selective modification of threshold voltage of various transistors. Thus, method 100 can result in the formation of different transistors having different threshold voltages, with minimal processing complexity.
  • the first step 101 of method 100 is to provide an appropriate semiconductor wafer, deposit various etch stop layers, and deposit a mandrel layer.
  • the wafer used comprises a silicon on insulator (SOI) wafer.
  • the wafer comprises a buried oxide layer beneath an SOI layer.
  • SOI layer is used to form the body of the double gated transistor. It is generally preferable to use a SOI layer that has a p-type (for NFETs) doping density in the range of 3 ⁇ 10 18 cm ⁇ 3 to 8 ⁇ 10 18 cm ⁇ 3 to provide proper centering and control of the threshold voltage of the transistor.
  • the doping of the SOI layer is done with an angled implant to facilitate the achievement of a uniform concentration density throughout the body.
  • non-SOI wafers can be used.
  • the processing remains otherwise identical to those of the SOI wafer case, except as noted.
  • etch stop layers are formed on the wafer, preferably comprising a silicon dioxide layer, a silicon nitride layer, and a second silicon oxide layer. These etch stop layers will be used throughout the fabrication process when an appropriate etch stop is needed.
  • the mandrel layer preferably comprises a layer of oxide or other suitable material.
  • the mandrel layer is as part of a sidewall image transfer which is used to define the body of the double gated transistor.
  • the mandrel layer is used to form a sidewall spacer, which is in turn used to define the transistor body.
  • the mandrel layer has a thickness of between 10 nm and 100 nm, however, such a thickness may change depending on the desired body thickness.
  • a wafer portion 200 is illustrated after the formation of etch stop layers and a mandrel layer.
  • the preferred embodiment wafer portion 200 comprises an SOI wafer, and as such includes an SOI layer 202 and a buried oxide layer 204 .
  • On top of the SOI layer is formed a oxide layer 206 , a nitride layer 208 , and a oxide layer 210 . These layers serve as etch stop layers.
  • oxide layer 210 is formed on top of oxide layer 210 .
  • the next step 102 is to pattern the mandrel layer and form sidewall spacers.
  • the mandrel layer is pattered to open that area in which the one of the double gates is formed.
  • the sidewall spacer is preferably formed using a deposition of silicon nitride, followed by a suitable directional etch. Of course, other materials and methods can be used for forming the sidewall spacer.
  • the thickness of sidewall spacer will define the body region of the double gated transistor using sidewall image transfer. This thickness will be selectively adjusted to allow for the formation of transistors with different threshold voltages.
  • the wafer portion 200 is illustrated after the mandrel layer 212 has been patterned and a sidewall spacer 214 has been formed. Again, the sidewall spacer will be used to define the body thickness of the resulting transistor using sidewall image transfer.
  • the next step 103 is to selectively adjust the width of selected sidewall spacers. Since the width of the sidewall spacer determines the body width which in turn effects the threshold voltage of the resulting transistor, step 103 allows the threshold voltage of selected transistors to be easily adjusted.
  • the width of the sidewall spacers can be adjusted in any suitable manner. For example, they can be can covered with a suitable protective layer, with the protective layer then being patterned to exposed selected sidewall spacers. For example, a suitable photoresist layer can be deposited and patterned to expose only selected sidewall spacers. The width of the exposed sidewall spacers can then adjusted.
  • an isotropic etch of short duration can be used that results in narrowing of only the exposed sidewall spacers, with unexposed sidewall spacers remaining unchanged. Any isotropic etch which removes a portion of the exposed sidewall spacers while not significantly removing oxide is suitable for this step.
  • FIG. 4 a larger area of wafer portion 200 is illustrated that shows a plurality of sidewall spacers 214 formed on the exposed edges of mandrel layer 212 .
  • Each of the sidewall spacers 214 will be used to define a transistor body for a double gate field effect transistor.
  • a layer of photoresist 215 has been deposited and patterned to expose selected sidewall spacers 214 while other sidewall spacers remain covered by the photoresist 214 . This allows the width of the exposed sidewall spacers 214 to be adjusted relative to the width of the unexposed sidewall spacers 214 . For example, by performing an isotropic etch that selectively narrows only the exposed sidewall spacers 214 .
  • FIG. 5 the larger area of wafer portion 214 is illustrated after the exposed sidewall spacers 214 have been narrowed using a suitable etch.
  • the width of the sidewall spacer ultimately determines the body width and hence the threshold voltage of the resulting transistors.
  • transistors made using narrowed sidewall spacers will have a narrower body than those made with non-narrowed sidewall spacers.
  • the transistors with a narrowed body will have a higher threshold voltage relative to the those with the non-narrowed body.
  • Steps 104 through 114 will now be described and illustrated with only one transistor body, but it will be understood that the same steps will be applied to both narrowed and non-narrowed transistors.
  • the next step 104 is to pattern etch stop layers and patterning the SOI layer using the sidewall spacer and remaining mandrel material as a mask, and to form gate oxide on the exposed side of the SOI layer.
  • This is preferably done using a suitable reactive ion etch.
  • the gate oxide is preferably formed by thermal oxidation, typically at 750-800° C.
  • an implantation into the body of the transistor can be done. This would preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide. This would serve to properly dope the body of the transistor. As will be described in greater detail below, this angled implant can performed in a way that achieves uniform concentration density to help compensate for threshold voltage variations.
  • the wafer portion 200 is illustrated after the SOI layer 202 has been patterned and gate oxide 216 has been formed on the side of the SOI layer 202 .
  • an angled body implant can also be performed before the formation of the gate oxide.
  • the next step 106 is to deposit and planerize the gate material.
  • the double gated transistor has one gate formed n+ and another gate formed p+.
  • the n+ gate is formed first.
  • the wafer portion 200 is illustrated after n+ polysilicon 218 has been deposited and planarized. As will become clear, n+ polysilicon 218 will be used to form one of the gates in the preferred embodiment double gated transistor.
  • the next step 108 is to selectively remove the remaining mandrel layer. This is preferably done by performing a reactive ion etch of the mandrel selective to the nitride sidewall spacer, the nitride etch stop layer and the gate polysilicon. Then an intermediate oxide layer is formed on the polysilicon gate material, preferably by growing thermal oxide on the polysilicon gate.
  • FIG. 8 the wafer portion 200 is illustrated after the mandrel layer 212 has been removed, oxide etch stop layer 210 has been removed, and a thermal oxide layer 220 has been formed on the gate polysilicon 218 .
  • the nitride layer 208 that was beneath the remaining mandrel layer is etched selectively to the oxide 220 followed by a brief HF etch which removes the remaining oxide layer 206 which was beneath the remaining mandrel layer.
  • the next step 110 is to etch the exposed SOI layer. This is preferably done by using a reactive ion etch that etches the SOI layer, stopping on the buried oxide layer. This completes the patterning of the SOI layer to defines the thickness of the body of the double gated transistor. Then a gate oxide is formed on the exposed side of the transistor body.
  • this step another implantation into the body of the transistor can be performed. This would again preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide.
  • the wafer portion 200 is illustrated after the patterning of the SOI layer 202 .
  • the remaining portion of SOI layer 202 comprises the body of the double gated transistor (in this case, a silicon fin).
  • Gate oxide 221 is formed on the exposed SOI layer 202 using a thermal oxidation or by depositing a dielectric film.
  • a deposit/etch oxide process is used to deposit silicon dioxide exclusively on the bottom horizontal surfaces of the etched silicon of thickness approximately one-quarter the height of the etched fins.
  • the oxide may be doped with boron in the case of nFETs or phosphorus in the case of pFETs and some portion of the dopant out diffused into those portions of the fins immediately adjacent to the doped oxide. This serves to suppress leakage in what will be ungated surfaces of the fins, from source to drain.
  • the patterning of the SOI layer has defined the body of the double gated transistor. It is generally desirable to have the body thickness, illustrated as T SI , narrow when compared to the gate length. Typically, the body thickness should be less than approximately one quarter of the gate length to give good threshold voltage control. Also, it is generally desirable that the body thickness should be greater than approximately 2.5 nm to avoid degraded mobility due to quantum confinement issues. As the gate length is generally made to minimum feature size, sidewall image transfer is used to achieve the subminimum feature size of the body. Thus, as illustrated and described above, the width of the sidewall spacer determines the body thickness.
  • the next step 112 is to deposit and planerize the gate material for the second gate.
  • the preferred embodiment uses gate material of opposite doping to form the two gates.
  • the preferred embodiment uses p+ doped polysilicon to form the second of the two gates.
  • the planarization of the p+ polysilicon gate material stops on the thermally grown oxide previously formed on the n+ polysilicon gate.
  • a second layer of thermally grown oxide is formed.
  • FIG. 10 the wafer portion 200 is illustrated after the deposition and planarization of p+ doped polysilicon 226 to form the second gate. Thermally grown oxide 228 is then formed on the deposited polysilicon 226 .
  • the next step 114 is to remove the sidewall spacer, and fill the sidewall spacer opening with intrinsic polysilicon, to maximize silicide formation in this region later on in the process.
  • the sidewall spacer may be left in place if the separate, independent gate contacts are desirable.
  • the intrinsic polysilicon is then planarized using a CMP process that stops on the two layers of thermally grown oxide. This planarization process does not require high selectivity because there is very little excess intrinsic polysilicon to remove.
  • the exposed thermally grown oxide on the two gates is then removed using a similar planarization process. Again, high selectivity is not required for this processing step. Turning now to FIG.
  • the wafer portion 200 is illustrated after the remaining portion of the sidewall spacer 214 has been removed, and then the space is filled with intrinsic polysilicon 230 .
  • FIG. 12 then illustrates the wafer portion 200 after the excess polysilicon 230 and thermally grown oxide 220 and 228 have been removed by CMP process. This leaves only a small portion of the intrinsic polysilicon 230 in the place of the originally formed sidewall spacer. This portion of intrinsic polysilicon 230 will be used to allow the formation of a silicide bridge connecting the p+ and n+ polysilicon gates later in the process flow.
  • FIG. 13 shows multiple transistors at this step in the process. Again, those transistors that were defined using narrowed sidewall spacers have a narrower body, and will thus have a higher threshold voltage.
  • the transistor bodies 231 are narrower than transistor bodies 233 . Thus, transistors formed with transistor bodies 231 will have a higher threshold voltage than transistors formed with transistor bodies 233 .
  • the next step 116 is to pattern the gates. This involves selectively removing the portions of the gate materials that exist in adjacent the source and drain regions of the transistor. This is preferably done using standard lithographic techniques, i.e, depositing and patterning a hardmask, and then using the patterned hardmask as an etch block during an etching of the gate materials.
  • the hardmask is preferably a nitride hardmask, as that is the same as the already formed etch stop layer on the body.
  • FIG. 14 a single transistor in the wafer portion 200 is illustrated in perspective form.
  • a nitride hardmask 232 has been formed extending across the two gates, which comprise n+ gate polysilicon 218 and p+ gate polysilicon 226 .
  • FIG. 15 the wafer portion 200 is illustrated after gate polysilicon 218 and gate polysilicon 226 have been patterned using an etch selective to the hardmask.
  • the patterning preferably removes all the gate polysilicon down to the buried oxide layer 204 .
  • the patterning of the gates is preferably done using a directional etch that is selective to nitride.
  • the patterning does not remove the portions of the SOI body 202 that are protected by the previously formed nitride etch stop layer 208 .
  • the patterning leaves a portion of n+ polysilicon 218 and p+ polysilicon 226 , which defines the two gates of the double gated transistor.
  • a buffered HF clean up is performed, followed by a thermal reoxidation designed to grow oxide on all the exposed silicon surfaces. This preferably forms a thin 50 angstrom film of oxide that provides good interface where the gate meets the body.
  • the next step 118 in method 100 is to form source, drain, and halo implants in the transistor.
  • these implants are made from at least four directions, to ensure that a uniform implant is made into both sides of the fin.
  • both the source and drain implants are made from both sides of the source and drain portion of the fin.
  • another implant is made, with a different implant energies and angles, to form the halo implants that improve short channel effects.
  • the halo implants are performed at higher energies and at angles more acute with respect to the fin in order to assure placement of the halo dopant further under the gate electrodes than the source/drain dopants.
  • typically arsenic is used for the source/drain implants in the range of 1 to 15 keV and a dose of 5 ⁇ 10 14 to 2 ⁇ 10 15 cm ⁇ 3 with angles between 45° and 80° with respect to the fins
  • boron is used for the halo implants with energies in the range of 5 to 15 keV and a dose of 1 ⁇ 10 13 to 8 ⁇ 10 13 cm ⁇ 3 with the halo oriented between 20° and 45° with respect to the fins.
  • typically boron is used for the source/drain implants in the range of 0.5 to 3 keV and dose of 5 ⁇ 10 14 to 2 ⁇ 10 15 cm ⁇ 3 with angles between 45° and 80° with respect to the fins, and arsenic used for the halos, with energies from 20 to 45 keV and dose of 1 ⁇ 10 13 to 8 ⁇ 10 13 cm ⁇ 3 with the halo oriented between 20° and 45° with respect to the fins.
  • all of the above implants must be suitable angles from the horizontal plane of the wafer, between approximately 70° to 83° from horizontal.
  • the next step 120 is to deposit dielectric of thickness greater than the height of the combined gate electrode and hardmask above the BOX, covering the entire gate electrodes and exposed fins, planerize and partially recess until a portion (typically 10 to 50 nm) of the hardmask and gate electrode, but not any of the source/drain fin region, is exposed.
  • this step is part of the formation of sidewall spacers at the edges of the transistor gate.
  • the dielectric used preferably comprises oxide, which can be etched selective to the nitride hardmask already formed.
  • FIG. 16 the wafer portion 200 is illustrated after dielectric 240 has been deposited, surrounding the transistor gate electrode, planarized and then recessed.
  • the dielectric is preferably recessed using a directional etch selective to the previously provided nitride hardmask 232 .
  • the next step 122 is to form sidewall spacers on the edges of the gates, and etch the previously deposited dielectric. This is preferably done using a conformal deposition of a dielectric material, followed by a directional etch.
  • the sidewall spacers are preferably formed of nitride. The nitride sidewall spacers, together with the nitride hardmask, can then be used to mask a directional etch and thereby remove the oxide except adjacent to the gates.
  • FIG. 17 the wafer portion 200 is illustrated after nitride sidewall spacers 242 have been formed, and the dielectric 240 etched away, leaving only sidewall portions 244 adjacent the transistor gates.
  • the hardmask 232 , the sidewall spacers 242 , and the sidewall portions 244 combine to effectively isolate the gate from the source and drain contacts, which are formed next.
  • the next step 124 is to form source and drain contacts. This is preferably done by filling the previously removed areas with contact material.
  • the contact material can be a selective deposition of silicon, tungsten or other conductive material which makes low-resistance contacts to n+ and/or p+ silicon. If silicon is used, it is doped degenerately n+ or p+ for nFETs or pFETs, respectively. The material can be deposited until it covers the wafer to a height above the height of the nitride hardmask and then planarized by RIE and/or chemical-mechanical polish until the nitride hardmask is completely exposed. Next, as illustrated in FIG.
  • the wafer is patterned with a mask which is used to etch unwanted portions of the source/drain contact material to both isolate source from drain and to isolate a plurality of such FETs from each other.
  • the hardmask may be selectively removed by RIE or other etching techniques such as hot phosphoric acid, and a metal such as cobalt or titanium deposited and sintered at approximately 700° C. to form metal silicide over the gate, and in the case of silicon contacts, over the source and drain contacts as well.
  • method 100 provides for the formation of double gated transistors and allows the gate length of the device to have minimum feature size, while allowing the thickness of the body to be much smaller than the gate length. Furthermore method 100 results in double gate transistors with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type. By doping one of the gates n-type, and the other p-type, the threshold voltage of the resulting device is improved. Finally, method 100 provides for the formation of double gate transistors having different threshold voltages in one fabrication process. The embodiments of the present invention form transistors having different body widths. By forming double gate transistors with different body widths, the preferred embodiment forms double gate transistors that have different threshold voltages, without adding excessive process complexity.
  • step 301 the wafer is prepared, etch stop layers and a mandrel layer is formed in as in step 101 of the method 100 described above. Then in step 302 , the mandrel layer is patterned and the etch stop layers are directly etched. This differs from method 100 in that no sidewall spacer is formed on the mandrel layer before the etch stop layers are patterned.
  • step 302 the wafer portion 200 is illustrated after the formation of the etch stop layers, the mandrel layer, and the etching of the mandrel layer and etch stop layers directly.
  • the next step 304 is to pattern the SOI layer using the remaining mandrel layer as a mask, and to form gate oxide on the exposed side of the SOI layer. This is preferably done using a suitable reactive ion etch, followed by a thermal oxidation, typically at between 750° C. and 800° C., or by CVD deposition of a high-k material such as aluminum oxide. Also, during this step, an implantation into the body of the transistor can be done. This would preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide. This implant serves to properly dope the body of the transistor. As will be described in greater detail below, this implant can be performed in a way that achieves uniform concentration density to help compensate for threshold voltage variations that would otherwise result from variations in body thickness.
  • the wafer portion 200 is illustrated after the SOI layer 202 has been patterned and gate oxide 216 has been formed on the side of the SOI layer 202 .
  • an angled body implant can also be performed before the formation of the gate oxide.
  • the next step 306 is to deposit and planerize the gate material.
  • the double gated transistor has one gate formed n+ and the other gate formed p+.
  • the gate n+ gate is formed first.
  • the wafer portion 200 is illustrated after n+ polysilicon 218 has been deposited and planarized. As will become clear, n+ polysilicon will be used to form one of the gates in the preferred embodiment double gated transistor.
  • the next step 308 is to remove the remaining mandrel material, form a sidewall spacer along the edge of the remaining first gate material. As will be clear later on, the width the sidewall spacer will determine the width of the transistor body. Turning now to FIG. 23, the wafer portion 200 is illustrated after the mandrel layer 212 has been removed, the sidewall spacer 302 formed on the sidewall of the first gate material.
  • the next step 309 is to selectively adjust the width of selected sidewall spacers.
  • the threshold voltage of the resulting transistor varies with the transistor body width.
  • the preferred embodiments vary the width of selected sidewall spacers to allow different transistors with different body widths and thus different threshold voltages to be formed in one fabrication process.
  • the width of the sidewall spacers can be adjusted in any suitable manner. For example, they can be can covered with a suitable protective layer, with the protective layer then being patterned to exposed selected sidewall spacers. For example, a suitable photoresist layer can be deposited and patterned to expose only selected sidewall spacers. The width of the exposed sidewall spacers can then adjusted.
  • an isotropic etch of short duration can be used that results in narrowing of only the exposed sidewall spacers, with unexposed sidewall spacers remaining unchanged. Any isotropic etch which removes a portion of the exposed sidewall spacers while not significantly removing the oxide is suitable for this step.
  • FIG. 24 a larger area of wafer portion 200 is illustrated that shows a plurality of sidewall spacers 214 formed on the exposed edges of gate material 218 .
  • Each of the sidewall spacers 214 will be used to define a transistor body for a double gate field effect transistor.
  • a layer of photoresist 215 has been deposited and patterned to expose selected sidewall spacers 214 while other sidewall spacers remain covered by the photoresist 214 . This allows the width of the exposed sidewall spacers 214 to be adjusted relative to the width of the unexposed sidewall spacers 214 . For example, by performing an isotropic etch that selectively narrows only the exposed sidewall spacers 214 .
  • FIG. 25 the larger area of wafer portion 200 is illustrated after the exposed sidewall spacers 214 have been narrowed using a suitable etch.
  • the width of the sidewall spacer ultimately determines the body width and hence the threshold voltage of the resulting transistors.
  • transistors made using narrowed sidewall spacers will have a narrower body than those made with non-narrowed sidewall spacers.
  • the transistors with a narrowed body will have a higher threshold voltage relative to the those with the non-narrowed body.
  • the next step 310 is to form an intermediate oxide layer on the gate material and to pattern the SOI layer.
  • the wafer portion 200 is illustrated after an intermediate thermal oxide layer 220 has been formed on the gate polysilicon 218 .
  • the nitride layer 208 that was beneath the remaining mandrel layer is etched selectively to the oxide 220 followed by a brief HF etch which removes the remaining oxide layer 206 which was beneath the remaining mandrel layer.
  • the SOI layer is preferably patterned using a reactive ion etch that etches the SOI layer, stopping on the buried oxide layer. This completes the patterning of the SOI layer to define the thickness of the body of the double gated transistor. Gate oxide is then formed on the exposed side of the transistor body. Again, during this step a implantation into the body of the transistor can be performed. This would again preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide.
  • the wafer portion 200 is illustrated after the patterning of the SOI layer 202 .
  • the remaining portion of the SOI layer 202 comprises the body of the doubled gated transistor.
  • the width of the body was determined by the width of the sidewall spacer 214 used to define it. Thus, by selectively varying the width of the sidewall spacer, transistors with different body widths are formed.
  • Gate oxide 221 is formed on the exposed SOI layer 202 using a thermal oxidation or by depositing a dielectric film.
  • the next step 312 is to deposit and planerize the gate material for the second gate.
  • the preferred embodiment uses gate material of opposite doping to form the two gates.
  • the preferred embodiment uses p+ doped polysilicon to form the second of the two gates.
  • the planarization of the p+ polysilicon gate material stops on the thermally grown oxide previously formed on the n+ polysilicon gate.
  • a second layer of thermally grown oxide is formed.
  • FIG. 28 the wafer portion 202 is illustrated after the deposition and planarization of p+ doped polysilicon 226 to form the second gate. Thermally grown oxide 228 is then formed on the deposited polysilicon 226 .
  • the next step 314 is to remove the sidewall spacer, and fill the sidewall spacer opening with intrinsic polysilicon, to maximize silicide formation in this region later on in the process.
  • the sidewall spacer may be left in place if the separate, independent gate contacts are desirable.
  • the intrinsic polysilicon is then planarized using a CMP process that stops on the two layers of thermally grown oxide. This planarization process does not require high selectivity because there is very little excess intrinsic polysilicon to remove.
  • the exposed thermally grown oxide on the two gates is then removed using a similar planarization process. Again, high selectivity is not required for this processing step. Turning now to FIG.
  • the wafer portion 200 is illustrated after the remaining portion of the sidewall spacer 302 has been removed, and then the space is filled with intrinsic polysilicon 230 .
  • FIG. 30 then illustrates the wafer portion 200 after the excess polysilicon 230 and thermally grown oxide 220 and 228 have been removed by CMP process. This leaves only a small portion of the intrinsic polysilicon 230 in the place of the originally formed sidewall spacer. This portion of intrinsic polysilicon 230 will be used to allow the formation of a silicide bridge connecting the p+ and n+ polysilicon gates later in the process flow.
  • FIG. 11 shows multiple transistors at this step in the process. Again, those transistors that were defined using narrowed sidewall spacers have a narrower body, and will thus have a higher threshold voltage.
  • the transistor bodies 231 are narrower than transistor bodies 233 . Thus, transistors formed with transistor bodies 231 will have a higher threshold voltage than transistors formed with transistor bodies 233 .
  • Method 300 provides for the formation of double gated transistors and allows the gate length of the device to have minimum feature size, while allowing the thickness of the body to be much smaller than the gate length. Furthermore method 300 results in double gate transistors with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type. By doping one of the gates n-type, and the other p-type, the threshold voltage of the resulting device is improved. Finally, method 300 provides for the formation of double gate transistors having different threshold voltages in one fabrication process. Method 300 has the additional advantage of resulting in minimal erosion of the sidewall spacer used to define the transistor body since the spacer is now exposed to reactive ion etching only once. Consequently the silicon etch profiles achieved with this embodiment are very well controlled.
  • the present invention provides a double gated transistor and a method for forming the same that results in improved device performance and density.
  • the preferred embodiment of the present invention uses provides a double gated transistor with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type. By doping one of the gates n-type, and the other p-type, the threshold voltage of the resulting device is improved. In particular, by asymmetrically doping the two gates, the resulting transistor can, with adequate doping of the body, have a threshold voltage in a range that enables low-voltage CMOS operation.
  • the present invention also provides a double gate transistor and a method for forming the same that facilitates the formation of different transistors having different threshold voltages.
  • the embodiments of the present invention form transistors having different body widths. By forming double gate transistors with different body widths, the preferred embodiment forms double gate transistors that have different threshold voltages, without adding excessive process complexity.

Abstract

The present invention provides a double gate transistor and a method for forming the same that facilitates the formation of different transistors having different threshold voltages. The embodiments of the present invention form transistors having different body widths. By forming double gate transistors with different body widths, the preferred embodiment forms double gate transistors that have different threshold voltages, without adding excessive process complexity. The preferred embodiment of the present invention is implemented using a fin type double gated structure. In a fin type structure, the double gates are formed on each side of the body, with the body being disposed horizontally between the gates.

Description

    BACKGROUND OF THE INVENTION
  • 1. Technical Field [0001]
  • The present invention relates generally to the field of semiconductor manufacturing and, more specifically, to a method for forming double gated field effect transistors. [0002]
  • 2. Background Art [0003]
  • The need to remain cost and performance competitive in the production of semiconductor devices has caused continually increasing device density in integrated circuits. To facilitate the increase in device density, new technologies are constantly needed to allow the feature size of these semiconductor devices to be reduced. [0004]
  • The push for ever increasing device densities is particularly strong in CMOS technologies, such as the in the design and fabrication of field effect transistors (FETs). FETs are used in almost all types of integrated circuit design (i.e., microprocessors, memory, etc.) One of the fundamental parameters of FET design is the threshold voltage (Vt). The threshold voltage of a FET is generally defined as the gate voltage required to switch the transistor on or off (depending upon the type of FET). FETs with different threshold voltages have different operational characteristics. For example, transistors with lower threshold voltage generally can operate at higher operational frequency, and have a higher current drive. However, transistors with lower threshold voltage also have higher leakage current, and thus generally use more power than transistors with higher threshold voltages. [0005]
  • Thus, in some applications it is generally desirable to have transistors with lower threshold voltages to improve performance, while in other applications it is generally desirable to have transistors with higher threshold voltage to reduce unwanted power consumption. Unfortunately, it is generally difficult to make transistors with different threshold voltage on the same device when the transistors employ ultra-thin bodies. [0006]
  • This is especially true of double gated field effect transistors. Double gated FETs use two gates, one on each side of the body, to facilitate scaling of CMOS dimensions while maintaining an acceptable performance. In particular, the use of the double gate increases the control of the gate on the channel potential, which allows the transistor to have better current control, without increasing the gate length of the device. As such, the double gated FET is able to have the current control of a larger transistor without requiring the device space of the larger transistor. [0007]
  • Thus, there is a need for improved device structures and methods of fabrication of double gated transistors that provide transistors having different threshold voltages on the same device without overly increasing fabrication complexity. [0008]
  • DISCLOSURE OF INVENTION
  • Accordingly, the present invention provides a double gate transistor and a method for forming the same that facilitates the formation of different transistors having different threshold voltages. In a first aspect, the invention is a method for forming a plurality of transistors having different threshold voltages, the method comprising the steps of: [0009]
  • a) providing a semiconductor substrate; [0010]
  • b) forming a plurality of shapes on the semiconductor substrate, each of the plurality of shapes having a width; [0011]
  • c) selectively adjusting the widths of at least one selected shapes; [0012]
  • d) patterning the semiconductor substrate using the plurality of shapes to form a plurality of transistor bodies such that the width of each the plurality of transistor bodies is at least partially determined by the width of a corresponding one of the plurality of shapes; [0013]
  • e) providing a first gate structure of a first work-function adjacent a first body edge of each of the plurality of transistor bodies; and [0014]
  • f) providing a second gate structure of a second work-function adjacent a second body edge of each of the plurality of transistor bodies. [0015]
  • In a second aspect, the invention is a plurality of transistors comprising: [0016]
  • a) a plurality of transistor bodies formed on a substrate, the transistor bodies each having a first vertical edge and a second vertical edge defining a transistor body width, wherein a selected portion of the plurality of transistor bodies has an adjusted width; [0017]
  • b) a plurality of first gate structures, each of the plurality of first gate structures adjacent to one of the plurality of transistor body first vertical edges, the plurality of first gate structures having a first work-function; and [0018]
  • c) a plurality of second gate structures, each of the plurality of second gate structures adjacent to one of the plurality of transistor body second vertical edges, the plurality of second gate structures having a second work-function. [0019]
  • The foregoing and other advantages and features of the invention will be apparent from the following more particular description of a preferred embodiment of the invention, as illustrated in the accompanying drawings. [0020]
  • BRIEF DESCRIPTION OF DRAWINGS
  • The preferred exemplary embodiment of the present invention will hereinafter be described in conjunction with the appended drawings, where like designations denote like elements, and [0021]
  • FIG. 1 is a flow diagram illustrating a first fabrication method; [0022]
  • FIGS. [0023] 2-13 are cross-sectional side views of an exemplary double gated transistor during fabrication;
  • FIGS. [0024] 14-18 are perspective views of an exemplary double gated transistor during fabrication;
  • FIG. 19 is a flow diagram illustrating a second fabrication method; and [0025]
  • FIGS. [0026] 20-31 are cross-sectional side views of a second exemplary double gated transistor during fabrication.
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • Accordingly, the present invention provides a double gate transistor and a method for forming the same that facilitates the formation of different transistors having different threshold voltages. The embodiments of the present invention form transistors having different body widths. By forming double gate transistors with different body widths, the preferred embodiment forms double gate transistors that have different threshold voltages, without adding excessive process complexity. [0027]
  • In a first embodiment of the present invention, the double gates are formed on each side of a body disposed horizontally between the gates. This allows the gate length of the device to have minimum feature size, while allowing the thickness of the body to be much smaller than the gate length. This also improves control of the threshold voltage of the resulting device. Additionally, this method allows different transistors to be formed with different threshold voltages while minimizing process and device complexity. [0028]
  • Furthermore, the invention provides a double gate transistor with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type. By doping one of the gates n-type, and the other p-type, the threshold voltage of the resulting device is improved. In particular, by asymeterically doping the two gates, the resulting transistor can, with adequate doping of the body, have a threshold voltage in a range that enables low-voltage CMOS operation. For example, a transistor can be created that has a threshold voltage between 0V and 0.5V for nFETs and between 0 and −0.5V for pFETs. [0029]
  • Various electrically conducting materials have associated with them a built-in electrical potential, often referred to as a “work-function, ” which, along with externally applied voltage, determines the relative affinity of the conductor for electrons (or holes). In a metal, the work-function is intrinsic to the material, while in a semiconductor, such as silicon, this work-function can be adjusted to values between the valence band and conduction band by introduction of impurities which supply excess holes or electrons. In the asymmetric double gated FET of the preferred embodiment, the two gate electrodes are doped with impurities of opposite polarity, with one gate being doped n-type and the other gate being doped p-type. Thus, the two gate electrodes have different work-functions and hence one gate electrode (the strong gate, the n-gate for nFETs) has a greater affinity for the inversion carriers while the other electrode (the weak gate, the p-gate for nFETs) has a lesser affinity for the inversion carriers. As a result the inversion channel will form in the semiconductor body at a location nearer the ‘strong’ gate, and thus results both gate electrodes contributing to the inversion potential leading to a relatively low threshold voltage (e.g, between 0 and 0.5 volts). [0030]
  • Turning now to FIG. 1, a [0031] method 100 for forming a double gated transistor in accordance with the preferred embodiment is illustrated. Method 100 forms a double gated transistor in a way that improves the threshold voltage of the transistor, while maintaining fabrication reliability and simplicity. Additionally, method 100 facilitates the formation of double gate transistors with different body widths and thus different threshold voltages. In particular, method 100 uses sidewall spacers to define the width of the body of the transistor (sometimes referred to as the “fin width”). In method 100, the sidewall spacers are selectively modified to facilitate the selective modification of threshold voltage of various transistors. Thus, method 100 can result in the formation of different transistors having different threshold voltages, with minimal processing complexity.
  • The [0032] first step 101 of method 100 is to provide an appropriate semiconductor wafer, deposit various etch stop layers, and deposit a mandrel layer. In the preferred embodiment, the wafer used comprises a silicon on insulator (SOI) wafer. As such, the wafer comprises a buried oxide layer beneath an SOI layer. As will be come clear the SOI layer is used to form the body of the double gated transistor. It is generally preferable to use a SOI layer that has a p-type (for NFETs) doping density in the range of 3×1018 cm−3 to 8×1018 cm−3 to provide proper centering and control of the threshold voltage of the transistor. However, in another embodiment to be described later, the doping of the SOI layer is done with an angled implant to facilitate the achievement of a uniform concentration density throughout the body.
  • However, non-SOI wafers can be used. When a non-SOI wafer is used, the processing remains otherwise identical to those of the SOI wafer case, except as noted. [0033]
  • With a SOI wafer provided, three etch stop layers are formed on the wafer, preferably comprising a silicon dioxide layer, a silicon nitride layer, and a second silicon oxide layer. These etch stop layers will be used throughout the fabrication process when an appropriate etch stop is needed. [0034]
  • Next, a mandrel layer is formed. The mandrel layer preferably comprises a layer of oxide or other suitable material. As will be explained in greater detail later, the mandrel layer is as part of a sidewall image transfer which is used to define the body of the double gated transistor. As such, the mandrel layer is used to form a sidewall spacer, which is in turn used to define the transistor body. In the preferred embodiment, the mandrel layer has a thickness of between 10 nm and 100 nm, however, such a thickness may change depending on the desired body thickness. [0035]
  • Turning now to FIG. 2, a [0036] wafer portion 200 is illustrated after the formation of etch stop layers and a mandrel layer. The preferred embodiment wafer portion 200 comprises an SOI wafer, and as such includes an SOI layer 202 and a buried oxide layer 204. On top of the SOI layer is formed a oxide layer 206, a nitride layer 208, and a oxide layer 210. These layers serve as etch stop layers. On top of oxide layer 210 is formed a mandrel layer 212.
  • Returning to FIG. 1, the [0037] next step 102 is to pattern the mandrel layer and form sidewall spacers. The mandrel layer is pattered to open that area in which the one of the double gates is formed. The sidewall spacer is preferably formed using a deposition of silicon nitride, followed by a suitable directional etch. Of course, other materials and methods can be used for forming the sidewall spacer. As will be shown later the thickness of sidewall spacer will define the body region of the double gated transistor using sidewall image transfer. This thickness will be selectively adjusted to allow for the formation of transistors with different threshold voltages.
  • Turning to FIG. 3, the [0038] wafer portion 200 is illustrated after the mandrel layer 212 has been patterned and a sidewall spacer 214 has been formed. Again, the sidewall spacer will be used to define the body thickness of the resulting transistor using sidewall image transfer.
  • Returning to FIG. 1, the [0039] next step 103 is to selectively adjust the width of selected sidewall spacers. Since the width of the sidewall spacer determines the body width which in turn effects the threshold voltage of the resulting transistor, step 103 allows the threshold voltage of selected transistors to be easily adjusted. The width of the sidewall spacers can be adjusted in any suitable manner. For example, they can be can covered with a suitable protective layer, with the protective layer then being patterned to exposed selected sidewall spacers. For example, a suitable photoresist layer can be deposited and patterned to expose only selected sidewall spacers. The width of the exposed sidewall spacers can then adjusted. For example, an isotropic etch of short duration can be used that results in narrowing of only the exposed sidewall spacers, with unexposed sidewall spacers remaining unchanged. Any isotropic etch which removes a portion of the exposed sidewall spacers while not significantly removing oxide is suitable for this step.
  • Turning now to FIG. 4, a larger area of [0040] wafer portion 200 is illustrated that shows a plurality of sidewall spacers 214 formed on the exposed edges of mandrel layer 212. Each of the sidewall spacers 214 will be used to define a transistor body for a double gate field effect transistor. In accordance with method 100, a layer of photoresist 215 has been deposited and patterned to expose selected sidewall spacers 214 while other sidewall spacers remain covered by the photoresist 214. This allows the width of the exposed sidewall spacers 214 to be adjusted relative to the width of the unexposed sidewall spacers 214. For example, by performing an isotropic etch that selectively narrows only the exposed sidewall spacers 214.
  • Turning now to FIG. 5, the larger area of [0041] wafer portion 214 is illustrated after the exposed sidewall spacers 214 have been narrowed using a suitable etch. Again, as will become clear, the width of the sidewall spacer ultimately determines the body width and hence the threshold voltage of the resulting transistors. Thus, transistors made using narrowed sidewall spacers will have a narrower body than those made with non-narrowed sidewall spacers. The transistors with a narrowed body will have a higher threshold voltage relative to the those with the non-narrowed body.
  • [0042] Steps 104 through 114 will now be described and illustrated with only one transistor body, but it will be understood that the same steps will be applied to both narrowed and non-narrowed transistors.
  • Returning to FIG. 1, after removing the remaining photo resist, the [0043] next step 104 is to pattern etch stop layers and patterning the SOI layer using the sidewall spacer and remaining mandrel material as a mask, and to form gate oxide on the exposed side of the SOI layer. This is preferably done using a suitable reactive ion etch. The gate oxide is preferably formed by thermal oxidation, typically at 750-800° C. Also, during this step an implantation into the body of the transistor can be done. This would preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide. This would serve to properly dope the body of the transistor. As will be described in greater detail below, this angled implant can performed in a way that achieves uniform concentration density to help compensate for threshold voltage variations.
  • Turning now to FIG. 6, the [0044] wafer portion 200 is illustrated after the SOI layer 202 has been patterned and gate oxide 216 has been formed on the side of the SOI layer 202. Again, an angled body implant can also be performed before the formation of the gate oxide.
  • Returning to FIG. 1, the [0045] next step 106 is to deposit and planerize the gate material. As described above, in the preferred embodiment, the double gated transistor has one gate formed n+ and another gate formed p+. In the illustrated implementation, the n+ gate is formed first. Turning to FIG. 7, the wafer portion 200 is illustrated after n+ polysilicon 218 has been deposited and planarized. As will become clear, n+ polysilicon 218 will be used to form one of the gates in the preferred embodiment double gated transistor.
  • The [0046] next step 108 is to selectively remove the remaining mandrel layer. This is preferably done by performing a reactive ion etch of the mandrel selective to the nitride sidewall spacer, the nitride etch stop layer and the gate polysilicon. Then an intermediate oxide layer is formed on the polysilicon gate material, preferably by growing thermal oxide on the polysilicon gate. Turning now to FIG. 8, the wafer portion 200 is illustrated after the mandrel layer 212 has been removed, oxide etch stop layer 210 has been removed, and a thermal oxide layer 220 has been formed on the gate polysilicon 218. The nitride layer 208 that was beneath the remaining mandrel layer is etched selectively to the oxide 220 followed by a brief HF etch which removes the remaining oxide layer 206 which was beneath the remaining mandrel layer.
  • The [0047] next step 110 is to etch the exposed SOI layer. This is preferably done by using a reactive ion etch that etches the SOI layer, stopping on the buried oxide layer. This completes the patterning of the SOI layer to defines the thickness of the body of the double gated transistor. Then a gate oxide is formed on the exposed side of the transistor body.
  • Also, during this step another implantation into the body of the transistor can be performed. This would again preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide. [0048]
  • Turning to FIG. 9, the [0049] wafer portion 200 is illustrated after the patterning of the SOI layer 202. The remaining portion of SOI layer 202 comprises the body of the double gated transistor (in this case, a silicon fin). Gate oxide 221 is formed on the exposed SOI layer 202 using a thermal oxidation or by depositing a dielectric film.
  • When a non-SOI wafer is used, after the silicon fins are etched by time to the desired depth (typically 100-200 nm below the original silicon surface) a deposit/etch oxide process is used to deposit silicon dioxide exclusively on the bottom horizontal surfaces of the etched silicon of thickness approximately one-quarter the height of the etched fins. The oxide may be doped with boron in the case of nFETs or phosphorus in the case of pFETs and some portion of the dopant out diffused into those portions of the fins immediately adjacent to the doped oxide. This serves to suppress leakage in what will be ungated surfaces of the fins, from source to drain. [0050]
  • Returning to the SOI embodiment, it should be noted that the patterning of the SOI layer has defined the body of the double gated transistor. It is generally desirable to have the body thickness, illustrated as T[0051] SI, narrow when compared to the gate length. Typically, the body thickness should be less than approximately one quarter of the gate length to give good threshold voltage control. Also, it is generally desirable that the body thickness should be greater than approximately 2.5 nm to avoid degraded mobility due to quantum confinement issues. As the gate length is generally made to minimum feature size, sidewall image transfer is used to achieve the subminimum feature size of the body. Thus, as illustrated and described above, the width of the sidewall spacer determines the body thickness.
  • The [0052] next step 112 is to deposit and planerize the gate material for the second gate. As discussed above, the preferred embodiment uses gate material of opposite doping to form the two gates. Thus, the preferred embodiment uses p+ doped polysilicon to form the second of the two gates. The planarization of the p+ polysilicon gate material stops on the thermally grown oxide previously formed on the n+ polysilicon gate. After the planarization of the p+ polysilicon, a second layer of thermally grown oxide is formed. Turning now to FIG. 10, the wafer portion 200 is illustrated after the deposition and planarization of p+ doped polysilicon 226 to form the second gate. Thermally grown oxide 228 is then formed on the deposited polysilicon 226.
  • The [0053] next step 114 is to remove the sidewall spacer, and fill the sidewall spacer opening with intrinsic polysilicon, to maximize silicide formation in this region later on in the process. Optionally, the sidewall spacer may be left in place if the separate, independent gate contacts are desirable. The intrinsic polysilicon is then planarized using a CMP process that stops on the two layers of thermally grown oxide. This planarization process does not require high selectivity because there is very little excess intrinsic polysilicon to remove. The exposed thermally grown oxide on the two gates is then removed using a similar planarization process. Again, high selectivity is not required for this processing step. Turning now to FIG. 11, the wafer portion 200 is illustrated after the remaining portion of the sidewall spacer 214 has been removed, and then the space is filled with intrinsic polysilicon 230. FIG. 12 then illustrates the wafer portion 200 after the excess polysilicon 230 and thermally grown oxide 220 and 228 have been removed by CMP process. This leaves only a small portion of the intrinsic polysilicon 230 in the place of the originally formed sidewall spacer. This portion of intrinsic polysilicon 230 will be used to allow the formation of a silicide bridge connecting the p+ and n+ polysilicon gates later in the process flow.
  • At this point in the process, the bodies of the transistors have been formed, and gates have been formed on each side of the bodies. Turning now to FIG. 13, the larger area of [0054] wafer portion 200 is again illustrated. FIG. 13 shows multiple transistors at this step in the process. Again, those transistors that were defined using narrowed sidewall spacers have a narrower body, and will thus have a higher threshold voltage. In particular, the transistor bodies 231 are narrower than transistor bodies 233. Thus, transistors formed with transistor bodies 231 will have a higher threshold voltage than transistors formed with transistor bodies 233.
  • Returning to [0055] method 100, the next step 116 is to pattern the gates. This involves selectively removing the portions of the gate materials that exist in adjacent the source and drain regions of the transistor. This is preferably done using standard lithographic techniques, i.e, depositing and patterning a hardmask, and then using the patterned hardmask as an etch block during an etching of the gate materials. The hardmask is preferably a nitride hardmask, as that is the same as the already formed etch stop layer on the body.
  • Turning now to FIG. 14, a single transistor in the [0056] wafer portion 200 is illustrated in perspective form. A nitride hardmask 232 has been formed extending across the two gates, which comprise n+ gate polysilicon 218 and p+ gate polysilicon 226. Turning now to FIG. 15, the wafer portion 200 is illustrated after gate polysilicon 218 and gate polysilicon 226 have been patterned using an etch selective to the hardmask. The patterning preferably removes all the gate polysilicon down to the buried oxide layer 204. The patterning of the gates is preferably done using a directional etch that is selective to nitride. Thus, the patterning does not remove the portions of the SOI body 202 that are protected by the previously formed nitride etch stop layer 208. The patterning leaves a portion of n+ polysilicon 218 and p+ polysilicon 226, which defines the two gates of the double gated transistor.
  • In the preferred embodiment a buffered HF clean up is performed, followed by a thermal reoxidation designed to grow oxide on all the exposed silicon surfaces. This preferably forms a thin 50 angstrom film of oxide that provides good interface where the gate meets the body. [0057]
  • The [0058] next step 118 in method 100 is to form source, drain, and halo implants in the transistor. Preferably these implants are made from at least four directions, to ensure that a uniform implant is made into both sides of the fin. In particular, both the source and drain implants are made from both sides of the source and drain portion of the fin. Then another implant is made, with a different implant energies and angles, to form the halo implants that improve short channel effects. The halo implants are performed at higher energies and at angles more acute with respect to the fin in order to assure placement of the halo dopant further under the gate electrodes than the source/drain dopants. For nFETs, typically arsenic is used for the source/drain implants in the range of 1 to 15 keV and a dose of 5×1014 to 2×1015 cm−3 with angles between 45° and 80° with respect to the fins, and boron is used for the halo implants with energies in the range of 5 to 15 keV and a dose of 1×1013 to 8 ×1013 cm−3 with the halo oriented between 20° and 45° with respect to the fins. Similarly, for pFETs, typically boron is used for the source/drain implants in the range of 0.5 to 3 keV and dose of 5×1014 to 2×1015 cm−3 with angles between 45° and 80° with respect to the fins, and arsenic used for the halos, with energies from 20 to 45 keV and dose of 1×1013 to 8×1013 cm−3 with the halo oriented between 20° and 45° with respect to the fins. Furthermore, all of the above implants must be suitable angles from the horizontal plane of the wafer, between approximately 70° to 83° from horizontal.
  • The [0059] next step 120 is to deposit dielectric of thickness greater than the height of the combined gate electrode and hardmask above the BOX, covering the entire gate electrodes and exposed fins, planerize and partially recess until a portion (typically 10 to 50 nm) of the hardmask and gate electrode, but not any of the source/drain fin region, is exposed.. As will become clear, this step is part of the formation of sidewall spacers at the edges of the transistor gate. The dielectric used preferably comprises oxide, which can be etched selective to the nitride hardmask already formed. Turning now to FIG. 16, the wafer portion 200 is illustrated after dielectric 240 has been deposited, surrounding the transistor gate electrode, planarized and then recessed. The dielectric is preferably recessed using a directional etch selective to the previously provided nitride hardmask 232.
  • The [0060] next step 122 is to form sidewall spacers on the edges of the gates, and etch the previously deposited dielectric. This is preferably done using a conformal deposition of a dielectric material, followed by a directional etch. The sidewall spacers are preferably formed of nitride. The nitride sidewall spacers, together with the nitride hardmask, can then be used to mask a directional etch and thereby remove the oxide except adjacent to the gates.
  • Turning now to FIG. 17, the [0061] wafer portion 200 is illustrated after nitride sidewall spacers 242 have been formed, and the dielectric 240 etched away, leaving only sidewall portions 244 adjacent the transistor gates. The hardmask 232, the sidewall spacers 242, and the sidewall portions 244 combine to effectively isolate the gate from the source and drain contacts, which are formed next.
  • The [0062] next step 124 is to form source and drain contacts. This is preferably done by filling the previously removed areas with contact material. The contact material can be a selective deposition of silicon, tungsten or other conductive material which makes low-resistance contacts to n+ and/or p+ silicon. If silicon is used, it is doped degenerately n+ or p+ for nFETs or pFETs, respectively. The material can be deposited until it covers the wafer to a height above the height of the nitride hardmask and then planarized by RIE and/or chemical-mechanical polish until the nitride hardmask is completely exposed. Next, as illustrated in FIG. 18, the wafer is patterned with a mask which is used to etch unwanted portions of the source/drain contact material to both isolate source from drain and to isolate a plurality of such FETs from each other. Finally, the hardmask may be selectively removed by RIE or other etching techniques such as hot phosphoric acid, and a metal such as cobalt or titanium deposited and sintered at approximately 700° C. to form metal silicide over the gate, and in the case of silicon contacts, over the source and drain contacts as well.
  • Thus, [0063] method 100 provides for the formation of double gated transistors and allows the gate length of the device to have minimum feature size, while allowing the thickness of the body to be much smaller than the gate length. Furthermore method 100 results in double gate transistors with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type. By doping one of the gates n-type, and the other p-type, the threshold voltage of the resulting device is improved. Finally, method 100 provides for the formation of double gate transistors having different threshold voltages in one fabrication process. The embodiments of the present invention form transistors having different body widths. By forming double gate transistors with different body widths, the preferred embodiment forms double gate transistors that have different threshold voltages, without adding excessive process complexity.
  • Turning now to FIG. 19, a alternative [0064] preferred embodiment method 300 is illustrated. This method has the advantage of resulting in minimal erosion of the sidewall spacer used to define the transistor body since the spacer is now exposed to reactive ion etching only once. Consequently the silicon etch profiles achieved with this embodiment are very well controlled. In step 301, the wafer is prepared, etch stop layers and a mandrel layer is formed in as in step 101 of the method 100 described above. Then in step 302, the mandrel layer is patterned and the etch stop layers are directly etched. This differs from method 100 in that no sidewall spacer is formed on the mandrel layer before the etch stop layers are patterned. Turning to FIG. 20, the wafer portion 200 is illustrated after the formation of the etch stop layers, the mandrel layer, and the etching of the mandrel layer and etch stop layers directly.
  • The [0065] next step 304 is to pattern the SOI layer using the remaining mandrel layer as a mask, and to form gate oxide on the exposed side of the SOI layer. This is preferably done using a suitable reactive ion etch, followed by a thermal oxidation, typically at between 750° C. and 800° C., or by CVD deposition of a high-k material such as aluminum oxide. Also, during this step, an implantation into the body of the transistor can be done. This would preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide. This implant serves to properly dope the body of the transistor. As will be described in greater detail below, this implant can be performed in a way that achieves uniform concentration density to help compensate for threshold voltage variations that would otherwise result from variations in body thickness.
  • Turning now to FIG. 21, the [0066] wafer portion 200 is illustrated after the SOI layer 202 has been patterned and gate oxide 216 has been formed on the side of the SOI layer 202. Again, an angled body implant can also be performed before the formation of the gate oxide.
  • Returning to FIG. 19, the [0067] next step 306 is to deposit and planerize the gate material. As described above, in the preferred embodiment, the double gated transistor has one gate formed n+ and the other gate formed p+. In the illustrated embodiment, the gate n+ gate is formed first. Turning to FIG. 22, the wafer portion 200 is illustrated after n+ polysilicon 218 has been deposited and planarized. As will become clear, n+ polysilicon will be used to form one of the gates in the preferred embodiment double gated transistor.
  • The [0068] next step 308 is to remove the remaining mandrel material, form a sidewall spacer along the edge of the remaining first gate material. As will be clear later on, the width the sidewall spacer will determine the width of the transistor body. Turning now to FIG. 23, the wafer portion 200 is illustrated after the mandrel layer 212 has been removed, the sidewall spacer 302 formed on the sidewall of the first gate material.
  • Returning to FIG. 19, the [0069] next step 309 is to selectively adjust the width of selected sidewall spacers. As explained above, the threshold voltage of the resulting transistor varies with the transistor body width. The preferred embodiments vary the width of selected sidewall spacers to allow different transistors with different body widths and thus different threshold voltages to be formed in one fabrication process. As with method 100, the width of the sidewall spacers can be adjusted in any suitable manner. For example, they can be can covered with a suitable protective layer, with the protective layer then being patterned to exposed selected sidewall spacers. For example, a suitable photoresist layer can be deposited and patterned to expose only selected sidewall spacers. The width of the exposed sidewall spacers can then adjusted. For example, an isotropic etch of short duration can be used that results in narrowing of only the exposed sidewall spacers, with unexposed sidewall spacers remaining unchanged. Any isotropic etch which removes a portion of the exposed sidewall spacers while not significantly removing the oxide is suitable for this step.
  • Turning now to FIG. 24, a larger area of [0070] wafer portion 200 is illustrated that shows a plurality of sidewall spacers 214 formed on the exposed edges of gate material 218. Each of the sidewall spacers 214 will be used to define a transistor body for a double gate field effect transistor. In accordance with method 300, a layer of photoresist 215 has been deposited and patterned to expose selected sidewall spacers 214 while other sidewall spacers remain covered by the photoresist 214. This allows the width of the exposed sidewall spacers 214 to be adjusted relative to the width of the unexposed sidewall spacers 214. For example, by performing an isotropic etch that selectively narrows only the exposed sidewall spacers 214.
  • Turning now to FIG. 25, the larger area of [0071] wafer portion 200 is illustrated after the exposed sidewall spacers 214 have been narrowed using a suitable etch. Again, as will become clear, the width of the sidewall spacer ultimately determines the body width and hence the threshold voltage of the resulting transistors. Thus, transistors made using narrowed sidewall spacers will have a narrower body than those made with non-narrowed sidewall spacers. The transistors with a narrowed body will have a higher threshold voltage relative to the those with the non-narrowed body.
  • Returning to the [0072] method 300, the remaining steps 310 through 326 will now be described and illustrated with only one transistor body, but it will be again understood that the same steps will be applied to both narrowed and non-narrowed transistors. The next step 310 is to form an intermediate oxide layer on the gate material and to pattern the SOI layer.
  • Turning now to FIG. 26, the [0073] wafer portion 200 is illustrated after an intermediate thermal oxide layer 220 has been formed on the gate polysilicon 218. The nitride layer 208 that was beneath the remaining mandrel layer is etched selectively to the oxide 220 followed by a brief HF etch which removes the remaining oxide layer 206 which was beneath the remaining mandrel layer.
  • The SOI layer is preferably patterned using a reactive ion etch that etches the SOI layer, stopping on the buried oxide layer. This completes the patterning of the SOI layer to define the thickness of the body of the double gated transistor. Gate oxide is then formed on the exposed side of the transistor body. Again, during this step a implantation into the body of the transistor can be performed. This would again preferably comprise an angled implant into the exposed sidewall of the SOI layer, done before the formation of the gate oxide. [0074]
  • Turning now to FIG. 27, the [0075] wafer portion 200 is illustrated after the patterning of the SOI layer 202. The remaining portion of the SOI layer 202 comprises the body of the doubled gated transistor. The width of the body was determined by the width of the sidewall spacer 214 used to define it. Thus, by selectively varying the width of the sidewall spacer, transistors with different body widths are formed. Gate oxide 221, is formed on the exposed SOI layer 202 using a thermal oxidation or by depositing a dielectric film.
  • The [0076] next step 312 is to deposit and planerize the gate material for the second gate. As discussed above, the preferred embodiment uses gate material of opposite doping to form the two gates. Thus, the preferred embodiment uses p+ doped polysilicon to form the second of the two gates. The planarization of the p+ polysilicon gate material stops on the thermally grown oxide previously formed on the n+ polysilicon gate. After the planarization of the p+ polysilicon, a second layer of thermally grown oxide is formed. Turning now to FIG. 28, the wafer portion 202 is illustrated after the deposition and planarization of p+ doped polysilicon 226 to form the second gate. Thermally grown oxide 228 is then formed on the deposited polysilicon 226.
  • The [0077] next step 314 is to remove the sidewall spacer, and fill the sidewall spacer opening with intrinsic polysilicon, to maximize silicide formation in this region later on in the process. Optionally, the sidewall spacer may be left in place if the separate, independent gate contacts are desirable. The intrinsic polysilicon is then planarized using a CMP process that stops on the two layers of thermally grown oxide. This planarization process does not require high selectivity because there is very little excess intrinsic polysilicon to remove. The exposed thermally grown oxide on the two gates is then removed using a similar planarization process. Again, high selectivity is not required for this processing step. Turning now to FIG. 29, the wafer portion 200 is illustrated after the remaining portion of the sidewall spacer 302 has been removed, and then the space is filled with intrinsic polysilicon 230. FIG. 30 then illustrates the wafer portion 200 after the excess polysilicon 230 and thermally grown oxide 220 and 228 have been removed by CMP process. This leaves only a small portion of the intrinsic polysilicon 230 in the place of the originally formed sidewall spacer. This portion of intrinsic polysilicon 230 will be used to allow the formation of a silicide bridge connecting the p+ and n+ polysilicon gates later in the process flow.
  • At this point in the process, the bodies of the transistors have been formed, and gates have been formed on each side of the bodies. Turning now to FIG. 31, the larger area of [0078] wafer portion 200 is again illustrated. FIG. 11 shows multiple transistors at this step in the process. Again, those transistors that were defined using narrowed sidewall spacers have a narrower body, and will thus have a higher threshold voltage. In particular, the transistor bodies 231 are narrower than transistor bodies 233. Thus, transistors formed with transistor bodies 231 will have a higher threshold voltage than transistors formed with transistor bodies 233.
  • Returning to [0079] method 300, the remaining steps 316 to 326 are identical to steps 116 to 126 described in method 100. Method 300, like method 100, provides for the formation of double gated transistors and allows the gate length of the device to have minimum feature size, while allowing the thickness of the body to be much smaller than the gate length. Furthermore method 300 results in double gate transistors with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type. By doping one of the gates n-type, and the other p-type, the threshold voltage of the resulting device is improved. Finally, method 300 provides for the formation of double gate transistors having different threshold voltages in one fabrication process. Method 300 has the additional advantage of resulting in minimal erosion of the sidewall spacer used to define the transistor body since the spacer is now exposed to reactive ion etching only once. Consequently the silicon etch profiles achieved with this embodiment are very well controlled.
  • Thus, the present invention provides a double gated transistor and a method for forming the same that results in improved device performance and density. The preferred embodiment of the present invention uses provides a double gated transistor with asymmetric gate doping, where one of the double gates is doped degenerately n-type and the other degenerately p-type. By doping one of the gates n-type, and the other p-type, the threshold voltage of the resulting device is improved. In particular, by asymmetrically doping the two gates, the resulting transistor can, with adequate doping of the body, have a threshold voltage in a range that enables low-voltage CMOS operation. [0080]
  • The present invention also provides a double gate transistor and a method for forming the same that facilitates the formation of different transistors having different threshold voltages. The embodiments of the present invention form transistors having different body widths. By forming double gate transistors with different body widths, the preferred embodiment forms double gate transistors that have different threshold voltages, without adding excessive process complexity. [0081]
  • While the invention has been particularly shown and described with reference to an exemplary embodiment using a fin type double gated field effect transistor, those skilled in the art will recognize that the preferred embodiment can be applied to other types of double gated transistors, and that changes in implementation details may be made therein without departing from the spirit and scope of the invention. For example, it will also be understood by those skilled in the art that the invention is applicable to different isolation technologies (e.g., LOCOS, recessed oxide (ROX), etc.), well and substrate technologies, dopant types, energies and species. It will also be understood that the spirit of the invention is applicable to other semiconductor technologies (e.g., BiCMOS, bipolar, silicon on insulator (SOI), silicon germanium (SiGe). [0082]

Claims (21)

1. A method for forming a plurality of transistors having different threshold voltages, the method comprising the steps of:
a) providing a semiconductor substrate;
b) forming a plurality of shapes on the semiconductor substrate, each of the plurality of shapes having a width;
c) selectively adjusting the widths of at least one selected shapes;
d) patterning the semiconductor substrate using the plurality of shapes to form a plurality of transistor bodies such that the width of each the plurality of transistor bodies is at least partially determined by the width of a corresponding one of the plurality of shapes;
e) providing a first gate structure of a first work-function adjacent a first body edge of each of the plurality of transistor bodies; and
f) providing a second gate structure of a second work-function adjacent a second body edge of each of the plurality of transistor bodies.
2. The method of claim 1 wherein the first gate structure of a first work-function comprises p-type material and wherein the second gate structure of a second work-function comprises n-type material.
3. The method of claim 1 further comprising (g) forming source, drain, and halo regions utilizing angled implantation..
4. The method of claim 1 wherein the semiconductor substrate comprises a silicon-on-insulator layer, and wherein the step of patterning the semiconductor substrate using the plurality of shapes to form a plurality of transistor bodies comprises patterning the silicon-on-insulator layer.
5. The method of claim 3, wherein said substrate has a horizontal plane, and wherein source and drain regions are formed therein at an angle between approximately 70° and 83° with respect to said horizontal plane.
6. The method of claim 1 wherein the step of forming a plurality of shapes and the step of patterning the semiconductor substrate using the plurality of shapes to form a plurality of transistor bodies comprises: forming a mandrel layer on the semiconductor substrate; patterning the mandrel layer to form an exposed side, and forming a sidewall spacer adjacent to the exposed side, and wherein a first edge of the sidewall spacer defines the first body edge and a second edge of the sidewall spacer defines the second body edge.
7. The method of claim 1 wherein the step of forming a plurality of shapes and the step of patterning the semiconductor substrate using the plurality of shapes to form a plurality of transistor bodies comprises forming a mandrel layer on the semiconductor substrate, patterning the mandrel layer, and using the patterned mandrel layer to define the first body edge, forming a sidewall spacer adjacent to a gate material layer and using the sidewall spacer to define the second body edge.
8. A method for forming a plurality of field effect transistors having different threshold voltages, the method comprising the steps of:
a) providing a silicon-on-insulator substrate, the silicon-on-insulator substrate comprising a silicon layer on a buried dielectric layer;
b) forming a mandrel layer on the silicon layer; patterning the mandrel layer to define a plurality of mandrel layer edges;
c) patterning the silicon layer with the plurality of mandrel layer edges, the patterning of the silicon layer providing a plurality of first body edges;
d) forming a plurality of first gate dielectrics on the plurality of first body edges;
e) providing a plurality of first gate structures of a first work-function adjacent the first body edges on the plurality of first gate dielectrics;
f) patterning the mandrel layer to expose a first edges of the plurality of first gate structures;
g) forming a plurality of sidewall spacers adjacent the first edges of the plurality of first gate structures, the sidewall spacers having a sidewall spacer width;
h) adjusting the width of selected sidewall spacers;
i) patterning the silicon layer with plurality of sidewall spacers, the pattering of the silicon layer providing a plurality of second body edges, where the first and second body edges of the patterned silicon layer define a plurality of transistor bodies;
j) providing a plurality of second gate dielectrics on the plurality of second body edges; and
k) providing a plurality of second gate structures of a second work-function adjacent the second body edges on the plurality of second gate dielectrics.
9. The method of claim 8 wherein the plurality of first gate structures of a first work-function comprises p-type polysilicon material and wherein the plurality of second gate structures of a second work-function comprises n-type polysilicon material.
10. The method of claim 8 wherein the plurality of first gate structures of a first work-function comprises n-type polysilicon material and wherein the plurality of second gate structures of a second work-function comprises p-type polysilicon material.
11. The method of claim 8 further comprising the step of forming a plurality of source/drain implants into the bodies of the transistors by performing an angled implant into the transistor bodies.
12. A plurality of transistors comprising:
a) a plurality of transistor bodies formed on a substrate, the transistor bodies each having a first vertical edge and a second vertical edge defining a transistor body width, wherein a selected portion of the plurality of transistor bodies has an adjusted width;
b) a plurality of first gate structures, each of the plurality of first gate structures adjacent to one of the plurality of transistor body first vertical edges, the plurality of first gate structures having a first work-function; and
c) a plurality of second gate structures, each of the plurality of second gate structures adjacent to one of the plurality of transistor body second vertical edges, the plurality of second gate structures having a second work-function.
13. The transistors of claim 12 wherein the plurality of first gate structures comprise p-type material and wherein the plurality of second gate structures comprise n-type material.
14. The transistors of claim 12 wherein the plurality of transistor bodies comprise semiconductor fins.
15. The transistors of claim 12 wherein the plurality of transistor bodies comprise a portion of a silicon-on-insulator layer.
16. The transistors of claim 12 wherein the plurality of first and second gate structures comprise polysilicon.
17. The transistors of claim 12 further comprising a plurality of first gate dielectrics between the transistor body first edges and the first gate structures and a plurality of second gate dielectrics between the transistor body second edges and the second gate structures.
18. The transistors of claim 12 wherein the plurality of transistor fins comprise source/drain implants.
19. The transistors of claim 12 wherein each of said first and second plurality of gate structures has a length, and wherein said width of each of said plurality of transistor bodies is less than approximately one quarter of said length.
20. The transistors of claim 12, wherein said width of said plurality of transistor bodes is greater than approximately 2.5 nm
21. A plurality of dual gate transistors, comprising a first transistor having a first body width, a first gate, a second gate; and a second transistor having a second body width, a first gate, and a second gate, wherein each of said first gates have a first work-function and each of said second gates have a second work-function.
US10/242,941 2001-10-05 2002-09-13 Variable threshold voltage double gated transistors and method of fabrication Abandoned US20030067017A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/242,941 US20030067017A1 (en) 2001-10-05 2002-09-13 Variable threshold voltage double gated transistors and method of fabrication

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/972,172 US6492212B1 (en) 2001-10-05 2001-10-05 Variable threshold voltage double gated transistors and method of fabrication
US10/242,941 US20030067017A1 (en) 2001-10-05 2002-09-13 Variable threshold voltage double gated transistors and method of fabrication

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/972,172 Division US6492212B1 (en) 2001-10-05 2001-10-05 Variable threshold voltage double gated transistors and method of fabrication

Publications (1)

Publication Number Publication Date
US20030067017A1 true US20030067017A1 (en) 2003-04-10

Family

ID=25519287

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/972,172 Expired - Fee Related US6492212B1 (en) 2001-10-05 2001-10-05 Variable threshold voltage double gated transistors and method of fabrication
US10/242,941 Abandoned US20030067017A1 (en) 2001-10-05 2002-09-13 Variable threshold voltage double gated transistors and method of fabrication

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/972,172 Expired - Fee Related US6492212B1 (en) 2001-10-05 2001-10-05 Variable threshold voltage double gated transistors and method of fabrication

Country Status (2)

Country Link
US (2) US6492212B1 (en)
JP (1) JP3974837B2 (en)

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036126A1 (en) * 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US20040217433A1 (en) * 2003-04-29 2004-11-04 Yee-Chia Yeo Doping of semiconductor fin devices
US20040266077A1 (en) * 2003-06-27 2004-12-30 Yee-Chia Yeo Structure and method for forming the gate electrode in a multiple-gate transistor
WO2005034212A2 (en) * 2003-10-02 2005-04-14 Intel Corporation 6t finfet cmos sram cell with an increased cell ratio
US20050121706A1 (en) * 2003-02-20 2005-06-09 Hao-Yu Chen Semiconductor nano-rod devices
US20050158970A1 (en) * 2004-01-16 2005-07-21 Robert Chau Tri-gate transistors and methods to fabricate same
US20050156171A1 (en) * 2003-12-30 2005-07-21 Brask Justin K. Nonplanar transistors with metal gate electrodes
US20050242406A1 (en) * 2003-06-27 2005-11-03 Hareland Scott A Nonplanar device with stress incorporation layer and method of fabrication
US20050266692A1 (en) * 2004-06-01 2005-12-01 Brask Justin K Method of patterning a film
US20050275010A1 (en) * 2004-06-10 2005-12-15 Hung-Wei Chen Semiconductor nano-wire devices and methods of fabrication
US20060033095A1 (en) * 2004-08-10 2006-02-16 Doyle Brian S Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060128131A1 (en) * 2004-09-29 2006-06-15 Chang Peter L Independently accessed double-gate and tri-gate transistors in same process flow
US20060154426A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation Finfets with long gate length at high density
US20060151834A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation High mobility plane finfet with equal drive strength
US20060172497A1 (en) * 2003-06-27 2006-08-03 Hareland Scott A Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US20060186484A1 (en) * 2005-02-23 2006-08-24 Chau Robert S Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7112997B1 (en) 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US20060286755A1 (en) * 2005-06-15 2006-12-21 Brask Justin K Method for fabricating transistor with thinned channel
US20060290384A1 (en) * 2005-06-28 2006-12-28 Ching-Te Chuang Independent gate control logic circuitry
US20070001173A1 (en) * 2005-06-21 2007-01-04 Brask Justin K Semiconductor device structures and methods of forming semiconductor structures
US20070111419A1 (en) * 2005-09-28 2007-05-17 Doyle Brian S CMOS Devices with a single work function gate electrode and method of fabrication
US20070148837A1 (en) * 2005-12-27 2007-06-28 Uday Shah Method of fabricating a multi-cornered film
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US20080188080A1 (en) * 2005-09-14 2008-08-07 International Business Machines Corporation Mandrel/trim alignment in sit processing
US20080206934A1 (en) * 2007-02-23 2008-08-28 Jones Robert E Forming semiconductor fins using a sacrificial fin
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US20090090976A1 (en) * 2005-09-28 2009-04-09 Intel Corporation Process for integrating planar and non-planar cmos transistors on a bulk substrate and article made thereby
US20090149531A1 (en) * 2007-12-11 2009-06-11 Apoteknos Para La Piel, S.L. Chemical composition derived from p-hydroxyphenyl propionic acid for the treatment of psoriasis
US20100065888A1 (en) * 2004-06-30 2010-03-18 Shaheen Mohamad A High mobility tri-gate devices and methods of fabrication
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
US7781771B2 (en) 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7898041B2 (en) 2005-06-30 2011-03-01 Intel Corporation Block contact architectures for nanoscale channel transistors
US7989280B2 (en) 2005-11-30 2011-08-02 Intel Corporation Dielectric interface for group III-V semiconductor device
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20130334602A1 (en) * 2012-06-14 2013-12-19 International Business Machines Corporation Continuously scalable width and height semiconductor fins
US8617945B2 (en) 2006-08-02 2013-12-31 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US20140231915A1 (en) * 2013-02-15 2014-08-21 International Business Machines Corporation Trilayer SIT Process with Transfer Layer for FINFET Patterning
US8878298B2 (en) 2009-04-21 2014-11-04 International Business Machines Corporation Multiple Vt field-effect transistor devices
US20150170905A1 (en) * 2007-07-30 2015-06-18 Micron Technology, Inc. Methods for device fabrication using pitch reduction and related devices
US20150171086A1 (en) * 2013-10-17 2015-06-18 Globalfoundries Inc. Selective Growth of a Work-Function Metal in a Replacement Metal Gate of a Semiconductor Device

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US20060154423A1 (en) * 2002-12-19 2006-07-13 Fried David M Methods of forming structure and spacer and related finfet
CN1320641C (en) * 2002-12-19 2007-06-06 国际商业机器公司 Methods for forming structure and spacer and related FINFET
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6946696B2 (en) * 2002-12-23 2005-09-20 International Business Machines Corporation Self-aligned isolation double-gate FET
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US20060170053A1 (en) * 2003-05-09 2006-08-03 Yee-Chia Yeo Accumulation mode multiple gate transistor
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6894326B2 (en) * 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US20040266115A1 (en) * 2003-06-25 2004-12-30 Bor-Wen Chan Method of making a gate electrode on a semiconductor device
US7087506B2 (en) * 2003-06-26 2006-08-08 International Business Machines Corporation Method of forming freestanding semiconductor layer
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7095065B2 (en) * 2003-08-05 2006-08-22 Advanced Micro Devices, Inc. Varying carrier mobility in semiconductor devices to achieve overall design goals
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
WO2005020325A1 (en) * 2003-08-26 2005-03-03 Nec Corporation Semiconductor device and manufacturing method thereof
JP4212444B2 (en) 2003-09-22 2009-01-21 株式会社東芝 Nonvolatile semiconductor memory device
US7863674B2 (en) * 2003-09-24 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US6888199B2 (en) * 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
US6951783B2 (en) * 2003-10-28 2005-10-04 Freescale Semiconductor, Inc. Confined spacers for double gate transistor semiconductor fabrication process
US6962843B2 (en) * 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7498225B1 (en) 2003-12-04 2009-03-03 Advanced Micro Devices, Inc. Systems and methods for forming multiple fin structures using metal-induced-crystallization
JP2005174964A (en) * 2003-12-05 2005-06-30 National Institute Of Advanced Industrial & Technology Double-gate field-effect transistor
US20070029623A1 (en) * 2003-12-05 2007-02-08 National Inst Of Adv Industrial Science And Tech Dual-gate field effect transistor
JP2005167163A (en) * 2003-12-05 2005-06-23 National Institute Of Advanced Industrial & Technology Double gate field-effect transistor
JP2005174960A (en) * 2003-12-05 2005-06-30 National Institute Of Advanced Industrial & Technology Double-gate field-effect transistor
US6924178B2 (en) * 2003-12-08 2005-08-02 International Business Machines Corporation Oxide/nitride stacked in FinFET spacer process
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7224029B2 (en) * 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
JP4565097B2 (en) 2004-04-08 2010-10-20 独立行政法人産業技術総合研究所 Double-gate MOS transistor, double-gate CMOS transistor, and manufacturing method thereof
US7262084B2 (en) 2004-04-15 2007-08-28 International Business Machines Corporation Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom
US7176092B2 (en) * 2004-04-16 2007-02-13 Taiwan Semiconductor Manufacturing Company Gate electrode for a semiconductor fin device
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
JP2006012898A (en) * 2004-06-22 2006-01-12 Toshiba Corp Semiconductor device and its manufacturing method
KR100594282B1 (en) * 2004-06-28 2006-06-30 삼성전자주식회사 Semiconductor device comprising FinFET and fabricating method thereof
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7348641B2 (en) * 2004-08-31 2008-03-25 International Business Machines Corporation Structure and method of making double-gated self-aligned finFET having gates of different lengths
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US7368787B2 (en) * 2005-05-19 2008-05-06 International Business Machines Corporation Fin field effect transistors (FinFETs) and methods for making the same
US7170772B1 (en) 2005-07-29 2007-01-30 International Business Machines Corporation Apparatus and method for dynamic control of double gate devices
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
KR100653711B1 (en) * 2005-11-14 2006-12-05 삼성전자주식회사 Schottky barrier finfet device and fabrication method thereof
US7439144B2 (en) * 2006-02-16 2008-10-21 International Business Machines Corporation CMOS gate structures fabricated by selective oxidation
US7745319B2 (en) * 2006-08-22 2010-06-29 Micron Technology, Inc. System and method for fabricating a fin field effect transistor
JP2008124423A (en) 2006-10-20 2008-05-29 Oki Electric Ind Co Ltd Method for manufacturing semiconductor device and semiconductor device
US8217435B2 (en) * 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
FR2910999B1 (en) * 2006-12-28 2009-04-03 Commissariat Energie Atomique MEMORY CELL WITH DOUBLE-GRID TRANSISTORS, INDEPENDENT AND ASYMMETRIC GRIDS
US20080173942A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE
US8039376B2 (en) 2007-11-14 2011-10-18 International Business Machines Corporation Methods of changing threshold voltages of semiconductor transistors by ion implantation
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8324036B2 (en) 2009-11-09 2012-12-04 International Business Machines Corporation Device having and method for forming fins with multiple widths for an integrated circuit
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
EP2731110B1 (en) * 2010-12-14 2016-09-07 SanDisk Technologies LLC Architecture for three dimensional non-volatile storage with vertical bit lines
CN103794720B (en) 2010-12-14 2017-01-04 桑迪士克科技有限责任公司 There is the three dimensional nonvolatile memorizer of double-gate vertical selector
US8513131B2 (en) 2011-03-17 2013-08-20 International Business Machines Corporation Fin field effect transistor with variable channel thickness for threshold voltage tuning
KR101850703B1 (en) 2011-05-17 2018-04-23 삼성전자 주식회사 Semiconductor device and method for fabricating the device
US8866214B2 (en) 2011-10-12 2014-10-21 International Business Machines Corporation Vertical transistor having an asymmetric gate
US9171584B2 (en) 2012-05-15 2015-10-27 Sandisk 3D Llc Three dimensional non-volatile storage with interleaved vertical select devices above and below vertical bit lines
US8822320B2 (en) 2012-11-20 2014-09-02 International Business Machines Corporation Dense finFET SRAM
US9202694B2 (en) 2013-03-04 2015-12-01 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9196612B2 (en) 2014-03-26 2015-11-24 International Business Machines Corporation Semiconductor device including merged-unmerged work function metal and variable fin pitch
US9627009B2 (en) 2014-07-25 2017-04-18 Sandisk Technologies Llc Interleaved grouped word lines for three dimensional non-volatile storage
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US10217863B2 (en) 2016-06-28 2019-02-26 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with an asymmetric gate structure
US9837406B1 (en) 2016-09-02 2017-12-05 International Business Machines Corporation III-V FINFET devices having multiple threshold voltages
CN109216273A (en) 2017-07-06 2019-01-15 联华电子股份有限公司 Semiconductor structure and its manufacturing method
US10103247B1 (en) 2017-10-17 2018-10-16 Globalfoundries Inc. Vertical transistor having buried contact, and contacts using work function metals and silicides

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3872491A (en) 1973-03-08 1975-03-18 Sprague Electric Co Asymmetrical dual-gate FET
US5420048A (en) * 1991-01-09 1995-05-30 Canon Kabushiki Kaisha Manufacturing method for SOI-type thin film transistor
US5563093A (en) 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JP3238820B2 (en) 1994-02-18 2001-12-17 富士通株式会社 Semiconductor device
US5512517A (en) 1995-04-25 1996-04-30 International Business Machines Corporation Self-aligned gate sidewall spacer in a corrugated FET and method of making same
DE19535629C1 (en) 1995-09-25 1996-09-12 Siemens Ag Integrated CMOS switch prodn. eliminating lateral dopant diffusion between gate electrodes
JPH09205152A (en) 1996-01-25 1997-08-05 Sony Corp Cmos semiconductor device of two-layer gate electrode structure and its manufacture
US5780330A (en) 1996-06-28 1998-07-14 Integrated Device Technology, Inc. Selective diffusion process for forming both n-type and p-type gates with a single masking step
US5670397A (en) 1997-01-16 1997-09-23 Powerchip Semiconductor Corp. Dual poly-gate deep submicron CMOS with buried contact technology
US6015991A (en) 1997-03-12 2000-01-18 International Business Machines Corporation Asymmetrical field effect transistor
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
US5939937A (en) 1997-09-29 1999-08-17 Siemens Aktiengesellschaft Constant current CMOS output driver circuit with dual gate transistor devices
US6004837A (en) * 1998-02-18 1999-12-21 International Business Machines Corporation Dual-gate SOI transistor
US6372559B1 (en) * 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage

Cited By (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7504678B2 (en) 2002-08-23 2009-03-17 Intel Corporation Tri-gate devices and methods of fabrication
US20040094807A1 (en) * 2002-08-23 2004-05-20 Chau Robert S. Tri-gate devices and methods of fabrication
US20070034972A1 (en) * 2002-08-23 2007-02-15 Chau Robert S Tri-gate devices and methods of fabrication
US20040036126A1 (en) * 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7560756B2 (en) 2002-08-23 2009-07-14 Intel Corporation Tri-gate devices and methods of fabrication
US20050121706A1 (en) * 2003-02-20 2005-06-09 Hao-Yu Chen Semiconductor nano-rod devices
US20060220133A1 (en) * 2003-04-29 2006-10-05 Yee-Chia Yeo Doping of semiconductor fin devices
US8790970B2 (en) 2003-04-29 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US7701008B2 (en) 2003-04-29 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US20060234431A1 (en) * 2003-04-29 2006-10-19 Yee-Chia Yeo Doping of semiconductor fin devices
US20040217433A1 (en) * 2003-04-29 2004-11-04 Yee-Chia Yeo Doping of semiconductor fin devices
US20100176424A1 (en) * 2003-04-29 2010-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of Semiconductor Fin Devices
US8053839B2 (en) 2003-04-29 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US7714397B2 (en) 2003-06-27 2010-05-11 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
US7820513B2 (en) 2003-06-27 2010-10-26 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US20060091428A1 (en) * 2003-06-27 2006-05-04 Yee-Chia Yeo Structure and method for forming the gate electrode in a multiple-gate transistor
US20060261411A1 (en) * 2003-06-27 2006-11-23 Hareland Scott A Nonplanar device with stress incorporation layer and method of fabrication
US7005330B2 (en) 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US20040266077A1 (en) * 2003-06-27 2004-12-30 Yee-Chia Yeo Structure and method for forming the gate electrode in a multiple-gate transistor
US8405164B2 (en) 2003-06-27 2013-03-26 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
US20060172497A1 (en) * 2003-06-27 2006-08-03 Hareland Scott A Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US20050242406A1 (en) * 2003-06-27 2005-11-03 Hareland Scott A Nonplanar device with stress incorporation layer and method of fabrication
US8273626B2 (en) 2003-06-27 2012-09-25 Intel Corporationn Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7276763B2 (en) 2003-06-27 2007-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US20110020987A1 (en) * 2003-06-27 2011-01-27 Hareland Scott A Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100915398B1 (en) * 2003-10-02 2009-09-03 인텔 코포레이션 6t finfet cmos sram cell with an increased cell ratio
US7138305B2 (en) 2003-10-02 2006-11-21 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
WO2005034212A2 (en) * 2003-10-02 2005-04-14 Intel Corporation 6t finfet cmos sram cell with an increased cell ratio
US20060281236A1 (en) * 2003-10-02 2006-12-14 Suman Datta Method and apparatus for improving stability of a 6T CMOS SRAM cell
WO2005034212A3 (en) * 2003-10-02 2005-08-04 Intel Corp 6t finfet cmos sram cell with an increased cell ratio
US7445980B2 (en) 2003-10-02 2008-11-04 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US20050237850A1 (en) * 2003-10-02 2005-10-27 Suman Datta Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7329913B2 (en) 2003-12-30 2008-02-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US20050156171A1 (en) * 2003-12-30 2005-07-21 Brask Justin K. Nonplanar transistors with metal gate electrodes
US20050158970A1 (en) * 2004-01-16 2005-07-21 Robert Chau Tri-gate transistors and methods to fabricate same
US7781771B2 (en) 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7112997B1 (en) 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US20050266692A1 (en) * 2004-06-01 2005-12-01 Brask Justin K Method of patterning a film
US7452778B2 (en) 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
US20050275010A1 (en) * 2004-06-10 2005-12-15 Hung-Wei Chen Semiconductor nano-wire devices and methods of fabrication
US20100065888A1 (en) * 2004-06-30 2010-03-18 Shaheen Mohamad A High mobility tri-gate devices and methods of fabrication
US8084818B2 (en) 2004-06-30 2011-12-27 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060033095A1 (en) * 2004-08-10 2006-02-16 Doyle Brian S Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7960794B2 (en) 2004-08-10 2011-06-14 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US8268709B2 (en) 2004-09-29 2012-09-18 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US8399922B2 (en) 2004-09-29 2013-03-19 Intel Corporation Independently accessed double-gate and tri-gate transistors
US20060128131A1 (en) * 2004-09-29 2006-06-15 Chang Peter L Independently accessed double-gate and tri-gate transistors in same process flow
US7859053B2 (en) 2004-09-29 2010-12-28 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
US7915167B2 (en) 2004-09-29 2011-03-29 Intel Corporation Fabrication of channel wraparound gate structure for field-effect transistor
US8502351B2 (en) 2004-10-25 2013-08-06 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8749026B2 (en) 2004-10-25 2014-06-10 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US10236356B2 (en) 2004-10-25 2019-03-19 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US9741809B2 (en) 2004-10-25 2017-08-22 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US20060086977A1 (en) * 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060214231A1 (en) * 2004-10-25 2006-09-28 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US9190518B2 (en) 2004-10-25 2015-11-17 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8067818B2 (en) 2004-10-25 2011-11-29 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US20060151834A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation High mobility plane finfet with equal drive strength
US7183142B2 (en) 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7196380B2 (en) 2005-01-13 2007-03-27 International Business Machines Corporation High mobility plane FinFET with equal drive strength
US20070111410A1 (en) * 2005-01-13 2007-05-17 Anderson Brent A High mobility plane finfets with equal drive strength
US7256078B2 (en) 2005-01-13 2007-08-14 International Business Machines Corporation High mobility plane FinFETs with equal drive strength
US20060154426A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation Finfets with long gate length at high density
US20090142897A1 (en) * 2005-02-23 2009-06-04 Chau Robert S Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8368135B2 (en) 2005-02-23 2013-02-05 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7825481B2 (en) 2005-02-23 2010-11-02 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20100295129A1 (en) * 2005-02-23 2010-11-25 Chau Robert S Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8816394B2 (en) 2005-02-23 2014-08-26 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US10121897B2 (en) 2005-02-23 2018-11-06 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7893506B2 (en) 2005-02-23 2011-02-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060186484A1 (en) * 2005-02-23 2006-08-24 Chau Robert S Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9748391B2 (en) 2005-02-23 2017-08-29 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8183646B2 (en) 2005-02-23 2012-05-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9368583B2 (en) 2005-02-23 2016-06-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9048314B2 (en) 2005-02-23 2015-06-02 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20110121393A1 (en) * 2005-02-23 2011-05-26 Chau Robert S Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8664694B2 (en) 2005-02-23 2014-03-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9614083B2 (en) 2005-02-23 2017-04-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) * 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7879675B2 (en) 2005-03-14 2011-02-01 Intel Corporation Field effect transistor with metal source/drain regions
US9806195B2 (en) 2005-06-15 2017-10-31 Intel Corporation Method for fabricating transistor with thinned channel
US20060286755A1 (en) * 2005-06-15 2006-12-21 Brask Justin K Method for fabricating transistor with thinned channel
US9337307B2 (en) 2005-06-15 2016-05-10 Intel Corporation Method for fabricating transistor with thinned channel
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US8071983B2 (en) 2005-06-21 2011-12-06 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US20090218603A1 (en) * 2005-06-21 2009-09-03 Brask Justin K Semiconductor device structures and methods of forming semiconductor structures
US20070001173A1 (en) * 2005-06-21 2007-01-04 Brask Justin K Semiconductor device structures and methods of forming semiconductor structures
US9761724B2 (en) 2005-06-21 2017-09-12 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8933458B2 (en) 2005-06-21 2015-01-13 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8581258B2 (en) 2005-06-21 2013-11-12 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US9385180B2 (en) 2005-06-21 2016-07-05 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US20060290384A1 (en) * 2005-06-28 2006-12-28 Ching-Te Chuang Independent gate control logic circuitry
US7265589B2 (en) * 2005-06-28 2007-09-04 International Business Machines Corporation Independent gate control logic circuitry
US7898041B2 (en) 2005-06-30 2011-03-01 Intel Corporation Block contact architectures for nanoscale channel transistors
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
US8183159B2 (en) * 2005-09-14 2012-05-22 International Business Machines Corporation Device component forming method with a trim step prior to sidewall image transfer (SIT) processing
US20080188080A1 (en) * 2005-09-14 2008-08-07 International Business Machines Corporation Mandrel/trim alignment in sit processing
US8294180B2 (en) 2005-09-28 2012-10-23 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US8193567B2 (en) 2005-09-28 2012-06-05 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20090090976A1 (en) * 2005-09-28 2009-04-09 Intel Corporation Process for integrating planar and non-planar cmos transistors on a bulk substrate and article made thereby
US20070111419A1 (en) * 2005-09-28 2007-05-17 Doyle Brian S CMOS Devices with a single work function gate electrode and method of fabrication
US7902014B2 (en) 2005-09-28 2011-03-08 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US7989280B2 (en) 2005-11-30 2011-08-02 Intel Corporation Dielectric interface for group III-V semiconductor device
US20070148837A1 (en) * 2005-12-27 2007-06-28 Uday Shah Method of fabricating a multi-cornered film
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US8617945B2 (en) 2006-08-02 2013-12-31 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US20080206934A1 (en) * 2007-02-23 2008-08-28 Jones Robert E Forming semiconductor fins using a sacrificial fin
US20150170905A1 (en) * 2007-07-30 2015-06-18 Micron Technology, Inc. Methods for device fabrication using pitch reduction and related devices
US11348788B2 (en) 2007-07-30 2022-05-31 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US10522348B2 (en) 2007-07-30 2019-12-31 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090149531A1 (en) * 2007-12-11 2009-06-11 Apoteknos Para La Piel, S.L. Chemical composition derived from p-hydroxyphenyl propionic acid for the treatment of psoriasis
US9224754B2 (en) 2008-06-23 2015-12-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9450092B2 (en) 2008-06-23 2016-09-20 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9806193B2 (en) 2008-06-23 2017-10-31 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8741733B2 (en) 2008-06-23 2014-06-03 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8878298B2 (en) 2009-04-21 2014-11-04 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8927432B2 (en) * 2012-06-14 2015-01-06 International Business Machines Corporation Continuously scalable width and height semiconductor fins
US20130334602A1 (en) * 2012-06-14 2013-12-19 International Business Machines Corporation Continuously scalable width and height semiconductor fins
US20140231915A1 (en) * 2013-02-15 2014-08-21 International Business Machines Corporation Trilayer SIT Process with Transfer Layer for FINFET Patterning
US20140231913A1 (en) * 2013-02-15 2014-08-21 International Business Machines Corporation Trilayer SIT Process with Transfer Layer for FINFET Patterning
US9343325B2 (en) * 2013-02-15 2016-05-17 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US20150171086A1 (en) * 2013-10-17 2015-06-18 Globalfoundries Inc. Selective Growth of a Work-Function Metal in a Replacement Metal Gate of a Semiconductor Device

Also Published As

Publication number Publication date
US6492212B1 (en) 2002-12-10
JP2003163356A (en) 2003-06-06
JP3974837B2 (en) 2007-09-12

Similar Documents

Publication Publication Date Title
US6492212B1 (en) Variable threshold voltage double gated transistors and method of fabrication
US6960806B2 (en) Double gated vertical transistor with different first and second gate materials
US6841834B2 (en) Doubly asymmetric double gate transistor structure
US7101741B2 (en) Dual double gate transistor and method for forming
US6635909B2 (en) Strained fin FETs structure and method
US6716046B2 (en) Field effect transistor structure with self-aligned raised source/drain extensions
US5844278A (en) Semiconductor device having a projecting element region
US7087471B2 (en) Locally thinned fins
US7385237B2 (en) Fin field effect transistors with low resistance contact structures
US7018551B2 (en) Pull-back method of forming fins in FinFets
US7534686B2 (en) Multi-structured Si-fin and method of manufacture
US6812075B2 (en) Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6432829B2 (en) Process for making planarized silicon fin device
KR100288667B1 (en) Method for making single and double gate field effect transistors with sidewall source-drain contacts
US6933183B2 (en) Selfaligned source/drain FinFET process flow
US6924178B2 (en) Oxide/nitride stacked in FinFET spacer process
US20060038216A1 (en) Formation of capacitor having a Fin structure
JPH098289A (en) Semiconductor device and manufacture thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE