US20030003730A1 - Sequential pulse deposition - Google Patents

Sequential pulse deposition Download PDF

Info

Publication number
US20030003730A1
US20030003730A1 US10/232,270 US23227002A US2003003730A1 US 20030003730 A1 US20030003730 A1 US 20030003730A1 US 23227002 A US23227002 A US 23227002A US 2003003730 A1 US2003003730 A1 US 2003003730A1
Authority
US
United States
Prior art keywords
gas
substrate
flowing
precursor
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/232,270
Inventor
Weimin Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US10/232,270 priority Critical patent/US20030003730A1/en
Publication of US20030003730A1 publication Critical patent/US20030003730A1/en
Priority to US11/496,093 priority patent/US7910177B2/en
Priority to US13/037,113 priority patent/US20110212628A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers

Definitions

  • the present invention relates to deposition techniques and, more particularly, to deposition techniques for forming thin films on wafers or substrates.
  • Integrated circuits are often fabricated with one or more semiconductor devices, which may include diodes, capacitors, and different varieties of transistors. These devices are generally fabricated by creating thin films of various materials, e.g. metals, semiconductors or insulators, upon a substrate or semiconductor wafer.
  • the terms wafer and substrate used in the following description include any semiconductor-based structure having an exposed surface with which to form an integrated circuit or semiconductor device, and may include one or more semiconductor layers or structures which includes active or operable portions of semiconductor devices. Wafer and substrate are used interchangeably to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereon. The physical characteristics and tightly controlled placement of films on a substrate will define the performance of the semiconductor device and its surrounding circuitry.
  • CVD chemical vapor deposition
  • Deposition of a film begins with nucleation as the atoms or molecules of the desired material begin to condense on the substrate and agglomerate to form nuclei. Growth of these nuclei will fill in the gaps between individual nuclei to develop a continuous surface or film.
  • the gas phase reaction can also prevent the formation of a sufficient number of nuclei and/or the growth of the nuclei to fill the gaps therebetween due to insufficient reactions between the metal precursor and oxidizer at the surface of the wafer.
  • CVD techniques for depositing metal e.g. platinum or platinum alloys, may result in non-uniform nucleation due to gas phase reaction of the metal gas and the oxidizer.
  • Non-uniform nucleation can result in gaps and pinholes between grains and short some of the circuitry. Uniform nucleation is desirable to create a uniform film so that the electrical and mechanical properties of the film, and hence wafer and resulting circuitry, are predictable, accurate and reproducible.
  • step coverage Due to the rapidly changing geography at these step interfaces, thinner films face a larger risk that the film will be too thin in some areas to achieve the desired performance characteristics. Thus, nominally thicker films are sometimes unavoidable to provide adequate step coverage where the deposition process encounters high aspect ratios for steps in the substrate topology.
  • CVD techniques for depositing metal or metal alloys on substrates often result in poor step coverage, which can result in electrical shorts and/or unwanted inductances, loss of electrode area, or high resistance especially in high aspect trenches or holes.
  • ALD atomic layer deposition
  • the precursor gas is pulsed into a the deposition chamber and the reactant gas is separately pulsed into the deposition chamber.
  • Another embodiment of the present invention allows a sufficient time to pass after pulsing the precursor gas into a vessel so that the precursor gas is adjacent a substrate and available for deposition thereon.
  • Additional embodiments of the invention include deposition devices and systems for forming films on substrates, and machine readable media having fabrication instructions stored thereon.
  • FIG. 1 is a schematic view of a deposition chamber which can be used to perform the process of the invention.
  • FIG. 2 is a block diagram of a sequential pulse deposition system of one embodiment of the invention.
  • FIG. 3 is a flowchart of one embodiment of the invention.
  • FIG. 4 is a timing diagram of one embodiment of the process of the invention.
  • FIG. 5 is a micrograph of a top planar view of the surface of a platinum film deposited by conventional CVD.
  • FIG. 6 is a micrograph of a top planar view of a platinum film deposited by sequential pulse deposition according to the teachings of the present invention.
  • FIG. 7 is a micrograph of a cross-sectional view of a platinum film deposited by conventional CVD.
  • FIG. 8 is a micrograph of a cross-sectional view of a platinum film deposited by sequential pulse deposition according to the teachings of the present invention.
  • wafer and substrate used in the following description include any structure having an exposed surface onto which a layer is deposited according to the present invention, for example to form the integrated circuit (IC) structure.
  • substrate is understood to include semiconductor wafers.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to include semiconductors
  • insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • precursor and “reactant” are used herein to differentiate between a chemical compound that includes a metal component to be deposited on a substrate and a gas which reacts with the compound to deposit the metal component on a wafer.
  • This nomenclature is used herein as a tool to clearly describe the invention as both the “precursor” and the “reactant” chemically react with each other to form the desired film on the substrate. Accordingly, the term “precursor” is not intended to imply a time relationship with the “reactant” unless explicitly described.
  • FIG. 1 depicts one embodiment of a vapor deposition (VD) reactor 100 suitable for practicing the invention.
  • FIG. 1 is provided for illustrative purposes and the invention is by no way limited to the VD reactor shown herein.
  • the embodiment shown in FIG. 1 includes a chamber 101 that is a pressure-sealed compartment for mounting a substrate 102 on susceptor 107 .
  • Chamber 101 is typically manufactured from aluminum and is designed to contain a low-pressure environment around substrate 102 as well as to contain process gases, exhaust gases, and heat or plasma energy within chamber 101 .
  • the illustrated substrate 102 includes a substrate base 102 A on which are deposited first and second layers 102 B and 102 C.
  • Inlet gas manifold 103 supplies process gases, for example precursor gases and/or reactant gases, at a controlled flow rates to substrate 102 .
  • a source of precursor gas 116 is connected to manifold 103 .
  • a source of purge gas 117 is connected to manifold 103 .
  • a source of reactant gas 118 is also connected to manifold 103 .
  • Carrier gases such as helium, argon or nitrogen, may also be supplied in conjunction with the gases supplied by the manifold as is known and understood by one of ordinary skill in the art.
  • Chamber 101 also incorporates a pumping system (not shown) for exhausting spent gases from chamber 101 through exhaust port 104 .
  • VD reactor 100 includes means for supplying energy to the reactable constituents in the process gases in chamber 101 on the surface of the substrate 102 .
  • the supplied energy causes the reactable constituents to react or decompose and deposit a thin film onto an upper surface of substrate 102 .
  • the supplied energy includes thermal energy supplied by heat lamps 106 .
  • lamps 106 are positioned in the base of chamber 101 .
  • Heat lamps 106 emit a significant amount of near-infra red radiation that passes through susceptor 107 to heat substrate 102 .
  • susceptor 107 can be heated by heat lamps 106 and substrate 102 can be heated by conduction from susceptor 107 .
  • the heat lamps 106 may be placed at alternate locations according to the parameters of the specific deposition process being performed according to the present invention.
  • FIG. 1 Another embodiment supplies reaction energy by a radio frequency (RF) generator 108 as shown in FIG. 1.
  • RF generator 108 creates a RF field between substrate 102 and an anode.
  • susceptor 107 is grounded while the RF signal is applied to a process gas manifold 109 .
  • Alternative and equivalent VD reactor designs will be understood by reading the disclosure.
  • An RF anode may be provided separately (not shown) and process gas manifold 109 may be electrically isolated from the RF supply.
  • the RF signal can be applied to susceptor 107 and process gas manifold 109 can be grounded.
  • the energy sources 106 and 108 are intended to provide sufficient reaction energy in a region near the surface of substrate 102 to cause decomposition and/or reaction of the constituents of the present gas to deposit the metal, dielectric, or insulator species in the process gases onto a surface of the substrate.
  • the energy sources 106 and 108 are intended to provide sufficient reaction energy in a region near the surface of substrate 102 to cause decomposition and/or reaction of the constituents of the present gas to deposit the metal, dielectric, or insulator species in the process gases onto a surface of the substrate.
  • One embodiment includes plasma reactors because these allow film deposition at lower temperatures and are used in the semiconductor industry. However, some reactant constituents in the process gases may deposit at low temperatures using only thermal energy or other energy sources. Hence, the invention encompasses reactor designs using any energy source including either thermal heating, RF plasma, or the like.
  • VD reactor 100 is illustrated as a single wafer reactor, but it should be understood that the invention is applicable to batch reactors.
  • VD reactor 100 includes associated control apparatus (not shown) for detecting, measuring and controlling process conditions within VD reactor 100 .
  • Associated control apparatus include, as examples, temperature sensors, pressure transducers, flow meters and control valves.
  • Associated control apparatus further include other devices suitable for the detection, measurement and control of the various process conditions described herein.
  • FIG. 2 represents a VD system 300 suitable for practicing the invention.
  • VD system 300 contains the VD reactor 100 and a control system 310 .
  • VD reactor 100 and control system 310 are in communication such that process information is passed from VD reactor 100 to control system 310 through communication line 320 , and process control information is passed from control system 310 to VD reactor 100 through communication line 330 .
  • communication lines 320 and 330 may represent only one physical line, in which communications are bidirectional.
  • the control system 310 may include, integrally or separatable therefrom, a machine readable media 335 which contains instructions for performing the present invention.
  • Media 335 may be an electrical, magnetic, optical, mechanical, etc. storage device that stores instructions that are read by control system 310 .
  • Such storage devices include magnetic disks and tape, optical disks, computer memory, etc.
  • Control system 310 may also include a processor (not shown) for issuing instructions to control reactor 100 based upon instructions read from machine readable media 335 .
  • FIG. 3 depicts a flowchart 200 of one embodiment of a sequential pulse deposition (SPD) process of the invention.
  • SPD begins by initiating an inert purge gas flow through a reactor ( 210 ).
  • the purge gas maintains the chamber at a generally constant pressure.
  • the purge gas flow is pulsed, for example only injecting purge gas between other gas pulses.
  • purge gas is not used at all, i.e. step 210 is not performed.
  • the precursor gas containing a metal, dielectric, or insulator to be deposited on the substrate now flows into the reaction chamber ( 212 ).
  • the metals include, for example, rhenium, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum and gold.
  • the metals can also include alloys of these metals or other metal that one of ordinary skill would deposit on a substrate.
  • the precursor gas flow continues until a volume closely adjacent the surface of the substrate on which the metal, dielectric, or insulator will be deposited is saturated by the precursor gas ( 214 ).
  • the precursor gas saturates the topology of the substrate so that adequate precursor material is adjacent the substrate surface by the precursor gas entering and filling the steps, trenches, and holes.
  • the precursor gas flow, as well as purge gas flow if present, continues until the required saturation occurs depending on the processing conditions dictated by the type of substrate and precursor gas, and the topology of the substrate ( 216 ).
  • a substrate having numerous or high aspect steps may require a longer precursor gas flow period than a substrate which has few steps or relative low aspect steps.
  • One advantage of the present invention is that unlike conventional ALD it is not necessary for the precursor gas to bond with the surface of the substrate, i.e. chemisorption is not required.
  • chemisorption is limited by the temperature at which SPD occurs. Chemisorption typically occurs generally below 300 degrees C. and usually below 200 degrees C.
  • the temperature in chamber 101 for SPD is typically at least 300 degrees C. and can be significantly higher. However, in some embodiments, the temperature may be as low as 100 degrees Celsius, whereat the reaction of the precursor and the reactant dominate the process growing the film on the substrate.
  • Precursor gas flow ends once the precursor gas saturates adjacent the substrate according to the processing conditions of the present deposition ( 218 ).
  • Another advantage of the present invention is that, in contrast to ALD, it is not necessary to completely purge the reaction chamber after stopping the precursor gas flow.
  • reactant gas flow for example, N 2 O
  • Reactant gas continues to flow into the reaction chamber until the reactant gas saturates the volume adjacent the surface of the substrate on which the substance in the precursor gas will be deposited ( 222 ).
  • the precursor gas and the reactant gas chemically react and deposit the desired material in a layer on the substrate.
  • the deposited layer is more than one atomic layer thick. This overcomes the significant drawback of ALD by providing a faster layer growth time than ALD. SPD further provides adequate step coverage and uniformity of the film even in such a shortened film growth time, relative to conventional CVD, by first having precursor gas in the volume adjacent the substrate surface and then injecting reactant gas into the reaction chamber. SPD thus provides a significant improvement over conventional CVD.
  • the present process may continue the purge gas flow while the reactant gas flows into the reaction chamber ( 224 ). In contrast to ALD, it is not necessary to completely purge the reaction chamber of reaction gas after stopping its flow. Once a sufficient quantity of reaction gas is present to complete the reaction with the precursor to deposit a layer on the substrate, reaction gas flow ends ( 226 ). Purge gas flow may continue to partially flush the residual reaction and precursor gases and the by-product gas of the precursor and reactant reaction from the reaction chamber. If the desired film thickness has been achieved ( 228 ), then the purge gas flow ends ( 230 ) usually after the remnants of the precursor, reactant, and by-product gases are purged from the chamber. The process terminates at box 232 .
  • process termination may comprise initiation of further processing and does not necessarily require shutdown of the reactor, e.g. the above sequence of steps can be repeated. While one embodiment of the invention includes all of the above steps, the present invention includes other embodiments which do not include all of the above steps.
  • step 228 determines that the layer has the desired thickness.
  • a cycle of pulsing the reactant gas and the precursor gas and allowing the gases form a film on the substrate grows a film on the substrate at a rate greater than or equal to 5 ⁇ /cycle. In some embodiments, the growth rate has been equal to about 100 ⁇ /cycle.
  • the cycle length depends on the injection time of the precursor gas and the reactant gas, as well as any delay between injecting the gas following the prior injected gas.
  • FIG. 4 One embodiment of the present inventive process is shown in FIG. 4.
  • the process begins with the flow of an inert purge gas and a precursor gas into the reaction chamber.
  • the precursor gas flows into the chamber until a sufficient quantity of precursor is adjacent the substrate as determined by stoichiometry of the particular reaction needed to deposit the desired film on the substrate.
  • the precursor must include a certain minimum amount of the metal component to be deposited on a wafer and other reactive components that assist in the depositing the metal component on the wafer.
  • the precursor may flow into the reactor in a quantity greater than determined by the stoichiometry of the reaction.
  • One embodiment of the precursor gas is a noble metal or an alloy of such a noble metal.
  • the precursor gas include Pt, Rh, rhenium, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum, and gold.
  • the precursor gas flow ends followed by a short period of only purge gas flow.
  • the reactant gas flows into the chamber until a sufficient quantity of reactant gas is available to react with the precursor at the surface of the substrate to deposit the desired film.
  • Some specific embodiments of the reactant gas include N 2 O, O 2 , and H 2 .
  • Other embodiments of reactant gases include H 2 O, NO, and O.
  • the reactant gas and its flow reaches or exceeds the quantity that is determined by the stoichiometry of the particular reaction.
  • the reactant gas flow stops.
  • only the purge gas now flows into the chamber.
  • SPD requires that the precursor remain in the chamber until the chemical reaction with the reactant gas occurs and the film is deposited on the substrate. This process can be repeated until a film of a desired thickness is deposited on the substrate.
  • the amounts of either the precursor gas or the reactant gas meets or exceeds the amount of material required by the stoichiometry of the particular reaction. That is, the amount of precursor or reactant gas flow, in certain embodiments, provides excess mass in the reactor. The excess mass is provided to ensure an adequate reaction at the surface of the wafer.
  • the ratio of precursor or reactant components in the gas phase usually is different than the stoichiometry of the film.
  • FIG. 5 it is a plan view showing the morphology of a PtRh film deposited by conventional CVD. As the FIG. 5 micrograph shows, the film deposited by CVD has discontinuities and poor film coverage. This results in a less desirable structure and requires the fabrication of a thicker film to achieve the desired performance characteristics.
  • FIG. 6 is a plan view showing the morphology of a PtRh alloy film deposited by SPD. As clearly shown in FIG. 6, the SPD deposited film has superior coverage with fewer discontinuities and more uniform nucleation. Obviously, the SPD film has superior performance characteristics.
  • FIG. 7 is a cross sectional view showing the step coverage of a PtRh alloy film deposited by conventional CVD.
  • the film deposited by conventional CVD exhibits relatively poor step coverage. Specifically, it is nonuniform with the thickness at the bottom of the step being significantly thinner than at the top of the hole.
  • FIG. 8 is a cross sectional view showing a PtRh alloy film deposited by SPD, which has a better step coverage exhibited by the more uniform film extending from the top of the hole to the bottom of the hole. Obviously, the SPD film has superior performance characteristics.
  • the present invention provides novel structures and methods for fabrication of thin films on substrates.
  • the novel fabrication method of the present invention sequentially injects a precursor and a reactant into a reaction chamber.
  • the precursor and reactant are overlappingly injected into a reaction chamber increasing gas phase reactions remote from the surface of the substrate. This inefficiently uses the precursor and reactant in reactions remote from the substrate and produces contaminants in the reaction chamber.
  • films are grown at a slow rate which results in a poor fabrication rate.
  • ALD requires a complete purge before injecting the next precursor pulse.
  • there is reduced gas phase interactions of the precursor and the reactant and more than one atomic layer can be deposited on the substrate during each cycle. This results in a faster fabrication rate and in improved step coverage for deposited thin films.

Abstract

A method for growing films on substrates using sequentially pulsed precursors and reactants, system and devices for performing the method, semiconductor devices so produced, and machine readable media containing the method.

Description

    RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 09/782,207, filed Feb. 13, 2001, which is incorporated herein by reference.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates to deposition techniques and, more particularly, to deposition techniques for forming thin films on wafers or substrates. [0002]
  • BACKGROUND OF THE INVENTION
  • Integrated circuits (IC) are often fabricated with one or more semiconductor devices, which may include diodes, capacitors, and different varieties of transistors. These devices are generally fabricated by creating thin films of various materials, e.g. metals, semiconductors or insulators, upon a substrate or semiconductor wafer. The terms wafer and substrate used in the following description include any semiconductor-based structure having an exposed surface with which to form an integrated circuit or semiconductor device, and may include one or more semiconductor layers or structures which includes active or operable portions of semiconductor devices. Wafer and substrate are used interchangeably to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereon. The physical characteristics and tightly controlled placement of films on a substrate will define the performance of the semiconductor device and its surrounding circuitry. [0003]
  • Semiconductor fabrication continues to advance, requiring finer dimensional tolerances and control. Modem integrated circuit design has advanced to the point where line width may be 0.25 microns or less. As a result, repeatability and uniformity of processes and their results is becoming increasingly important. [0004]
  • One important process for depositing thin films on semiconductor wafers is chemical vapor deposition or CVD. CVD is used to form a thin film of a desired material from a reaction of vapor-phase chemicals containing the chemical constituents of the material. [0005]
  • CVD processes operate by confining one or more semiconductor wafers in a reaction chamber. The chamber is filled with one or more gases that surround the wafer. The gases for the deposition of metal and metal alloys includes a metal precursor and a reactant gas, e.g. an oxidizer or hydrogen gas, to be introduced into the chamber at the same time. Energy is supplied within the chamber and particularly to the reactant gases near the wafer surface. A typical energy is heat applied to the substrate. The energy activates the reactant gas chemistry to deposit a film from the gas onto the heated substrate. Such chemical vapor deposition of a solid onto a surface involves a heterogeneous surface reaction of the gaseous species that adsorb onto the surface. The rate of film growth and the quality of the film depend on the process conditions. Unfortunately, the metal precursor and the reactant gas also react during the gas phase. Such a gas phase reaction produces contaminants and/or involve a significant quantity of precursor so that an insufficient amount is available for deposition of the substrate. As a result, the gas phase reaction becomes dominant and the thin film coverage is poor. [0006]
  • Deposition of a film begins with nucleation as the atoms or molecules of the desired material begin to condense on the substrate and agglomerate to form nuclei. Growth of these nuclei will fill in the gaps between individual nuclei to develop a continuous surface or film. The gas phase reaction can also prevent the formation of a sufficient number of nuclei and/or the growth of the nuclei to fill the gaps therebetween due to insufficient reactions between the metal precursor and oxidizer at the surface of the wafer. For example, CVD techniques for depositing metal, e.g. platinum or platinum alloys, may result in non-uniform nucleation due to gas phase reaction of the metal gas and the oxidizer. Non-uniform nucleation can result in gaps and pinholes between grains and short some of the circuitry. Uniform nucleation is desirable to create a uniform film so that the electrical and mechanical properties of the film, and hence wafer and resulting circuitry, are predictable, accurate and reproducible. [0007]
  • Generally, it is desired to have thin films deposited on the wafer to save space. Yet reducing the thickness of films can result in pinholes and in less mechanical strength. One area of particular concern is step coverage. Due to the rapidly changing geography at these step interfaces, thinner films face a larger risk that the film will be too thin in some areas to achieve the desired performance characteristics. Thus, nominally thicker films are sometimes unavoidable to provide adequate step coverage where the deposition process encounters high aspect ratios for steps in the substrate topology. CVD techniques for depositing metal or metal alloys on substrates often result in poor step coverage, which can result in electrical shorts and/or unwanted inductances, loss of electrode area, or high resistance especially in high aspect trenches or holes. [0008]
  • Another development in the field of thin film technology for coating substrates is atomic layer deposition (ALD). A description of ALD is set forth in U.S. Pat. No. 5,879,459, which is herein incorporated by reference in its entirety. ALD operates by confining a wafer in a reaction chamber at a typical temperature of less than 300 degrees C. Precursor gas is alternatively, nonoverlappingly pulsed into the chamber, wherein the pulsed precursor forms a monolayer on the substrate by chemisorption. The low temperature limits the bonding of the precursor to chemisorption, thus only a single layer, usually only one atom or molecule thick, is grown on the wafer. Each pulse is separated by a purge pulse which completely purges all of the precursor gas from the chamber before the next pulse of precursor gas begins. Each injection of precursor gas provides a new single atomic layer on the previously deposited layers to form a layer of film. Obviously, this significantly increases the time it takes to depose a layer having adequate thickness on the substrate. As a numerical example, ALD has a typical deposition rate of about 100 Å/min and CVD has a typical deposition rate of about 1000 Å/min. For at least this reason, ALD has not met with widespread commercial acceptance. [0009]
  • In light of the foregoing, there is a need for fabrication of thin films which offer improved step coverage and effective fabrication rates. [0010]
  • SUMMARY OF THE INVENTION
  • The above mentioned problems with thin film fabrication techniques are addressed by the present invention and will be understood by reading and studying the following specification. Systems and methods are provided for fabricating thin films on substrates. The fabrication technique of the present invention grows more than one atomic layer at a time, improves step coverage and reduces gas phase interactions of the deposition materials such that fewer contaminants are produced. [0011]
  • In one embodiment of the invention, the precursor gas is pulsed into a the deposition chamber and the reactant gas is separately pulsed into the deposition chamber. Another embodiment of the present invention allows a sufficient time to pass after pulsing the precursor gas into a vessel so that the precursor gas is adjacent a substrate and available for deposition thereon. [0012]
  • Additional embodiments of the invention include deposition devices and systems for forming films on substrates, and machine readable media having fabrication instructions stored thereon. [0013]
  • These and other embodiments, aspects, advantages, and features of the present invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art by reference to the following description of the invention and referenced drawings or by practice of the invention. The aspects, advantages, and features of the invention are realized and attained by means of the instrumentalities, procedures, and combinations particularly pointed out in the appended claims. [0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic view of a deposition chamber which can be used to perform the process of the invention. [0015]
  • FIG. 2 is a block diagram of a sequential pulse deposition system of one embodiment of the invention. [0016]
  • FIG. 3 is a flowchart of one embodiment of the invention. [0017]
  • FIG. 4 is a timing diagram of one embodiment of the process of the invention. [0018]
  • FIG. 5 is a micrograph of a top planar view of the surface of a platinum film deposited by conventional CVD. [0019]
  • FIG. 6 is a micrograph of a top planar view of a platinum film deposited by sequential pulse deposition according to the teachings of the present invention. [0020]
  • FIG. 7 is a micrograph of a cross-sectional view of a platinum film deposited by conventional CVD. [0021]
  • FIG. 8 is a micrograph of a cross-sectional view of a platinum film deposited by sequential pulse deposition according to the teachings of the present invention. [0022]
  • DESCRIPTION OF THE EMBODIMENTS
  • In the following detailed description of the invention, reference is made to the accompanying drawings which form a part hereof, and in which is shown, by way of illustration, specific embodiments in which the invention may be practiced. In the drawings, like numerals describe substantially similar components throughout the several views. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention. The terms wafer and substrate used in the following description include any structure having an exposed surface onto which a layer is deposited according to the present invention, for example to form the integrated circuit (IC) structure. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled. [0023]
  • According to the teachings of the present invention, fabrication of films on substrates, devices and systems for such fabrication, media containing instructions therefor, and integrated circuits produced according to the present invention are described. [0024]
  • The use, construction and fundamental operation of reactors for deposition of films are understood by those of ordinary skill in the art of semiconductor fabrication. The present invention may be practiced on a variety of such reactors without undue experimentation. Furthermore, one of ordinary skill in the art will comprehend the necessary detection, measurement, and control techniques in the art of semiconductor fabrication as well as the more inclusive art of industrial processing for producing films on substrates upon reading the disclosure. [0025]
  • It will be understood that the terms “precursor” and “reactant” are used herein to differentiate between a chemical compound that includes a metal component to be deposited on a substrate and a gas which reacts with the compound to deposit the metal component on a wafer. This nomenclature is used herein as a tool to clearly describe the invention as both the “precursor” and the “reactant” chemically react with each other to form the desired film on the substrate. Accordingly, the term “precursor” is not intended to imply a time relationship with the “reactant” unless explicitly described. [0026]
  • FIG. 1 depicts one embodiment of a vapor deposition (VD) [0027] reactor 100 suitable for practicing the invention. FIG. 1 is provided for illustrative purposes and the invention is by no way limited to the VD reactor shown herein. One of ordinary skill in the art will comprehend other suitable reactors for practicing the invention described in this application. The embodiment shown in FIG. 1 includes a chamber 101 that is a pressure-sealed compartment for mounting a substrate 102 on susceptor 107. Chamber 101 is typically manufactured from aluminum and is designed to contain a low-pressure environment around substrate 102 as well as to contain process gases, exhaust gases, and heat or plasma energy within chamber 101. The illustrated substrate 102 includes a substrate base 102A on which are deposited first and second layers 102B and 102C. Inlet gas manifold 103 supplies process gases, for example precursor gases and/or reactant gases, at a controlled flow rates to substrate 102. A source of precursor gas 116 is connected to manifold 103. A source of purge gas 117 is connected to manifold 103. A source of reactant gas 118 is also connected to manifold 103. Carrier gases, such as helium, argon or nitrogen, may also be supplied in conjunction with the gases supplied by the manifold as is known and understood by one of ordinary skill in the art. Chamber 101 also incorporates a pumping system (not shown) for exhausting spent gases from chamber 101 through exhaust port 104.
  • VD [0028] reactor 100 includes means for supplying energy to the reactable constituents in the process gases in chamber 101 on the surface of the substrate 102. The supplied energy causes the reactable constituents to react or decompose and deposit a thin film onto an upper surface of substrate 102. In one embodiment, the supplied energy includes thermal energy supplied by heat lamps 106. In the illustrated example, lamps 106 are positioned in the base of chamber 101. Heat lamps 106 emit a significant amount of near-infra red radiation that passes through susceptor 107 to heat substrate 102. Alternatively, susceptor 107 can be heated by heat lamps 106 and substrate 102 can be heated by conduction from susceptor 107. The heat lamps 106 may be placed at alternate locations according to the parameters of the specific deposition process being performed according to the present invention.
  • Another embodiment supplies reaction energy by a radio frequency (RF) [0029] generator 108 as shown in FIG. 1. RF generator 108 creates a RF field between substrate 102 and an anode. In the embodiment shown in FIG. 1, susceptor 107 is grounded while the RF signal is applied to a process gas manifold 109. Alternative and equivalent VD reactor designs will be understood by reading the disclosure. An RF anode may be provided separately (not shown) and process gas manifold 109 may be electrically isolated from the RF supply. For example, the RF signal can be applied to susceptor 107 and process gas manifold 109 can be grounded.
  • In general, the [0030] energy sources 106 and 108 are intended to provide sufficient reaction energy in a region near the surface of substrate 102 to cause decomposition and/or reaction of the constituents of the present gas to deposit the metal, dielectric, or insulator species in the process gases onto a surface of the substrate. One of ordinary skill in the art will understand upon reading the disclosure that any one, combination, or equivalent of the above can be employed to provide the necessary reaction energy.
  • One embodiment includes plasma reactors because these allow film deposition at lower temperatures and are used in the semiconductor industry. However, some reactant constituents in the process gases may deposit at low temperatures using only thermal energy or other energy sources. Hence, the invention encompasses reactor designs using any energy source including either thermal heating, RF plasma, or the like. [0031]
  • VD [0032] reactor 100 is illustrated as a single wafer reactor, but it should be understood that the invention is applicable to batch reactors.
  • Furthermore, [0033] VD reactor 100 includes associated control apparatus (not shown) for detecting, measuring and controlling process conditions within VD reactor 100. Associated control apparatus include, as examples, temperature sensors, pressure transducers, flow meters and control valves. Associated control apparatus further include other devices suitable for the detection, measurement and control of the various process conditions described herein.
  • FIG. 2 represents a [0034] VD system 300 suitable for practicing the invention. VD system 300 contains the VD reactor 100 and a control system 310. VD reactor 100 and control system 310 are in communication such that process information is passed from VD reactor 100 to control system 310 through communication line 320, and process control information is passed from control system 310 to VD reactor 100 through communication line 330. It is noted that communication lines 320 and 330 may represent only one physical line, in which communications are bidirectional.
  • The [0035] control system 310 may include, integrally or separatable therefrom, a machine readable media 335 which contains instructions for performing the present invention. Media 335 may be an electrical, magnetic, optical, mechanical, etc. storage device that stores instructions that are read by control system 310. Such storage devices include magnetic disks and tape, optical disks, computer memory, etc. Control system 310 may also include a processor (not shown) for issuing instructions to control reactor 100 based upon instructions read from machine readable media 335.
  • FIG. 3 depicts a [0036] flowchart 200 of one embodiment of a sequential pulse deposition (SPD) process of the invention. SPD, in the illustrated embodiment, begins by initiating an inert purge gas flow through a reactor (210). The purge gas maintains the chamber at a generally constant pressure. In one embodiment of the present invention the purge gas flow is pulsed, for example only injecting purge gas between other gas pulses. In another embodiment, purge gas is not used at all, i.e. step 210 is not performed.
  • The precursor gas containing a metal, dielectric, or insulator to be deposited on the substrate now flows into the reaction chamber ([0037] 212). The metals include, for example, rhenium, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum and gold. The metals can also include alloys of these metals or other metal that one of ordinary skill would deposit on a substrate. The precursor gas flow continues until a volume closely adjacent the surface of the substrate on which the metal, dielectric, or insulator will be deposited is saturated by the precursor gas (214). According to the teachings of the present invention, the precursor gas saturates the topology of the substrate so that adequate precursor material is adjacent the substrate surface by the precursor gas entering and filling the steps, trenches, and holes. One of ordinary skill will understand the same upon reading the disclosure. The precursor gas flow, as well as purge gas flow if present, continues until the required saturation occurs depending on the processing conditions dictated by the type of substrate and precursor gas, and the topology of the substrate (216). A substrate having numerous or high aspect steps may require a longer precursor gas flow period than a substrate which has few steps or relative low aspect steps. One advantage of the present invention is that unlike conventional ALD it is not necessary for the precursor gas to bond with the surface of the substrate, i.e. chemisorption is not required. In fact, chemisorption is limited by the temperature at which SPD occurs. Chemisorption typically occurs generally below 300 degrees C. and usually below 200 degrees C. The temperature in chamber 101 for SPD is typically at least 300 degrees C. and can be significantly higher. However, in some embodiments, the temperature may be as low as 100 degrees Celsius, whereat the reaction of the precursor and the reactant dominate the process growing the film on the substrate.
  • Precursor gas flow ends once the precursor gas saturates adjacent the substrate according to the processing conditions of the present deposition ([0038] 218). Another advantage of the present invention is that, in contrast to ALD, it is not necessary to completely purge the reaction chamber after stopping the precursor gas flow. After or substantially at the same time precursor gas flow is stopped, reactant gas flow (for example, N2O) begins in the reaction chamber (220). Reactant gas continues to flow into the reaction chamber until the reactant gas saturates the volume adjacent the surface of the substrate on which the substance in the precursor gas will be deposited (222). The precursor gas and the reactant gas chemically react and deposit the desired material in a layer on the substrate. Again in contrast to ALD, the deposited layer is more than one atomic layer thick. This overcomes the significant drawback of ALD by providing a faster layer growth time than ALD. SPD further provides adequate step coverage and uniformity of the film even in such a shortened film growth time, relative to conventional CVD, by first having precursor gas in the volume adjacent the substrate surface and then injecting reactant gas into the reaction chamber. SPD thus provides a significant improvement over conventional CVD.
  • The present process may continue the purge gas flow while the reactant gas flows into the reaction chamber ([0039] 224). In contrast to ALD, it is not necessary to completely purge the reaction chamber of reaction gas after stopping its flow. Once a sufficient quantity of reaction gas is present to complete the reaction with the precursor to deposit a layer on the substrate, reaction gas flow ends (226). Purge gas flow may continue to partially flush the residual reaction and precursor gases and the by-product gas of the precursor and reactant reaction from the reaction chamber. If the desired film thickness has been achieved (228), then the purge gas flow ends (230) usually after the remnants of the precursor, reactant, and by-product gases are purged from the chamber. The process terminates at box 232. The reader should note that process termination may comprise initiation of further processing and does not necessarily require shutdown of the reactor, e.g. the above sequence of steps can be repeated. While one embodiment of the invention includes all of the above steps, the present invention includes other embodiments which do not include all of the above steps.
  • If the desired thickness of the layer has not been achieved ([0040] 228), then the process returns to step 212 and begins another cycle. The process then reiterates the above sequence/process until step 228 determines that the layer has the desired thickness.
  • A cycle of pulsing the reactant gas and the precursor gas and allowing the gases form a film on the substrate grows a film on the substrate at a rate greater than or equal to 5 Å/cycle. In some embodiments, the growth rate has been equal to about 100 Å/cycle. The cycle length depends on the injection time of the precursor gas and the reactant gas, as well as any delay between injecting the gas following the prior injected gas. [0041]
  • One embodiment of the present inventive process is shown in FIG. 4. The process begins with the flow of an inert purge gas and a precursor gas into the reaction chamber. The precursor gas flows into the chamber until a sufficient quantity of precursor is adjacent the substrate as determined by stoichiometry of the particular reaction needed to deposit the desired film on the substrate. The precursor must include a certain minimum amount of the metal component to be deposited on a wafer and other reactive components that assist in the depositing the metal component on the wafer. The precursor may flow into the reactor in a quantity greater than determined by the stoichiometry of the reaction. One embodiment of the precursor gas is a noble metal or an alloy of such a noble metal. Specific embodiments of the precursor gas include Pt, Rh, rhenium, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum, and gold. In this embodiment, the precursor gas flow ends followed by a short period of only purge gas flow. The reactant gas flows into the chamber until a sufficient quantity of reactant gas is available to react with the precursor at the surface of the substrate to deposit the desired film. Some specific embodiments of the reactant gas include N[0042] 2O, O2, and H2. Other embodiments of reactant gases include H2O, NO, and O. Like the precursor gas flow, the reactant gas and its flow reaches or exceeds the quantity that is determined by the stoichiometry of the particular reaction. Thereafter, the reactant gas flow stops. In this embodiment, only the purge gas now flows into the chamber. It is noted that unlike ALD it is not necessary to completely purge the chamber before the next gas begins flowing into the chamber. Moreover, SPD requires that the precursor remain in the chamber until the chemical reaction with the reactant gas occurs and the film is deposited on the substrate. This process can be repeated until a film of a desired thickness is deposited on the substrate. The amounts of either the precursor gas or the reactant gas meets or exceeds the amount of material required by the stoichiometry of the particular reaction. That is, the amount of precursor or reactant gas flow, in certain embodiments, provides excess mass in the reactor. The excess mass is provided to ensure an adequate reaction at the surface of the wafer. In this embodiment, the ratio of precursor or reactant components in the gas phase usually is different than the stoichiometry of the film.
  • Reference is now made to FIG. 5, it is a plan view showing the morphology of a PtRh film deposited by conventional CVD. As the FIG. 5 micrograph shows, the film deposited by CVD has discontinuities and poor film coverage. This results in a less desirable structure and requires the fabrication of a thicker film to achieve the desired performance characteristics. [0043]
  • FIG. 6 is a plan view showing the morphology of a PtRh alloy film deposited by SPD. As clearly shown in FIG. 6, the SPD deposited film has superior coverage with fewer discontinuities and more uniform nucleation. Obviously, the SPD film has superior performance characteristics. [0044]
  • Reference is now made to FIG. 7, it is a cross sectional view showing the step coverage of a PtRh alloy film deposited by conventional CVD. The film deposited by conventional CVD exhibits relatively poor step coverage. Specifically, it is nonuniform with the thickness at the bottom of the step being significantly thinner than at the top of the hole. [0045]
  • FIG. 8 is a cross sectional view showing a PtRh alloy film deposited by SPD, which has a better step coverage exhibited by the more uniform film extending from the top of the hole to the bottom of the hole. Obviously, the SPD film has superior performance characteristics. [0046]
  • While the above described embodiments describe first injecting the precursor gas and then injecting the reactant gas, it will be understood that it is within the scope of the present invention to first inject the reactant gas such that it saturates the volume adjacent the substrate and then inject the precursor. The precursor will enter the volume and react with the already present reactant gas and form a film on the substrate. [0047]
  • CONCLUSION
  • Thus, the present invention provides novel structures and methods for fabrication of thin films on substrates. The novel fabrication method of the present invention sequentially injects a precursor and a reactant into a reaction chamber. In a conventional CVD fabrication techniques, the precursor and reactant are overlappingly injected into a reaction chamber increasing gas phase reactions remote from the surface of the substrate. This inefficiently uses the precursor and reactant in reactions remote from the substrate and produces contaminants in the reaction chamber. In conventional ALD, films are grown at a slow rate which results in a poor fabrication rate. Moreover, ALD requires a complete purge before injecting the next precursor pulse. According to the teachings of the present invention, there is reduced gas phase interactions of the precursor and the reactant and more than one atomic layer can be deposited on the substrate during each cycle. This results in a faster fabrication rate and in improved step coverage for deposited thin films. [0048]
  • While the above description specifically references certain metals as the precursor and certain gases as reactants, it will be understood that the present invention is not limited to these examples. The present invention is adaptable to depositing metal, dielectric, or insulative layers on a substrate. In other words, SPD can be used to deposit films that require two or more reactable gases to be present in the reaction chamber. It is to be further understood that the above description is intended to be illustrative, and not restrictive. Combinations of the above embodiments, and other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention includes any other applications in which the above structures and fabrication methods are used. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled. [0049]

Claims (90)

What is claimed is:
1. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber; and
wherein the precursor gas and the reactant gas are sequentially pulsed into the chamber.
2. The method according to claim 1, wherein the precursor gas is near the surface of the substrate before the reactant gas flows into the reaction chamber.
3. The method according to claim 1, further comprising flowing an inert purge gas into the chamber in between flowing the precursor gas and the reactant gas.
4. The method according to claim 1, wherein the process steps are performed in the listed order.
5. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
reacting the precursor gas with the reaction gas adjacent the substrate to deposit a layer of the film on the substrate; and
wherein flowing the reactant gas into the chamber occurs after stopping the flow of the precursor gas.
6. The method according to claim 5, wherein, if necessary, the above process is repeated until the film has a desired thickness; and flowing precursor gas into the chamber occurs after stopping precursor gas flow into the chamber while repeating the steps.
7. The method according to claim 6, wherein flowing the precursor gas and flowing the reactant gas are performed without completely purging the remaining reactant gas and the precursor gas from the preceding steps.
8. The method according to claim 5, wherein reacting the precursor with the reactant forms a layer on the substrate at a rate greater than 100 Å/cycle.
9. The method according to claim 5, wherein the steps are performed in the listed order.
10. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
wherein the precursor gas and the reactant gas are sequentially pulsed into the chamber; and
flowing an inert purge gas into the chamber in between flowing the precursor gas and the reactant gas.
11. The method according to claim 10, wherein the purge gas flows while flowing the precursor gas and the reactant gas.
12. The method according to claim 10, wherein flowing the purge gas maintains an essentially constant chamber pressure.
13. A method of forming a film on a substrate, comprising:
flowing a PT and Rh precursor gases into a reaction chamber containing the substrate;
flowing a N2O gas into the reaction chamber; and
wherein the Pt and Rh precursor gases and the N2O gas are sequentially pulsed into the chamber.
14. The method according to claim 13, wherein, if necessary, the above process is repeated until the film has a desired thickness, flowing N2O gas into the chamber occurs after stopping Pt and Rh precursor gas flows into the chamber while repeating the process, and flowing the Pt and Rh precursor gases and flowing the N2O gas are performed without completely purging the remaining N2O gas and the Pt and Rh precursor gases from the preceding process.
15. The method according to claim 13, further comprising flowing an inert purge gas into the chamber in between flowing the Pt and Rh precursor gases and the N2O gas.
16. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
wherein the precursor gas and the reactant gas are sequentially pulsed into the chamber; and
wherein flowing precursor gas includes saturating precursor near the surface of the substrate so that steps in the substrate are generally covered by precursor.
17. The method according to claim 16, wherein CVD chemistry occurs near the surface of the substrate to deposit the film on the substrate and reduce gas phase interaction of the precursor and the reactant.
18. The method according to claim 16, wherein flowing the precursor gas and flowing the reactant gas are performed without completely purging the remaining reactant gas and the precursor gas from the preceding flowing steps.
19. The method according to claim 16, wherein flowing the precursor gas uniformly covers the steps in the substrate such that precursor material is adjacent essentially the entire surface of the step.
20. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
heating the chamber to facilitate the chemical reaction of the precursor and the reactant to deposit a film on the substrate; and
wherein the precursor gas and the reactant gas are sequentially pulsed into the chamber.
21. The method according to claim 20, wherein heating the chamber includes heating the chamber to at least 100 degrees (C).
22. The method according to claim 20, wherein heating the chamber includes heating the chamber to at least 200 degrees (C).
23. The method according to claim 20, wherein heating the chamber includes heating the chamber to at least 300 degrees (C).
24. The method according to claim 21, wherein the precursor and the reactant react to grow a film a rate of at least 10 Å/cycle.
25. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
wherein flowing the precursor gas and the reactant gas includes sequentially pulsing the precursor gas and the reactant gas into the chamber; and
wherein the precursor includes a source of at least one of a metal selected from the group consisting of rhenium, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum and gold.
26. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
stopping flow of precursor gas;
flowing a reactant gas into the reaction chamber; and
wherein flowing the reactant gas into the chamber occurs essentially simultaneously with stopping the flow of the precursor gas.
27. The method according to claim 26, wherein the precursor gas is predominately near the surface of the substrate before the reactant gas flows into the reaction chamber.
28. The method according to claim 26, further comprising flowing an inert purge gas into the chamber while flowing the precursor gas and the reactant gas.
29. The method according to claim 26, wherein the process steps are performed in the listed order.
30. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
stopping flow of the precursor gas;
flowing a reactant gas into the reaction chamber;
reacting the precursor gas with the reaction gas adjacent the substrate to deposit a layer of the film on the substrate; and
wherein flowing the reactant gas into the chamber occurs essentially simultaneously with stopping the flow of the precursor gas.
31. The method according to claim 30, wherein, if necessary to grow the film to a desired thickness, the above process steps are repeated, and flowing precursor gas into the chamber occurs simultaneously with stopping reactant gas flow when repeating the process steps.
32. The method according to claim 30, wherein purge gas flows while flowing the precursor gas and the reactant gas.
33. The method according to claim 30, wherein the precursor gas contains Pt and Rh precursors and the reactant gas contains N2O.
34. The method according to claim 30, wherein flowing precursor gas includes saturating the precursor near the surface of the substrate so that steps in the substrate are covered by precursor.
35. The method according to claim 34, wherein saturating the precursor near the surface of the substrate includes CVD chemistry occurring predominately near the surface of the substrate to deposit the layer on the substrate in order to reduce gas phase interaction of the precursor and the reactant.
36. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
wherein flowing the reactant gas into the chamber occurs essentially simultaneously with stopping the flow of the precursor gas; and
flowing an inert purge gas into the chamber while flowing at least one of the precursor gas and the reactant gas.
37. The method according to claim 36, wherein flowing the purge gas maintains an essentially constant chamber pressure.
38. A method of forming a film on a substrate, comprising:
flowing Pt and Rh gases into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber; and
wherein flowing the reactant gas occurs essentially simultaneously with stopping the flow of the Pt and Rh gases.
39. The method according to claim 38, wherein the reactant gas is one of N2O, O2, and H2.
40. The method according to claim 38, wherein, if necessary, the above process is repeated until the film has a desired thickness, flowing Pt and Rh gases into the chamber occurs after stopping reactant gas flow into the chamber while repeating the process.
41. The method according to claim 38, further comprising flowing an inert purge gas into the chamber while flowing the Pt and Rh gases and the reactant gas.
42. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
stopping flow of the precursor gas;
flowing a reactant gas into the reaction chamber;
wherein flowing the reactant gas into the chamber occurs essentially simultaneously with stopping the flow of the precursor gas; and
wherein flowing precursor gas includes saturating precursor near the surface of the substrate so that steps in the substrate are generally covered by precursor.
43. The method according to claim 42, wherein saturating the precursor near the surface of the substrate includes CVD chemistry occurring predominately near the surface of the substrate to deposit the film on the substrate and reduce gas phase interaction of the precursor and the reactant.
44. The method according to claim 43, wherein the above steps are repeated, and flowing the precursor gas and flowing the reactant gas are performed without completely purging the remaining reactant gas and the precursor gas from the preceding flowing steps.
45. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
heating the chamber to facilitate the chemical reaction of the precursor and the reactant to deposit a film on the substrate; and
wherein flowing the reactant gas into the chamber occurs essentially simultaneously with stopping the flow of the precursor gas.
46. The method according to claim 45, wherein the chamber is heated to at least 300 degrees (C).
47. The method according to claim 46, wherein the precursor and the reactant react to grow a film a rate of at least 5 Å/cycle.
48. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
stopping flow of the precursor gas;
flowing a reactant gas into the reaction chamber;
wherein flowing the reactant gas into the chamber occurs essentially simultaneously with stopping the flow of the precursor gas; and
wherein the precursor includes at least one of a metal selected from the group consisting of rhenium, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum and gold.
49. A method of forming a metal or dielectric film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate so that the precursor gas is adjacent the substrate, the precursor gas containing one of a metal and a dielectric to be deposited on the substrate;
flowing a reactant gas into the reaction chamber;
reacting the precursor gas with the reaction gas adjacent the substrate to deposit multiple atomic layers of the film during each reacting step;
repeating the above steps until the film has a desired thickness; and
the flowing of the reactant gas into the chamber occurs after stopping the flow of the precursor gas.
50. A semiconductor device, comprising:
a substrate; and
a first layer deposited on the substrate, wherein the first layer is deposited by sequentially pulsing a precursor gas and a reactant into a reaction chamber, and wherein the precursor gas and reactant react to deposit the first layer on the substrate.
51. The semiconductor device according to claim 50, wherein a second layer is deposited on the first layer, wherein the second layer of the film is deposited by sequentially pulsing a precursor gas and a reactant into the reaction chamber, and wherein the precursor gas and reactant react to deposit the second layer on the substrate.
52. The semiconductor device according to claim 51, wherein the first and second layers are made of the same material.
53. The semiconductor device according to claim 52, wherein the first and second layers are a metal.
54. The semiconductor device according to claim 53, wherein the first and second metal layers include at least one of rhenium, ruthenium, rhodium, palladium, silver, osmium, iridium, platinum and gold.
55. A memory device in an integrated circuit, comprising:
a substrate; and
a first layer deposited on the substrate, wherein the first layer is essentially devoid of contaminants, the first layer is deposited by sequentially pulsing a precursor gas and a reactant into a reaction chamber, and wherein the precursor gas and reactant react predominately adjacent the substrate to deposit the first layer on the substrate, the first layer being part of the memory device.
56. The memory device according to claim 55, wherein the first layer is one of a gate, source and drain of a transistor in the memory device.
57. A logic device in an integrated circuit, comprising:
a substrate; and
a first layer deposited on the substrate, wherein the first layer is essentially devoid of contaminants, the first layer is deposited by sequentially pulsing a precursor gas and a reactant into a reaction chamber, and wherein the precursor gas and reactant react predominately adjacent the substrate to deposit the first layer on the substrate, the first layer being part of a logic device.
58. The logic device according to claim 57, wherein the first layer is one of a gate, source, and drain in a transistor in the logic device.
59. A semiconductor device, comprising:
a substrate;
a first layer of a film deposited on the substrate, wherein the first layer is deposited by injecting a pulse of precursor gas into a chamber containing the substrate and injecting a pulse of reactant gas into the chamber, wherein the precursor and the reactant react to deposit the first layer on the substrate; and
a second layer of the film deposited on the first layer of the film, wherein the second layer is deposited by injecting a pulse of precursor gas into a chamber containing the substrate and injecting a pulse of reactant gas into the chamber, wherein the precursor and the reactant react to deposit the second layer on the first layer, and still further wherein the pulses of precursor gas and reactant gas are separate.
60. The semiconductor device according to claim 59, wherein the first and second layers are the same material.
61. The semiconductor device according to claim 59, wherein the first and second layers include a metal.
62. The semiconductor device according to claim 59, wherein the pulse the precursor gas and the pulse of the reactant gas are separated by a time period, wherein the time period allows the precursor gas to settle adjacent the surface of the substrate.
63. A deposition device for forming films on substrates, comprising:
a reaction chamber;
a source of precursor gas;
a source of reactant gas;
a mount for a substrate in the chamber; and
a controller for sequentially pulsing the precursor gas and the reactant gas into the chamber, the precursor gas being first pulsed into the chamber so that the precursor gas is adjacent a surface of the substrate, the reactant gas being pulsed into the chamber to react with the precursor gas to deposit a film on the surface of the substrate.
64. The deposition device according to claim 63, wherein the controller discretely pulses the precursor gas and the reactant gas into the chamber.
65. A machine readable medium having instructions stored thereon, comprising:
first instructions for causing a chemical vapor deposition reactor to initiate depositing a film on a substrate by injecting a pulse of precursor gas into a reaction chamber; and
second instructions for causing the reactor to inject a pulse of reactant gas into the reaction chamber after the pulse of precursor gas has been injected into the reaction chamber.
66. The machine readable medium according to claim 65, further comprising third instructions for causing the reactor to continue sequentially injecting pulses of precursor gas and reactant gas until the deposited film has a select thickness.
67. The machine readable medium according to claim 65, wherein the first instructions for causing a chemical vapor deposition reactor to initiate depositing a film further comprise instructions for ending the pulse of precursor gas prior to proceeding to the second instructions.
68. The machine readable medium according to claim 66, wherein the second instructions include a delay during which neither the precursor gas nor the reactant gas are injected into the reaction chamber.
69. The machine readable medium according to claim 65, further comprising third instructions for holding the chamber at a constant pressure.
70. The machine readable medium according to claim 65, wherein the first instructions cause the chemical vapor deposition reactor to inject a precursor gas having a metal constituent that will be deposited on the substrate.
71. The machine readable medium according to claim 65, further comprising third instructions for heating the reaction chamber above 300 degrees C.
72. A chemical vapor deposition system, comprising:
a chemical vapor deposition reactor;
a control system in communication with the reactor; and
a machine readable medium in communication with the control system, wherein the machine readable medium has:
first instructions for causing the chemical vapor deposition reactor to initiate depositing a film on a substrate by injecting a pulse of precursor gas into a reaction chamber, and
second instructions for causing the reactor to inject a pulse of reactant gas into the reaction chamber after the pulse of precursor gas is injected into the reaction chamber.
73. The chemical vapor deposition system according to claim 72, further comprising third instructions for causing the reactor to continue sequentially injecting pulses of precursor gas and reactant gas until the deposited film has a select thickness.
74. The chemical vapor deposition system according to claim 73, wherein the third instructions include instructions to delay injection of pulses of precursor gas until after the pulse of reactant gas ends.
75. The chemical vapor deposition system according to claim 74, wherein the first instructions include instructions to delay injection of pulses of precursor gas until after a time delay elapses after the end of the pulse of reactant gas.
76. The chemical vapor deposition system according to claim 73, wherein the second instructions include instructions to delay injection of pulses of reactant gas until after a time delay elapses after the end of the pulse of precursor gas.
77. The chemical vapor deposition system according to claim 73, wherein the control system is physically associated with the reactor.
78. The chemical vapor deposition system according to claim 77, wherein the machine readable medium is physically associated with the control system.
79. The chemical vapor deposition system according to claim 73, wherein the first instructions cause the reactor to inject a precursor gas having a metal constituent to be deposited on the substrate.
80. The chemical vapor deposition system according to claim 73, wherein the machine readable medium includes fourth instructions to heat the reactor to at least 300 degrees C.
81. The chemical vapor deposition system according to claim 73, wherein the machine readable medium includes fourth instructions to maintain a generally constant pressure in a chamber of the reaction chamber.
82. The chemical vapor deposition system according to claim 73, wherein the control system injects precursor and reactant gases to grow more than one atomic layer of the film for each pulse of precursor gas.
83. A reactor for forming films on substrates, comprising:
a reaction chamber;
a first gas source connected to the reaction chamber;
a second gas source connected to the reaction chamber;
a mount for a substrate in the reaction chamber; and
a controller sequentially activating the first gas source and the second gas source such that the first gas is in the reaction chamber adjacent the substrate prior to injecting the second gas into the reaction chamber to form a film on the substrate based on the reaction of the first and second gases in the reaction chamber.
84. A method of forming a film on a substrate, comprising:
flowing a reactant gas into the reaction chamber;
thereafter flowing a precursor gas into a reaction chamber containing the substrate; and
wherein the precursor gas and the reactant gas are sequentially pulsed into the chamber.
85. A method of forming a film on a substrate, comprising:
flowing a precursor gas into a reaction chamber containing the substrate;
flowing a reactant gas into the reaction chamber;
wherein the precursor gas and the reactant gas are sequentially pulsed into the chamber; and
wherein the amount of at least one of the precursor gas and the reactant gas exceeds the amount required to form the film on the substrate.
86. The method according to claim 85, wherein flowing the precursor gas includes reacting the precursor and reactant gases together to form the film, and thereafter flowing byproduct gas from the reaction chamber.
87. The method according to claim 86, wherein flowing the byproduct gases includes flowing the excess one of the precursor gas and the reactant gas from the reaction chamber.
88. The method according to claim 86, wherein flowing the precursor, flowing the reactant, and flowing the byproduct gas together include growing the film at a rate greater than about 5 Å per each cycle of flowing the precursor, flowing the reactant, and flowing the byproduct gas.
89. The method according to claim 88, wherein growing the film includes growing the film at a rate of about 100 Å per each cycle.
90. The method according to claim 85, wherein flowing the precursor gas into a reaction chamber containing the substrate includes flowing a precursor gas containing a metal component into the reaction chamber.
US10/232,270 2001-02-13 2002-08-28 Sequential pulse deposition Abandoned US20030003730A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/232,270 US20030003730A1 (en) 2001-02-13 2002-08-28 Sequential pulse deposition
US11/496,093 US7910177B2 (en) 2001-02-13 2006-07-31 Sequential pulse deposition
US13/037,113 US20110212628A1 (en) 2001-02-13 2011-02-28 Sequential pulse deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/782,207 US6613656B2 (en) 2001-02-13 2001-02-13 Sequential pulse deposition
US10/232,270 US20030003730A1 (en) 2001-02-13 2002-08-28 Sequential pulse deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/782,207 Division US6613656B2 (en) 2001-02-13 2001-02-13 Sequential pulse deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/496,093 Division US7910177B2 (en) 2001-02-13 2006-07-31 Sequential pulse deposition

Publications (1)

Publication Number Publication Date
US20030003730A1 true US20030003730A1 (en) 2003-01-02

Family

ID=25125335

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/782,207 Expired - Lifetime US6613656B2 (en) 2001-02-13 2001-02-13 Sequential pulse deposition
US10/232,270 Abandoned US20030003730A1 (en) 2001-02-13 2002-08-28 Sequential pulse deposition
US11/496,093 Expired - Lifetime US7910177B2 (en) 2001-02-13 2006-07-31 Sequential pulse deposition
US13/037,113 Abandoned US20110212628A1 (en) 2001-02-13 2011-02-28 Sequential pulse deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/782,207 Expired - Lifetime US6613656B2 (en) 2001-02-13 2001-02-13 Sequential pulse deposition

Family Applications After (2)

Application Number Title Priority Date Filing Date
US11/496,093 Expired - Lifetime US7910177B2 (en) 2001-02-13 2006-07-31 Sequential pulse deposition
US13/037,113 Abandoned US20110212628A1 (en) 2001-02-13 2011-02-28 Sequential pulse deposition

Country Status (1)

Country Link
US (4) US6613656B2 (en)

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20030207032A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Methods, systems, and apparatus for atomic-layer deposition of aluminum oxides in integrated circuits
US20030207593A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Atomic layer deposition and conversion
US20030227033A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Atomic layer-deposited HfA1O3 films for gate dielectrics
US20040049908A1 (en) * 2002-01-15 2004-03-18 Quallion Llc Electric storage battery construction and method of manufacture
US20040173150A1 (en) * 2003-03-03 2004-09-09 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US6803311B2 (en) * 2002-09-17 2004-10-12 Hynix Semiconductor Inc. Method for forming metal films
US20040226507A1 (en) * 2003-04-24 2004-11-18 Carpenter Craig M. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20050020017A1 (en) * 2003-06-24 2005-01-27 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050022739A1 (en) * 2002-07-08 2005-02-03 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050023625A1 (en) * 2002-08-28 2005-02-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films
US20050023594A1 (en) * 2002-06-05 2005-02-03 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US20050029604A1 (en) * 2002-12-04 2005-02-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20050054165A1 (en) * 2003-03-31 2005-03-10 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050081786A1 (en) * 2003-10-15 2005-04-21 Kubista David J. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050087130A1 (en) * 2003-10-09 2005-04-28 Derderian Garo J. Apparatus and methods for plasma vapor deposition processes
US20050087302A1 (en) * 2003-10-10 2005-04-28 Mardian Allen P. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050124174A1 (en) * 2002-08-15 2005-06-09 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20050126489A1 (en) * 2003-12-10 2005-06-16 Beaman Kevin L. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050145957A1 (en) * 2002-02-20 2005-07-07 Micron Technology, Inc. Evaporated LaAlO3 films for gate dielectrics
US20050164466A1 (en) * 2004-01-28 2005-07-28 Zheng Lingyi A. Methods for forming small-scale capacitor structures
US20050164521A1 (en) * 2002-12-04 2005-07-28 Micron Technology, Inc. Zr-Sn-Ti-O films
US20050176261A1 (en) * 2003-10-07 2005-08-11 Takahito Umehara Film formation method and apparatus for semiconductor process
US20050249887A1 (en) * 2004-05-06 2005-11-10 Dando Ross S Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US20050268856A1 (en) * 2004-06-02 2005-12-08 Miller Matthew W Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050277256A1 (en) * 2002-07-30 2005-12-15 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US20060043492A1 (en) * 2004-08-26 2006-03-02 Micron Technology, Inc. Ruthenium gate for a lanthanide oxide dielectric layer
US20060115957A1 (en) * 2003-09-17 2006-06-01 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20060128168A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Atomic layer deposited lanthanum hafnium oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20060198955A1 (en) * 2003-08-21 2006-09-07 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20060205187A1 (en) * 2003-08-28 2006-09-14 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US20060237764A1 (en) * 2002-08-29 2006-10-26 Micron Technology, Inc. LANTHANIDE DOPED TiOx DIELECTRIC FILMS
US20060244082A1 (en) * 2005-04-28 2006-11-02 Micron Technology, Inc. Atomic layer desposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20070090440A1 (en) * 2005-08-31 2007-04-26 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US20070181931A1 (en) * 2005-01-05 2007-08-09 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20070187831A1 (en) * 2006-02-16 2007-08-16 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20080095936A1 (en) * 2006-06-30 2008-04-24 Jiro Senda Film forming system and method for forming film
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US20090004801A1 (en) * 2007-06-28 2009-01-01 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US20090092741A1 (en) * 2005-03-18 2009-04-09 Kozo Ishida Method for forming film and film forming system
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8623456B2 (en) * 2007-04-17 2014-01-07 Lam Research Corporation Methods for atomic layer deposition

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6908639B2 (en) 2001-04-02 2005-06-21 Micron Technology, Inc. Mixed composition interface layer and method of forming
KR100406534B1 (en) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 Method for fabricating ruthenium thin film
KR100414156B1 (en) * 2001-05-29 2004-01-07 삼성전자주식회사 Method for manufacturing capacitor in integrated circuits device
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
DE10250732B3 (en) * 2002-10-31 2004-04-08 Daimlerchrysler Ag Control device for driver and passenger protection in a motor vehicle, has a plausibility step to prevent unnecessary deployment decisions being carried out
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7173304B2 (en) * 2005-06-06 2007-02-06 Micron Technology, Inc. Method of manufacturing devices comprising conductive nano-dots, and devices comprising same
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080191633A1 (en) * 2007-02-12 2008-08-14 Chi-Shih Lai Serial light-emitting light structure
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8640717B2 (en) 2010-04-12 2014-02-04 Thomas Robert McCarthy Multipurpose sequential droplet applicator
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
TWI586828B (en) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 Doping method of atomic layer deposition
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8911826B2 (en) * 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017184357A1 (en) 2016-04-18 2017-10-26 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (en) 2016-07-18 2023-03-24 삼성전자주식회사 Method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (en) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (en) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11658213B2 (en) 2018-06-22 2023-05-23 Northwestern University Superlattice films for photonic and electronic devices
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110205605A (en) * 2019-06-17 2019-09-06 东南大学 A kind of method of atomic layer deposition rhenium disulfide film
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
US11532474B2 (en) * 2019-08-12 2022-12-20 Applied Materials, Inc. Deposition of rhenium-containing thin films
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20220149595A (en) * 2020-03-04 2022-11-08 램 리써치 코포레이션 reactant gas pulse delivery
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
US5164040A (en) * 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5445699A (en) * 1989-06-16 1995-08-29 Tokyo Electron Kyushu Limited Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surface
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5755885A (en) * 1989-09-19 1998-05-26 Canon Kabushiki Kaisha Gas feeding device for controlled vaporization of an organometallic compound used in deposition film formation
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US6273954B2 (en) * 1998-09-03 2001-08-14 Mitsubishi Denki Kabushiki Kaisha System for manufacturing a semiconductor device
US6287980B1 (en) * 1999-04-22 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US20020052120A1 (en) * 2000-08-28 2002-05-02 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device and wafer treatment apparatus employed therefor as well as semiconductor device
US6539891B1 (en) * 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US20060265100A1 (en) * 2001-02-13 2006-11-23 Micron Technology, Inc. Sequential pulse deposition

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US5255258A (en) 1987-09-24 1993-10-19 Canon Kabushiki Kaisha Microprobe, preparation thereof and electronic device by use of said microprobe
EP0344352B1 (en) 1988-06-03 1994-09-28 International Business Machines Corporation Method for making artificial layered high-Tc superconductors
US5138520A (en) 1988-12-27 1992-08-11 Symetrix Corporation Methods and apparatus for material deposition
US5146299A (en) 1990-03-02 1992-09-08 Westinghouse Electric Corp. Ferroelectric thin film material, method of deposition, and devices using same
US5453494A (en) 1990-07-06 1995-09-26 Advanced Technology Materials, Inc. Metal complex source reagents for MOCVD
US5102694A (en) 1990-09-27 1992-04-07 Cvd Incorporated Pulsed chemical vapor deposition of gradient index optical material
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5571572A (en) 1991-09-05 1996-11-05 Micron Technology, Inc. Method of depositing titanium carbonitride films on semiconductor wafers
US5278100A (en) 1991-11-08 1994-01-11 Micron Technology, Inc. Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US5261963A (en) * 1991-12-04 1993-11-16 Howmet Corporation CVD apparatus comprising exhaust gas condensation means
US5227331A (en) 1992-02-10 1993-07-13 Micron Technology, Inc. CVD method for semiconductor manufacture using rapid thermal pulses
US5254499A (en) 1992-07-14 1993-10-19 Micron Technology, Inc. Method of depositing high density titanium nitride films on semiconductor wafers
US5240739A (en) 1992-08-07 1993-08-31 Micron Technology Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
EP0586774B1 (en) 1992-09-11 1997-05-02 International Business Machines Corporation Process for manufacturing thin films by multilayer deposition
US5330610A (en) 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5382550A (en) 1993-08-05 1995-01-17 Micron Semiconductor, Inc. Method of depositing SiO2 on a semiconductor substrate
US5451260A (en) 1994-04-15 1995-09-19 Cornell Research Foundation, Inc. Method and apparatus for CVD using liquid delivery system with an ultrasonic nozzle
US5480684A (en) 1994-09-01 1996-01-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5661115A (en) 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US5693377A (en) 1996-01-08 1997-12-02 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving titanium organometallic and metal-organic precursor compounds
US5741547A (en) 1996-01-23 1998-04-21 Micron Technology, Inc. Method for depositing a film of titanium nitride
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US5924012A (en) 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
US5874131A (en) 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US6010969A (en) 1996-10-02 2000-01-04 Micron Technology, Inc. Method of depositing films on semiconductor devices by using carboxylate complexes
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6136690A (en) 1998-02-13 2000-10-24 Micron Technology, Inc. In situ plasma pre-deposition wafer treatment in chemical vapor deposition technology for semiconductor integrated circuit applications
US6140230A (en) 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
US6143362A (en) 1998-02-25 2000-11-07 Micron Technology, Inc. Chemical vapor deposition of titanium
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6139780A (en) * 1998-05-28 2000-10-31 Sharp Kabushiki Kaisha Dynamic random access memories with dielectric compositions stable to reduction
US6133161A (en) 1998-08-27 2000-10-17 Micron Technology, Inc. Methods of forming a film on a substrate using complexes having tris(pyrazolyl) methanate ligands
US6127192A (en) 1998-08-27 2000-10-03 Micron Technology, Inc. Complexes having tris (pyrazolyl) borate ligands for forming films
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6225237B1 (en) 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6214729B1 (en) 1998-09-01 2001-04-10 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films
US6136703A (en) 1998-09-03 2000-10-24 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US6239028B1 (en) 1998-09-03 2001-05-29 Micron Technology, Inc. Methods for forming iridium-containing films on substrates
US6204172B1 (en) 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US6156674A (en) 1998-11-25 2000-12-05 Micron Technology, Inc. Semiconductor processing methods of forming insulative materials
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6198144B1 (en) 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
FI20000099A0 (en) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
DE60125338T2 (en) * 2000-03-07 2007-07-05 Asm International N.V. GRADED THIN LAYERS
KR100363088B1 (en) * 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4888199A (en) * 1987-07-15 1989-12-19 The Boc Group, Inc. Plasma thin film deposition process
US5445699A (en) * 1989-06-16 1995-08-29 Tokyo Electron Kyushu Limited Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surface
US5164040A (en) * 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
US5755885A (en) * 1989-09-19 1998-05-26 Canon Kabushiki Kaisha Gas feeding device for controlled vaporization of an organometallic compound used in deposition film formation
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US6273954B2 (en) * 1998-09-03 2001-08-14 Mitsubishi Denki Kabushiki Kaisha System for manufacturing a semiconductor device
US6287980B1 (en) * 1999-04-22 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US6539891B1 (en) * 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US20020052120A1 (en) * 2000-08-28 2002-05-02 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device and wafer treatment apparatus employed therefor as well as semiconductor device
US20060265100A1 (en) * 2001-02-13 2006-11-23 Micron Technology, Inc. Sequential pulse deposition

Cited By (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034662A1 (en) * 2001-03-01 2005-02-17 Micro Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050087134A1 (en) * 2001-03-01 2005-04-28 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20020122885A1 (en) * 2001-03-01 2002-09-05 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20040049908A1 (en) * 2002-01-15 2004-03-18 Quallion Llc Electric storage battery construction and method of manufacture
US20050145957A1 (en) * 2002-02-20 2005-07-07 Micron Technology, Inc. Evaporated LaAlO3 films for gate dielectrics
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US20060000412A1 (en) * 2002-05-02 2006-01-05 Micron Technology, Inc. Systems and apparatus for atomic-layer deposition
US20030207593A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Atomic layer deposition and conversion
US20030207032A1 (en) * 2002-05-02 2003-11-06 Micron Technology, Inc. Methods, systems, and apparatus for atomic-layer deposition of aluminum oxides in integrated circuits
US20050023584A1 (en) * 2002-05-02 2005-02-03 Micron Technology, Inc. Atomic layer deposition and conversion
US20050023594A1 (en) * 2002-06-05 2005-02-03 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US20030227033A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Atomic layer-deposited HfA1O3 films for gate dielectrics
US20050023624A1 (en) * 2002-06-05 2005-02-03 Micron Technology, Inc. Atomic layer-deposited HfAlO3 films for gate dielectrics
US20100244122A1 (en) * 2002-07-08 2010-09-30 Leonard Forbes Memory utilizing oxide nanolaminates
US20050022739A1 (en) * 2002-07-08 2005-02-03 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US8228725B2 (en) 2002-07-08 2012-07-24 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20050277256A1 (en) * 2002-07-30 2005-12-15 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US8125038B2 (en) 2002-07-30 2012-02-28 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US20050016984A1 (en) * 2002-08-15 2005-01-27 Dando Ross S. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20050124174A1 (en) * 2002-08-15 2005-06-09 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20050023625A1 (en) * 2002-08-28 2005-02-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films
US20060237764A1 (en) * 2002-08-29 2006-10-26 Micron Technology, Inc. LANTHANIDE DOPED TiOx DIELECTRIC FILMS
US6803311B2 (en) * 2002-09-17 2004-10-12 Hynix Semiconductor Inc. Method for forming metal films
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US20060003517A1 (en) * 2002-12-04 2006-01-05 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20050164521A1 (en) * 2002-12-04 2005-07-28 Micron Technology, Inc. Zr-Sn-Ti-O films
US20100044771A1 (en) * 2002-12-04 2010-02-25 Ahn Kie Y Zr-Sn-Ti-O FILMS
US20050029604A1 (en) * 2002-12-04 2005-02-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040173150A1 (en) * 2003-03-03 2004-09-09 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050045100A1 (en) * 2003-03-03 2005-03-03 Derderian Garo J. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050054165A1 (en) * 2003-03-31 2005-03-10 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers
US20060255470A1 (en) * 2003-03-31 2006-11-16 Micron Technology, Inc. ZrAlxOy DIELECTRIC LAYERS
US20070059881A1 (en) * 2003-03-31 2007-03-15 Micron Technology, Inc. Atomic layer deposited zirconium aluminum oxide
US20040226507A1 (en) * 2003-04-24 2004-11-18 Carpenter Craig M. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20060261397A1 (en) * 2003-06-24 2006-11-23 Micron Technology, Inc. Lanthanide oxide/hafnium oxide dielectric layers
US20050020017A1 (en) * 2003-06-24 2005-01-27 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20050029547A1 (en) * 2003-06-24 2005-02-10 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US20050039680A1 (en) * 2003-08-21 2005-02-24 Beaman Kevin L. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20060198955A1 (en) * 2003-08-21 2006-09-07 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20060205187A1 (en) * 2003-08-28 2006-09-14 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20060115957A1 (en) * 2003-09-17 2006-06-01 Cem Basceri Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US20050061243A1 (en) * 2003-09-18 2005-03-24 Demetrius Sarigiannis Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7229917B2 (en) * 2003-10-07 2007-06-12 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20050176261A1 (en) * 2003-10-07 2005-08-11 Takahito Umehara Film formation method and apparatus for semiconductor process
US20050087130A1 (en) * 2003-10-09 2005-04-28 Derderian Garo J. Apparatus and methods for plasma vapor deposition processes
US20050087302A1 (en) * 2003-10-10 2005-04-28 Mardian Allen P. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20050081786A1 (en) * 2003-10-15 2005-04-21 Kubista David J. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20060204649A1 (en) * 2003-12-10 2006-09-14 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US7771537B2 (en) 2003-12-10 2010-08-10 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G. CVD deposition
US8518184B2 (en) 2003-12-10 2013-08-27 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, E.G., CVD deposition
US20050126489A1 (en) * 2003-12-10 2005-06-16 Beaman Kevin L. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050164466A1 (en) * 2004-01-28 2005-07-28 Zheng Lingyi A. Methods for forming small-scale capacitor structures
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8384192B2 (en) 2004-01-28 2013-02-26 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US9023436B2 (en) 2004-05-06 2015-05-05 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050249887A1 (en) * 2004-05-06 2005-11-10 Dando Ross S Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20050268856A1 (en) * 2004-06-02 2005-12-08 Miller Matthew W Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060264064A1 (en) * 2004-08-02 2006-11-23 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20100301406A1 (en) * 2004-08-02 2010-12-02 Ahn Kie Y Zirconium-doped tantalum oxide films
US8765616B2 (en) 2004-08-02 2014-07-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7776762B2 (en) 2004-08-02 2010-08-17 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20060024975A1 (en) * 2004-08-02 2006-02-02 Micron Technology, Inc. Atomic layer deposition of zirconium-doped tantalum oxide films
US7727905B2 (en) 2004-08-02 2010-06-01 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8288809B2 (en) 2004-08-02 2012-10-16 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US8907486B2 (en) 2004-08-26 2014-12-09 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US8558325B2 (en) 2004-08-26 2013-10-15 Micron Technology, Inc. Ruthenium for a dielectric containing a lanthanide
US20060043492A1 (en) * 2004-08-26 2006-03-02 Micron Technology, Inc. Ruthenium gate for a lanthanide oxide dielectric layer
US7719065B2 (en) 2004-08-26 2010-05-18 Micron Technology, Inc. Ruthenium layer for a dielectric layer containing a lanthanide oxide
US20060046505A1 (en) * 2004-08-26 2006-03-02 Micron Technology, Inc. Ruthenium gate for a lanthanide oxide dielectric layer
US8237216B2 (en) 2004-08-31 2012-08-07 Micron Technology, Inc. Apparatus having a lanthanum-metal oxide semiconductor device
US20110037117A1 (en) * 2004-08-31 2011-02-17 Ahn Kie Y Lanthanum-metal oxide dielectric apparatus, methods, and systems
US20070090441A1 (en) * 2004-08-31 2007-04-26 Micron Technology, Inc. Titanium aluminum oxide films
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
US8541276B2 (en) 2004-08-31 2013-09-24 Micron Technology, Inc. Methods of forming an insulating metal oxide
US8154066B2 (en) 2004-08-31 2012-04-10 Micron Technology, Inc. Titanium aluminum oxide films
US7867919B2 (en) 2004-08-31 2011-01-11 Micron Technology, Inc. Method of fabricating an apparatus having a lanthanum-metal oxide dielectric layer
US20060128168A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Atomic layer deposited lanthanum hafnium oxide dielectrics
US8278225B2 (en) 2005-01-05 2012-10-02 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US8524618B2 (en) 2005-01-05 2013-09-03 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20070181931A1 (en) * 2005-01-05 2007-08-09 Micron Technology, Inc. Hafnium tantalum oxide dielectrics
US20100029054A1 (en) * 2005-01-05 2010-02-04 Ahn Kie Y Hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US20090092741A1 (en) * 2005-03-18 2009-04-09 Kozo Ishida Method for forming film and film forming system
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US20060244082A1 (en) * 2005-04-28 2006-11-02 Micron Technology, Inc. Atomic layer desposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20080217676A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US20080220618A1 (en) * 2005-04-28 2008-09-11 Micron Technology, Inc. Zirconium silicon oxide films
US8084808B2 (en) 2005-04-28 2011-12-27 Micron Technology, Inc. Zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20070090440A1 (en) * 2005-08-31 2007-04-26 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US20070187831A1 (en) * 2006-02-16 2007-08-16 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US20100207181A1 (en) * 2006-02-16 2010-08-19 Ahn Kie Y Conductive layers for hafnium silicon oxynitride films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20080095936A1 (en) * 2006-06-30 2008-04-24 Jiro Senda Film forming system and method for forming film
US8466016B2 (en) 2006-08-31 2013-06-18 Micron Technolgy, Inc. Hafnium tantalum oxynitride dielectric
US8759170B2 (en) 2006-08-31 2014-06-24 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8084370B2 (en) 2006-08-31 2011-12-27 Micron Technology, Inc. Hafnium tantalum oxynitride dielectric
US8623456B2 (en) * 2007-04-17 2014-01-07 Lam Research Corporation Methods for atomic layer deposition
US7759237B2 (en) 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US8847334B2 (en) 2007-06-28 2014-09-30 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US8071443B2 (en) 2007-06-28 2011-12-06 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US20090004801A1 (en) * 2007-06-28 2009-01-01 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures

Also Published As

Publication number Publication date
US20020110991A1 (en) 2002-08-15
US7910177B2 (en) 2011-03-22
US20110212628A1 (en) 2011-09-01
US20060265100A1 (en) 2006-11-23
US6613656B2 (en) 2003-09-02

Similar Documents

Publication Publication Date Title
US6613656B2 (en) Sequential pulse deposition
US7541284B2 (en) Method of depositing Ru films having high density
US7560793B2 (en) Atomic layer deposition and conversion
US6730614B1 (en) Method of forming a thin film in a semiconductor device
US6723595B2 (en) Thin film deposition method including using atomic layer deposition without purging between introducing the gaseous reactants
US8383525B2 (en) Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7235484B2 (en) Nanolayer thick film processing system and method
US7105208B2 (en) Methods and processes utilizing microwave excitation
US7071098B2 (en) Methods of fabricating interconnects for semiconductor components including a through hole entirely through the component and forming a metal nitride including separate precursor cycles
US20040219784A1 (en) Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US6426307B2 (en) Method of manufacturing an aluminum oxide film in a semiconductor device
US20040101622A1 (en) Method of depositing thin film using aluminum oxide
US6602796B2 (en) Chemical vapor deposition for smooth metal films
US20040209465A1 (en) Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US20020015791A1 (en) Method and manufacturing device for manufacturing a titanium nitride thin film
US20060035470A1 (en) Method for manufaturing semiconductor device and substrate processing system
JP2003517731A (en) Apparatus and method for minimizing parasitic chemical vapor deposition during atomic layer deposition
US8329569B2 (en) Deposition of ruthenium or ruthenium dioxide
KR100256669B1 (en) Chemical vapor deposition apparatus and method for forming copper film using the same
WO2010101859A1 (en) Atomic layer deposition processes
US10961624B2 (en) Process for pulsed thin film deposition
US20060251815A1 (en) Atomic layer deposition methods
JP2004197163A (en) Film forming method
KR0161889B1 (en) Formation method of wiring in semiconductor device
WO2007035041A1 (en) Method of and apparatus for fabricating thermal oxide film using single chamber-type cvd apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION