US20010042799A1 - Showerhead apparatus for radical-assisted deposition - Google Patents

Showerhead apparatus for radical-assisted deposition Download PDF

Info

Publication number
US20010042799A1
US20010042799A1 US09/776,004 US77600401A US2001042799A1 US 20010042799 A1 US20010042799 A1 US 20010042799A1 US 77600401 A US77600401 A US 77600401A US 2001042799 A1 US2001042799 A1 US 2001042799A1
Authority
US
United States
Prior art keywords
raw material
material gas
buffer
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/776,004
Other versions
US6435428B2 (en
Inventor
Jae Kim
In Shin
Sang Park
Kwan Rha
Sang Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Apex Co Ltd
Wonik IPS Co Ltd
Original Assignee
Apex Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Apex Co Ltd filed Critical Apex Co Ltd
Assigned to APEX CO., LTD. reassignment APEX CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, JAE HO, KIM, SANG HO, PARK, SANG JOON, RHA, KWAN GOO, SHIN, IN CHEL
Assigned to APEX CO., LTD. reassignment APEX CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, JAE HO, KIM, SANG HO, PARK, SANG JOON, RHA, KWAN GOO, SHIN, IN CHEL
Publication of US20010042799A1 publication Critical patent/US20010042799A1/en
Application granted granted Critical
Publication of US6435428B2 publication Critical patent/US6435428B2/en
Assigned to IPS LTD. reassignment IPS LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: APEX CO. LTD., NOW BY CHANGE OF NAME DIGIWAVETECH CORP.
Assigned to ATTO CO., LTD. reassignment ATTO CO., LTD. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: IPS LTD.
Assigned to WONIK IPS CO., LTD. reassignment WONIK IPS CO., LTD. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: ATTO CO., LTD.
Assigned to WONIK IPS CO., LTD. reassignment WONIK IPS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WONIK IPS CO., LTD.
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • the invention relates generally to a showerhead apparatus for radical-assisted deposition in chemical vapor deposition equipment for performing a semiconductor manufacturing process. More particularly, the present invention relates to a showerhead apparatus for radical-assisted deposition in which a showerhead is consisted of a two-stair structure to generate plasma, so that uniformity of a thin film can be improved, the deposition efficiency can be increased and generation of particles is minimized when a thin film is deposited on a large-caliber wafer or a substrate.
  • the chemical vapor deposition apparatus for depositing a thin film on a wafer or a substrate employs a plasma in order to deposit a thin film of a high quality at low temperature, whereby a raw material is activated to deposit a thin film on the wafer or the substrate.
  • a plasma in order to deposit a thin film of a high quality at low temperature, whereby a raw material is activated to deposit a thin film on the wafer or the substrate.
  • FIG. 1 a is a schematic view illustrating an entire structure of a conventional plasma chemical vapor deposition apparatus.
  • the apparatus includes chamber 107 below which an exhaust outlet 106 is formed, a gas injection tube 102 formed through the upper center of the chamber 107 for injecting a plasma generating gas, a showerhead 110 having a plurality of holes, for spraying the plasma generating gas induced from the gas injection tube 102 , which is surrounded by a shield 104 and is also mounted under the gas injection tube 102 , a shower ring 103 mounted below the showerhead 110 for spraying a raw material gas supplied from a raw material gas injection tube 101 when a plasma is used, a substrate 108 on which a thin film is deposited the gas sprayed from the shower ring 103 and a heater 109 supporting the substrate 108 for providing a given thermal source to the substrate.
  • an insulating plate 105 having an electrode plate for generating a plasma by an outside RF power supply (not shown) is formed on the chamber 107 at the portion of which the gas injection tube 102 passes through. Also, the shower ring 103 is separated from the plasma generating apparatus.
  • Korean Patent Application No.99-0243446 proposes a showerhead apparatus including a plasma generating section, which includes a showerhead of a two-stair structure the upper and lower portion of which has a buffer, respectively, whereby the raw material gas sprayed into the plasma can be constantly distributed to form a uniform thin film on a wafer or a substrate.
  • FIG. 1 b is a schematic view of the showerhead apparatus including the above plasma generating section.
  • the showerhead apparatus includes first and second showerheads 201 and 202 having a two-stair structure, the upper and lower portion of which has buffers 201 a and 202 a , respectively.
  • the showerhead is constructed wherein a plasma is generated by the first upper buffer 201 a of the first showerhead 201 , the plasma is sprayed on a wafer 203 through a plasma spray hole 201 b passing through the second buffer 202 a , a raw material gas is injected into the second buffer 202 a of the second showerhead 202 and the raw material gas is sprayed through a plurality of raw material gas spray holes 202 b formed at the below plate of the second buffer 202 a .
  • the generated plasma is introduced into the chamber through the plasma spray holes passing through the second buffer, so that the probability or the number in which particles constituting plasmas such as positive ions, electrons, radical etc.
  • the present invention is contrived to solve the above problems and an object of the present invention is to provide a showerhead apparatus for radical-assisted deposition including a showerhead of a two-stair structure separated by a given distance, which has a first buffer for uniformly distributing a raw material gas and a second buffer for uniformly distributing a plasma gas, wherein a plasma is generated within the showerheads and the raw material gas sprayed into the plasma is constantly maintained, thus forming a uniform thin film on a wafer or a substrate.
  • another object of the present invention is to provide a showerhead apparatus for radical-assisted deposition capable of forming a thin film of a high quality at a low-temperature process while simplifying the structure of two-stair showerheads having a first buffer and a second buffer.
  • the present invention provides a showerhead apparatus for radical-assisted deposition comprising a raw material gas spray means including a first buffer which is divided into upper and lower layers for uniformly distributing a gas introduced from a raw material gas injection tube, wherein a plurality of raw material gas spray holes for spraying the raw material gas distributed within the first buffer at a given flow rate is formed at a lower plate of the spray means; a plasma generating gas spray means including a second buffer for uniformly distributing a plasma generating gas between with the raw material gas spray means, wherein a plurality of plasma generating gas spray holes and through holes for spraying the plasma generating gas distributed within the second buffer are formed at a lower plate of the spray means, respectively; a guide means for communicating the raw material gas spray holes in the raw material gas spray means and the through holes in the plasma generating gas spray means and for inducing the raw material gas and the plasma generating gas so that they are not mixed; and a RF generating means mounted at one outside side of the
  • FIG. 1 a is a schematic view illustrating a conventional plasma chemical vapor deposition apparatus
  • FIG. 1 b is a front view for illustrating a showerhead having a conventional plasma generator
  • FIG. 2 is a front view of a showerhead apparatus for radical-assisted deposition according to one embodiment of the present invention.
  • FIG. 3 is a plan view of a bottom plate of a second showerhead being an integral part of the present invention.
  • a showerhead apparatus for radical-assisted deposition sprays separately a raw material gas and a plasma generating gas, wherein the plasma is used to activate the raw material gas, thus improving uniformity and the quality of a thin film. As shown in FIG.
  • the showerhead apparatus includes an upper plate 11 formed at an internal upper side of the chamber 10 for performing a process and having a first heater 12 for uniformly maintaining the temperature of a raw material gas therein, a lower plate 13 the side of which is fixed at the chamber 10 , for supporting the upper plate 11 , a raw material injection tube 14 formed at the central portion of the upper plate 11 , for supplying the raw material gas through it and a mass flow controller 27 formed at a given position of the raw material gas injection tube 14 , for controlled the inflow of the gas.
  • a first buffer 15 a for uniformly distributing the gas introduced from the raw material gas injection tube 14 is formed within the upper plate 11 .
  • a first showerhead 15 wherein a plurality of raw material gas spray holes 15 c through which the raw material gas distributed within the first buffer 15 a is sprayed at a given flow rate are formed, is formed at a lower plate 15 b.
  • the lower plate 15 b of the first showerhead 15 is formed of an electrode plate, from which plasma is generated by RF applied from a RF power supply to be explained later.
  • the first showerhead 15 has the first buffer 15 a that is divided into upper and lower spaces.
  • the first showerhead 15 includes a middle plate 16 having a plurality of exhaust holes 16 a for uniformly distributing the raw material gas distributed into the upper space of the showerhead into the lower space of the showerhead, and a support pin 17 erectly formed at the circumferential face of the lower plate 15 b in the first shower head 15 for supporting the middle plate 16 in order to form a lower space between the middle plate 16 and itself.
  • a second buffer 18 a for uniformly distributing the flow of the plasma generating gas between itself and the first showerhead 15 . Also, there is formed a second showerhead 18 for spraying the plasma generating gas distributed within the second buffer 18 a , wherein a plurality of plasma generating gas spray holes 18 c are formed at the lower plate 18 b of the second showerhead 18 . Then, as shown in FIG. 3, a through hole 18 d facing the hole 15 c formed at the lower plate 15 b of the first showerhead 15 is formed around the spray holes 18 c formed at the lower plate 18 b of the second showerhead 18 .
  • a RF power 19 having a RF rod 19 a and a RF connector 19 b which apply an outside RF power supply to the lower plate 15 b of the first showerhead 15 is formed to be uprightly through the upper plate 11 at one side of the first showerhead 15 .
  • a first insulating member 21 is formed at a circumferential face of the RF rod 19 a in the RF power 19
  • a second insulating member 22 for covering the first buffer 15 a is formed between the upper portion of the first buffer 15 a and the upper plate 11 in the first showerhead 15
  • a third insulating member 23 is formed at a circumferential face of the second buffer 18 a in the second showerhead 18 in order to keep the upper and lower width of the second buffer 18 a .
  • the second and third insulating members 22 and 23 are supported by the lower plate 13 and the first to third insulating members 21 , 22 and 23 function to electrically insulate the RF power supply applied to the lower plate 15 b in the first showerhead 15 .
  • a plasma gas inlet tube 24 for supplying a plasma gas into the second buffer 18 a of the second showerhead 18 is formed at one circumferential side of the lower plate 15 b in the first showerhead 15 .
  • a plasma gas buffer 25 is formed at a lower portion of which a plasma gas distribution hole 25 a is formed at one circumferential side of the lower plate 15 b in the first showerhead 15 so that the plasma gas buffer 25 can communicate with the plasma gas inlet tube 24 .
  • a gas passage 26 for introducing the plasma gas into the second buffer 18 a along with the plasma gas distribution hole 25 a is formed between the lower plate 15 b and the third insulating member 23 , is formed.
  • An unexplained reference numeral 31 indicates an exhaust port for exhausting the gas within the chamber 10 toward the outside
  • 32 indicates a wafer or a substrate on which a raw material gas activated by the plasma generating gas sprayed from the second showerhead 18 is deposited to form a thin film
  • 33 indicates a second heater supporting the substrate 32 , for providing a given thermal source to the substrate 32 .
  • the gas supplied from the plasma generating gas inlet tube 24 is introduced into the second buffer 18 a in the second showerhead 18 via the plasma gas distribution hole 25 a in the gas buffer 25 and the gas passage 26 , the electric power from the RF power 19 is applied to the lower plate 15 b in the first showerhead 15 via the RF rod 19 a , thus generating a plasma.
  • the plasma thus generated is sprayed into the substrate 32 via the plasma generating gas spray holes 18 c from at the lower plate 18 b in the second showerhead 18 while is maintained at a constant fluid pressure within the second buffer 18 a .
  • the raw material gas from the raw material gas injection tube 14 is introduced into the upper space formed at the first buffer 15 a of the first showerhead 15 .
  • this gas is again introduced into the lower space in the first buffer 15 a via the holes 16 a in the middle plate 16 , so that the fluid pressure of the raw material gas is uniformly distributed.
  • the heater built in the upper plate 11 constantly maintains the temperature of the raw material gas distributed within the first buffer 15 a of the first showerhead 15 .
  • the raw material gas distributed within the first buffer 15 a in the first showerhead 15 is sprayed onto the substrate 32 via the spray holes 15 c formed at the lower plate 15 b of the first showerhead 15 and the guide tube 20 communicating with the through hole 18 d formed at the lower plate 18 b.
  • the raw material gas passed through the guide tube 20 after being distributed into the first buffer 15 and the neutral radical of the plasma generating gas passed through the spray holes 18 c in the second showerhead 18 are sprayed onto the substrate with the two being separated to each other. During this process, reaction of the raw material gas with the neutral radical is prevented. Thus, vapor reaction that becomes a major reason in generation of particles can be prohibited, and a thin film having a uniform and good quality can be formed on the wafer or the substrate 32 .
  • the present invention provides a two-stair showerhead structure in which the plasma generating section and the raw material gas spray section are incorporated.
  • the present invention can prevent collision and injection of ions and electros, which caused a problem in a deposition process using conventional plasma generation.
  • introduction of impurities such as carbon (C), hydrogen (H), chlorine (Cl), brome (Br), etc. and a large quantity of particles, which existed when a metal organic source or a metal inorganic source is used a raw material gas, can be prevented.
  • the passage through which the radical generated from the showerhead apparatus is introduced into the chamber can be maintained at its minimum, the efficiency of radical can be maximized.
  • reliability of the process can be improved and the throughput of the product can be increased.

Abstract

There is disclosed a showerhead apparatus for radical-assisted deposition including a showerhead of a two-stair structure separated by a given distance, which has a first buffer for uniformly distributing a raw material gas and a second buffer for uniformly distributing a plasma gas, wherein a plasma is generated within the showerheads and the raw material gas sprayed into the plasma is constantly maintained, thus forming a uniform thin film on a wafer or a substrate. The showerhead apparatus for radical-assisted deposition comprises a raw material gas spray means including a first buffer which is divided into upper and lower layers for uniformly distributing a gas introduced from a raw material gas injection tube, wherein a plurality of raw material gas spray holes for spraying the raw material gas distributed within the first buffer at a given flow rate is formed at a lower plate of the spray means; a plasma generating gas spray means including a second buffer for uniformly distributing a plasma generating gas between with the raw material gas spray means, wherein a plurality of plasma generating gas spray holes and through holes for spraying the plasma generating gas distributed within the second buffer are formed at a lower plate of the spray means, respectively; a guide means for communicating the raw material gas spray holes in the raw material gas spray means and the through holes in the plasma generating gas spray means and for inducing the raw material gas and the plasma generating gas so that they are not mixed; and a RF generating means mounted at one outside side of the raw material gas spray means, wherein a RF rod for applying an outside RF power is included at a lower plate of the RF generating means.

Description

    TECHNICAL FIELD
  • The invention relates generally to a showerhead apparatus for radical-assisted deposition in chemical vapor deposition equipment for performing a semiconductor manufacturing process. More particularly, the present invention relates to a showerhead apparatus for radical-assisted deposition in which a showerhead is consisted of a two-stair structure to generate plasma, so that uniformity of a thin film can be improved, the deposition efficiency can be increased and generation of particles is minimized when a thin film is deposited on a large-caliber wafer or a substrate. [0001]
  • BACKGROUND OF THE INVENTION
  • Generally, in a process of manufacturing a semiconductor, the chemical vapor deposition apparatus for depositing a thin film on a wafer or a substrate employs a plasma in order to deposit a thin film of a high quality at low temperature, whereby a raw material is activated to deposit a thin film on the wafer or the substrate. A conventional apparatus for generating plasmas will be now explained in short by reference to FIG. 1[0002] a.
  • FIG. 1[0003] a is a schematic view illustrating an entire structure of a conventional plasma chemical vapor deposition apparatus. The apparatus includes chamber 107 below which an exhaust outlet 106 is formed, a gas injection tube 102 formed through the upper center of the chamber 107 for injecting a plasma generating gas, a showerhead 110 having a plurality of holes, for spraying the plasma generating gas induced from the gas injection tube 102, which is surrounded by a shield 104 and is also mounted under the gas injection tube 102, a shower ring 103 mounted below the showerhead 110 for spraying a raw material gas supplied from a raw material gas injection tube 101 when a plasma is used, a substrate 108 on which a thin film is deposited the gas sprayed from the shower ring 103 and a heater 109 supporting the substrate 108 for providing a given thermal source to the substrate.
  • At this time, an [0004] insulating plate 105 having an electrode plate for generating a plasma by an outside RF power supply (not shown) is formed on the chamber 107 at the portion of which the gas injection tube 102 passes through. Also, the shower ring 103 is separated from the plasma generating apparatus.
  • In the above conventional structure, it is impossible to accurately adjust the raw material gas since the [0005] shower ring 103 is directly exposed to the plasma generating gas passing through the showerhead 110 due to the temperature elevated by the plasma. This results in degrading the quality of a thin film to be grown. Also, in case of employing a large-caliber wafer, as it is difficult to uniformly spray a raw material gas on the entire surface of the wafer, forming a uniform thin film is made impossible. Further, as the distance between the shower ring and the wafer or the shower ring and the substrate is distant, there is a problem that the deposition efficiency of the raw material gas is degraded.
  • In order to overcome the above problems, Korean Patent Application No.99-0243446 proposes a showerhead apparatus including a plasma generating section, which includes a showerhead of a two-stair structure the upper and lower portion of which has a buffer, respectively, whereby the raw material gas sprayed into the plasma can be constantly distributed to form a uniform thin film on a wafer or a substrate. [0006]
  • FIG. 1[0007] b is a schematic view of the showerhead apparatus including the above plasma generating section. The showerhead apparatus includes first and second showerheads 201 and 202 having a two-stair structure, the upper and lower portion of which has buffers 201 a and 202 a, respectively. Here, the showerhead is constructed wherein a plasma is generated by the first upper buffer 201 a of the first showerhead 201, the plasma is sprayed on a wafer 203 through a plasma spray hole 201 b passing through the second buffer 202 a, a raw material gas is injected into the second buffer 202 a of the second showerhead 202 and the raw material gas is sprayed through a plurality of raw material gas spray holes 202 b formed at the below plate of the second buffer 202 a. In the above conventional showerhead apparatus, however, the generated plasma is introduced into the chamber through the plasma spray holes passing through the second buffer, so that the probability or the number in which particles constituting plasmas such as positive ions, electrons, radical etc. collide each other within the spray hole or collide against the internal wall of the spray hole is increased. Thus, there is a drawback that the plasma efficiency is decreased due to loss of the energy. In addition, as the raw material gas introduced into the second buffer is caused to spiral heavily within the second buffer by the plurality of plasma spray holes formed at the second buffer, there are problems that the internal of the showerhead is contaminated and a lot of particles are generated.
  • SUMMARY OF THE INVENTION
  • The present invention is contrived to solve the above problems and an object of the present invention is to provide a showerhead apparatus for radical-assisted deposition including a showerhead of a two-stair structure separated by a given distance, which has a first buffer for uniformly distributing a raw material gas and a second buffer for uniformly distributing a plasma gas, wherein a plasma is generated within the showerheads and the raw material gas sprayed into the plasma is constantly maintained, thus forming a uniform thin film on a wafer or a substrate. [0008]
  • Also, another object of the present invention is to provide a showerhead apparatus for radical-assisted deposition capable of forming a thin film of a high quality at a low-temperature process while simplifying the structure of two-stair showerheads having a first buffer and a second buffer. [0009]
  • In order to accomplish the above object, the present invention provides a showerhead apparatus for radical-assisted deposition comprising a raw material gas spray means including a first buffer which is divided into upper and lower layers for uniformly distributing a gas introduced from a raw material gas injection tube, wherein a plurality of raw material gas spray holes for spraying the raw material gas distributed within the first buffer at a given flow rate is formed at a lower plate of the spray means; a plasma generating gas spray means including a second buffer for uniformly distributing a plasma generating gas between with the raw material gas spray means, wherein a plurality of plasma generating gas spray holes and through holes for spraying the plasma generating gas distributed within the second buffer are formed at a lower plate of the spray means, respectively; a guide means for communicating the raw material gas spray holes in the raw material gas spray means and the through holes in the plasma generating gas spray means and for inducing the raw material gas and the plasma generating gas so that they are not mixed; and a RF generating means mounted at one outside side of the raw material gas spray means, wherein a RF rod for applying an outside RF power is included at a lower plate of the RF generating means.[0010]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The aforementioned aspects and other features of the present invention will be explained in the following description, taken in conjunction with the accompanying drawings, wherein: [0011]
  • FIG. 1[0012] a is a schematic view illustrating a conventional plasma chemical vapor deposition apparatus;
  • FIG. 1[0013] b is a front view for illustrating a showerhead having a conventional plasma generator;
  • FIG. 2 is a front view of a showerhead apparatus for radical-assisted deposition according to one embodiment of the present invention; and [0014]
  • FIG. 3 is a plan view of a bottom plate of a second showerhead being an integral part of the present invention.[0015]
  • DETAILED DESCRIPTION OF THE INVENTION
  • A preferred embodiment of the present invention will be described in detail with reference to accompanying FIGS. 2 and 3. [0016]
  • A showerhead apparatus for radical-assisted deposition according to the present invention sprays separately a raw material gas and a plasma generating gas, wherein the plasma is used to activate the raw material gas, thus improving uniformity and the quality of a thin film. As shown in FIG. 2, the showerhead apparatus includes an [0017] upper plate 11 formed at an internal upper side of the chamber 10 for performing a process and having a first heater 12 for uniformly maintaining the temperature of a raw material gas therein, a lower plate 13 the side of which is fixed at the chamber 10, for supporting the upper plate 11, a raw material injection tube 14 formed at the central portion of the upper plate 11, for supplying the raw material gas through it and a mass flow controller 27 formed at a given position of the raw material gas injection tube 14, for controlled the inflow of the gas.
  • Also, a [0018] first buffer 15 a for uniformly distributing the gas introduced from the raw material gas injection tube 14 is formed within the upper plate 11. Further, a first showerhead 15, wherein a plurality of raw material gas spray holes 15 c through which the raw material gas distributed within the first buffer 15 a is sprayed at a given flow rate are formed, is formed at a lower plate 15 b.
  • Here, the [0019] lower plate 15 b of the first showerhead 15 is formed of an electrode plate, from which plasma is generated by RF applied from a RF power supply to be explained later. The first showerhead 15 has the first buffer 15 a that is divided into upper and lower spaces. Further the first showerhead 15 includes a middle plate 16 having a plurality of exhaust holes 16 a for uniformly distributing the raw material gas distributed into the upper space of the showerhead into the lower space of the showerhead, and a support pin 17 erectly formed at the circumferential face of the lower plate 15 b in the first shower head 15 for supporting the middle plate 16 in order to form a lower space between the middle plate 16 and itself.
  • At the lower portion of the [0020] first showerhead 15 is formed a second buffer 18 a for uniformly distributing the flow of the plasma generating gas between itself and the first showerhead 15. Also, there is formed a second showerhead 18 for spraying the plasma generating gas distributed within the second buffer 18 a, wherein a plurality of plasma generating gas spray holes 18 c are formed at the lower plate 18 b of the second showerhead 18. Then, as shown in FIG. 3, a through hole 18 d facing the hole 15 c formed at the lower plate 15 b of the first showerhead 15 is formed around the spray holes 18 c formed at the lower plate 18 b of the second showerhead 18.
  • In addition, a [0021] RF power 19 having a RF rod 19 a and a RF connector 19 b which apply an outside RF power supply to the lower plate 15 b of the first showerhead 15 is formed to be uprightly through the upper plate 11 at one side of the first showerhead 15.
  • Meanwhile, in order for the raw material gas and the plasma generating gas not to be mixed together, a [0022] guide tube 20 made of an insulator, one side of which is mounted at the raw material gas spray hole 15 c in the first showerhead 15 and the other side of which passes through the through hole 18 d in the second showerhead 18, is formed.
  • A first [0023] insulating member 21 is formed at a circumferential face of the RF rod 19 a in the RF power 19, and a second insulating member 22 for covering the first buffer 15 a is formed between the upper portion of the first buffer 15 a and the upper plate 11 in the first showerhead 15. Also, a third insulating member 23 is formed at a circumferential face of the second buffer 18 a in the second showerhead 18 in order to keep the upper and lower width of the second buffer 18 a. At this time, the second and third insulating members 22 and 23 are supported by the lower plate 13 and the first to third insulating members 21, 22 and 23 function to electrically insulate the RF power supply applied to the lower plate 15 b in the first showerhead 15.
  • Further, a plasma [0024] gas inlet tube 24 for supplying a plasma gas into the second buffer 18 a of the second showerhead 18 is formed at one circumferential side of the lower plate 15 b in the first showerhead 15. Also, a plasma gas buffer 25 is formed at a lower portion of which a plasma gas distribution hole 25 a is formed at one circumferential side of the lower plate 15 b in the first showerhead 15 so that the plasma gas buffer 25 can communicate with the plasma gas inlet tube 24. A gas passage 26 for introducing the plasma gas into the second buffer 18 a along with the plasma gas distribution hole 25 a is formed between the lower plate 15 b and the third insulating member 23, is formed.
  • An [0025] unexplained reference numeral 31 indicates an exhaust port for exhausting the gas within the chamber 10 toward the outside, 32 indicates a wafer or a substrate on which a raw material gas activated by the plasma generating gas sprayed from the second showerhead 18 is deposited to form a thin film and 33 indicates a second heater supporting the substrate 32, for providing a given thermal source to the substrate 32.
  • An embodiment of the present invention constructed above will be in detail explained below. [0026]
  • First, if the gas supplied from the plasma generating [0027] gas inlet tube 24 is introduced into the second buffer 18 a in the second showerhead 18 via the plasma gas distribution hole 25 a in the gas buffer 25 and the gas passage 26, the electric power from the RF power 19 is applied to the lower plate 15 b in the first showerhead 15 via the RF rod 19 a, thus generating a plasma. The plasma thus generated is sprayed into the substrate 32 via the plasma generating gas spray holes 18 c from at the lower plate 18 b in the second showerhead 18 while is maintained at a constant fluid pressure within the second buffer 18 a. Also, the raw material gas from the raw material gas injection tube 14 is introduced into the upper space formed at the first buffer 15 a of the first showerhead 15. Then, this gas is again introduced into the lower space in the first buffer 15 a via the holes 16 a in the middle plate 16, so that the fluid pressure of the raw material gas is uniformly distributed. At this time, the heater built in the upper plate 11 constantly maintains the temperature of the raw material gas distributed within the first buffer 15 a of the first showerhead 15.
  • Then, the raw material gas distributed within the [0028] first buffer 15 a in the first showerhead 15 is sprayed onto the substrate 32 via the spray holes 15 c formed at the lower plate 15 b of the first showerhead 15 and the guide tube 20 communicating with the through hole 18 d formed at the lower plate 18 b.
  • As mentioned above, the raw material gas passed through the [0029] guide tube 20 after being distributed into the first buffer 15 and the neutral radical of the plasma generating gas passed through the spray holes 18 c in the second showerhead 18 are sprayed onto the substrate with the two being separated to each other. During this process, reaction of the raw material gas with the neutral radical is prevented. Thus, vapor reaction that becomes a major reason in generation of particles can be prohibited, and a thin film having a uniform and good quality can be formed on the wafer or the substrate 32.
  • The present invention has been described with reference to a particular embodiment in connection with a particular application. Those having ordinary skill in the art and access to the teachings of the present invention will recognize additional modifications and applications within the scope thereof. [0030]
  • It is therefore intended by the appended claims to cover any and all such applications, modifications, and embodiments within the scope of the present invention. [0031]
  • As mentioned above, the present invention provides a two-stair showerhead structure in which the plasma generating section and the raw material gas spray section are incorporated. Thus, the present invention can prevent collision and injection of ions and electros, which caused a problem in a deposition process using conventional plasma generation. Also, introduction of impurities such as carbon (C), hydrogen (H), chlorine (Cl), brome (Br), etc. and a large quantity of particles, which existed when a metal organic source or a metal inorganic source is used a raw material gas, can be prevented. In addition, as the passage through which the radical generated from the showerhead apparatus is introduced into the chamber can be maintained at its minimum, the efficiency of radical can be maximized. Also, according to the present invention, as a thin film of a high quality can be formed at a low-temperature process, reliability of the process can be improved and the throughput of the product can be increased. [0032]

Claims (9)

What is claimed:
1. A showerhead apparatus for radical-assisted deposition, comprising:
a raw material gas spray means including a first buffer which is divided into upper and lower layers for uniformly distributing a gas introduced from a raw material gas injection tube, wherein a plurality of raw material gas spray holes for spraying said raw material gas distributed within said first buffer at a given flow rate is formed at a lower plate of said spray means;
a plasma generating gas spray means including a second buffer for uniformly distributing a plasma generating gas between with said raw material gas spray means, wherein a plurality of plasma generating gas spray holes and through holes for spraying said plasma generating gas distributed within said second buffer are formed at a lower plate of said spray means, respectively;
a guide means for communicating said raw material gas spray holes in said raw material gas spray means and said through holes in said plasma generating gas spray means and for inducing said raw material gas and said plasma generating gas so that they are not mixed; and
a RF generating means mounted at one outside side of said raw material gas spray means, wherein a RF rod for applying an outside RF power is included at a lower plate of said RF generating means.
2. The showerhead apparatus for radical-assisted deposition according to
claim 1
, wherein said raw material gas spray means further includes a middle plate for dividing said first buffer into upper and lower spaces and for uniformly distributing said raw material gas distributed into said upper space into said lower space.
3. The showerhead apparatus for radical-assisted deposition according to
claim 2
, further including a support pin erectly formed at the circumferential face of said lower plate in said raw material gas spray means, for supporting said middle plate in order to form a lower space between with said middle plate.
4. The showerhead apparatus for radical-assisted deposition according to
claim 1
, wherein the lower plate of said raw material gas spray means is made of an electrode plate.
5. The showerhead apparatus for radical-assisted deposition according to
claim 1
, further including:
a first insulating member formed at a circumferential face of said RF rod generating means;
a second insulating member formed to cover the upper portion of said first buffer in sad raw material gas spray means; and
a third insulating member formed at a circumferential face of said second buffer in said plasma generating gas spray means, for maintaining the upper and below width of said second buffer,
wherein said first through third insulating member electrically insulate said RF power applied to the lower plate in the raw material gas spray means.
6. The showerhead apparatus for radical-assisted deposition according to
claim 5
, further including:
a upper plate formed to surround the outer side of said second insulating member; and
a lower plate, the side of which is fixed at a chamber, for supporting said upper plate and said third insulating member.
7. The showerhead apparatus for radical-assisted deposition according to
claim 6
, further including a heating means built in said upper plate, for maintaining the raw material gas distributed in said first buffer of said raw material gas spray means at a constant temperature.
8. The showerhead apparatus for radical-assisted deposition according to any one of claims 1 through 7, further including:
a raw material gas injection tube for supplying a raw material gas into said first buffer of said raw material gas spray means through said upper plate and said second insulting member;
a mass flow controller formed at a given position of said raw material gas injection tube, for controlling the gas introduced into said first buffer; and
a plasma gas guide tube for supplying a plasma gas into said second buffer of said plasma generating gas supply means through one circumferential face of said raw material gas spray means.
9. The showerhead apparatus for radical-assisted deposition according to any one of claims 1 through 7, further including:
a plasma gas buffer formed at one circumferential face of the lower plate in said raw material gas spray means and communicating with said plasma gas injection tube, wherein plasma gas distribution holes are formed at the bottom of said plasma gas buffer; and
a gas passage formed between the lower plate of said raw material gas spray means and said third insulating member, for introducing a plasma gas into said second buffer through said plasma gas distribution holes.
US09/776,004 2000-02-16 2001-02-02 Showerhead apparatus for radical-assisted deposition Expired - Lifetime US6435428B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR2000-7366 2000-02-16
KR10-2000-0007366A KR100378871B1 (en) 2000-02-16 2000-02-16 showerhead apparatus for radical assisted deposition
KR00-7366 2000-02-16

Publications (2)

Publication Number Publication Date
US20010042799A1 true US20010042799A1 (en) 2001-11-22
US6435428B2 US6435428B2 (en) 2002-08-20

Family

ID=19647045

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/776,004 Expired - Lifetime US6435428B2 (en) 2000-02-16 2001-02-02 Showerhead apparatus for radical-assisted deposition

Country Status (3)

Country Link
US (1) US6435428B2 (en)
JP (1) JP3762233B2 (en)
KR (1) KR100378871B1 (en)

Cited By (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US20030221780A1 (en) * 2002-01-26 2003-12-04 Lei Lawrence C. Clamshell and small volume chamber with fixed substrate support
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040144431A1 (en) * 2003-01-29 2004-07-29 Joseph Yudovsky Rotary gas valve for pulsing a gas
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20050031797A1 (en) * 2003-07-01 2005-02-10 Hideaki Matsuyama Method and apparatus for forming hard carbon film
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1577420A1 (en) * 2004-03-17 2005-09-21 Anelva Corporation Vacuum processing apparatus with showerhead
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7422637B2 (en) 2002-10-09 2008-09-09 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20080223523A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
FR2930561A1 (en) * 2008-04-28 2009-10-30 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682984B2 (en) 2003-09-26 2010-03-23 Applied Materials, Inc. Interferometer endpoint monitoring device
US7695563B2 (en) 2001-07-13 2010-04-13 Applied Materials, Inc. Pulsed deposition process for tungsten nucleation
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7779784B2 (en) 2002-01-26 2010-08-24 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7871470B2 (en) 2003-03-12 2011-01-18 Applied Materials, Inc. Substrate support lift mechanism
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20110272099A1 (en) * 2008-05-02 2011-11-10 Oerlikon Trading Ag, Truebbach Plasma processing apparatus and method for the plasma processing of substrates
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8123860B2 (en) 2002-01-25 2012-02-28 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US20150007771A1 (en) * 2011-07-12 2015-01-08 Aixtron Se Gas inlet member of a cvd reactor
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US20150099340A1 (en) * 2013-10-09 2015-04-09 Globalfoundries Inc. Methods for preventing oxidation damage during finfet fabrication
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
CN104962879A (en) * 2009-03-16 2015-10-07 奥塔装置公司 Vapor deposition reactor systems and methods thereof
US20170009347A1 (en) * 2015-07-07 2017-01-12 Asm Ip Holding B.V. Thin film deposition apparatus
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
CN108597979A (en) * 2018-06-05 2018-09-28 梁亚 A kind of semiconductor production plasma etching machine
CN108672162A (en) * 2018-08-07 2018-10-19 耒阳市奇宏林业有限公司 A kind of bamboo mat production liquid-jet device
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US20210025048A1 (en) * 2019-07-26 2021-01-28 Applied Materials, Inc. Evaporator chamber for forming films on substrates
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
CN112908886A (en) * 2019-11-19 2021-06-04 夏泰鑫半导体(青岛)有限公司 Semiconductor processing equipment
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
KR100419756B1 (en) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 Thin-film deposition apparatus
JP4791637B2 (en) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
KR100423954B1 (en) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 Chemical Vapor Deposition Method
CN1302152C (en) * 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP3991315B2 (en) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 Thin film forming apparatus and method
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
JP4399206B2 (en) * 2003-08-06 2010-01-13 株式会社アルバック Thin film manufacturing equipment
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP4231417B2 (en) * 2004-01-07 2009-02-25 パナソニック株式会社 Substrate processing apparatus and cleaning method thereof
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
KR100743840B1 (en) * 2004-11-03 2007-07-30 주식회사 뉴파워 프라즈마 Plasma reaction chamber with a built-in magnetic core
KR100731164B1 (en) * 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
KR100734775B1 (en) * 2005-09-15 2007-07-04 주식회사 아이피에스 Showerhead
WO2007056098A2 (en) * 2005-11-03 2007-05-18 Spraying Systems Co. Electrostatic spray assembly
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR100668745B1 (en) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 Method for forming the transistor of semiconductor device
CN100451163C (en) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
KR101316749B1 (en) * 2007-03-08 2013-10-08 주식회사 원익아이피에스 Apparatus and method of radical assist deposition
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US8263502B2 (en) * 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) * 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
KR101085455B1 (en) * 2009-06-10 2011-11-21 주식회사 에스에프에이 Chemical vapor deposition apparatus for manufacturing thin-film solar cells
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
CN102193565A (en) * 2010-03-19 2011-09-21 上海微电子装备有限公司 Air bath temperature control device and method
US9004006B2 (en) * 2010-04-28 2015-04-14 Applied Materials, Inc. Process chamber lid design with built-in plasma source for short lifetime species
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
JP5259804B2 (en) * 2011-11-08 2013-08-07 シャープ株式会社 Vapor growth apparatus and vapor growth method
KR101214860B1 (en) * 2012-03-06 2012-12-24 주성엔지니어링(주) Gas injection apparatus
US9315899B2 (en) * 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
KR101451244B1 (en) * 2013-03-22 2014-10-15 참엔지니어링(주) Liner assembly and substrate processing apparatus having the same
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US20150348755A1 (en) * 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
WO2017062087A1 (en) * 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN110050333B (en) * 2016-12-08 2023-06-09 应用材料公司 Temporal atomic layer deposition processing chamber
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR102576220B1 (en) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 Thin Film Processing Appartus and Method
CN113853449B (en) * 2019-05-31 2023-10-10 应用材料公司 Method and system for forming film on substrate
CN110306171B (en) * 2019-06-28 2023-09-08 郑州磨料磨具磨削研究所有限公司 Deposition chamber with improved gas distribution and MPCVD device
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
KR20220031700A (en) 2019-07-12 2022-03-11 어플라이드 머티어리얼스, 인코포레이티드 Robot for simultaneous board transfer

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4877757A (en) * 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
KR100243446B1 (en) * 1997-07-19 2000-02-01 김상호 Showerhead apparatus having plasma generating portions

Cited By (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US8114789B2 (en) 2001-02-02 2012-02-14 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9012334B2 (en) 2001-02-02 2015-04-21 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9587310B2 (en) 2001-03-02 2017-03-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7695563B2 (en) 2001-07-13 2010-04-13 Applied Materials, Inc. Pulsed deposition process for tungsten nucleation
US10280509B2 (en) 2001-07-16 2019-05-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US8123860B2 (en) 2002-01-25 2012-02-28 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20030221780A1 (en) * 2002-01-26 2003-12-04 Lei Lawrence C. Clamshell and small volume chamber with fixed substrate support
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7779784B2 (en) 2002-01-26 2010-08-24 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
SG125085A1 (en) * 2002-04-19 2006-09-29 Nordson Corp Plasma treatment system
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US7228873B2 (en) 2002-07-19 2007-06-12 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040067641A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7422637B2 (en) 2002-10-09 2008-09-09 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040144308A1 (en) * 2003-01-29 2004-07-29 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US20040144431A1 (en) * 2003-01-29 2004-07-29 Joseph Yudovsky Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7871470B2 (en) 2003-03-12 2011-01-18 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20050031797A1 (en) * 2003-07-01 2005-02-10 Hideaki Matsuyama Method and apparatus for forming hard carbon film
US7682984B2 (en) 2003-09-26 2010-03-23 Applied Materials, Inc. Interferometer endpoint monitoring device
EP1577420A1 (en) * 2004-03-17 2005-09-21 Anelva Corporation Vacuum processing apparatus with showerhead
US7981216B2 (en) 2004-03-17 2011-07-19 Canon Anelva Corporation Vacuum processing apparatus
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
EP1622187A3 (en) * 2004-07-29 2006-06-07 Asm Japan K.K. Dual-chamber plasma processing apparatus
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7972978B2 (en) 2005-08-26 2011-07-05 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US7699295B2 (en) 2005-10-07 2010-04-20 Applied Materials, Inc. Ampoule splash guard apparatus
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
DE112007002179B4 (en) * 2006-09-16 2021-06-17 Korea Institute Of Industrial Technology Chemical vapor deposition device with a shower head for positively regulating the rate of injection of reactive gases and methods therefor
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US8882913B2 (en) * 2006-09-16 2014-11-11 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080223523A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US8758551B2 (en) 2007-03-12 2014-06-24 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US8480849B2 (en) 2007-03-12 2013-07-09 Tokyo Electron Limited Substrate processing apparatus and electrode structure
US8282770B2 (en) * 2007-03-12 2012-10-09 Tokyo Electron Limited Substrate processing apparatus and electrode structure
FR2930561A1 (en) * 2008-04-28 2009-10-30 Altatech Semiconductor DEVICE AND METHOD FOR CHEMICAL TREATMENT IN STEAM PHASE.
WO2009136019A3 (en) * 2008-04-28 2009-12-30 Altatech Semiconductor Device and process for chemical vapor phase treatment
US20110272099A1 (en) * 2008-05-02 2011-11-10 Oerlikon Trading Ag, Truebbach Plasma processing apparatus and method for the plasma processing of substrates
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
CN104962879A (en) * 2009-03-16 2015-10-07 奥塔装置公司 Vapor deposition reactor systems and methods thereof
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US20150007771A1 (en) * 2011-07-12 2015-01-08 Aixtron Se Gas inlet member of a cvd reactor
US9587312B2 (en) * 2011-07-12 2017-03-07 Aixtron Se Gas inlet member of a CVD reactor
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10453696B2 (en) 2012-10-12 2019-10-22 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9324841B2 (en) * 2013-10-09 2016-04-26 Globalfoundries Inc. Methods for preventing oxidation damage during FinFET fabrication
US20150099340A1 (en) * 2013-10-09 2015-04-09 Globalfoundries Inc. Methods for preventing oxidation damage during finfet fabrication
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20170009347A1 (en) * 2015-07-07 2017-01-12 Asm Ip Holding B.V. Thin film deposition apparatus
US10822695B2 (en) 2015-07-07 2020-11-03 Asm Ip Holding B.V. Thin film deposition apparatus
US10662525B2 (en) * 2015-07-07 2020-05-26 Asm Ip Holding B.V. Thin film deposition apparatus
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108597979A (en) * 2018-06-05 2018-09-28 梁亚 A kind of semiconductor production plasma etching machine
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11306393B2 (en) 2018-07-31 2022-04-19 Applied Materials, Inc. Methods and apparatus for ALD processes
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
CN108672162A (en) * 2018-08-07 2018-10-19 耒阳市奇宏林业有限公司 A kind of bamboo mat production liquid-jet device
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210025048A1 (en) * 2019-07-26 2021-01-28 Applied Materials, Inc. Evaporator chamber for forming films on substrates
US11692261B2 (en) * 2019-07-26 2023-07-04 Applied Materials, Inc. Evaporator chamber for forming films on substrates
CN114144540A (en) * 2019-07-26 2022-03-04 应用材料公司 Evaporator chamber for forming a film on a substrate
CN112908886A (en) * 2019-11-19 2021-06-04 夏泰鑫半导体(青岛)有限公司 Semiconductor processing equipment

Also Published As

Publication number Publication date
JP3762233B2 (en) 2006-04-05
KR20010081563A (en) 2001-08-29
JP2001262352A (en) 2001-09-26
US6435428B2 (en) 2002-08-20
KR100378871B1 (en) 2003-04-07

Similar Documents

Publication Publication Date Title
US6435428B2 (en) Showerhead apparatus for radical-assisted deposition
KR100243446B1 (en) Showerhead apparatus having plasma generating portions
US7410676B2 (en) Chemical vapor deposition method
KR100423953B1 (en) Chemical Vapor Deposition Apparatus
US6015591A (en) Deposition method
KR100782369B1 (en) Device for making semiconductor
EP1154040B1 (en) Reduction of plasma edge effect on plasma enhanced CVD processes
KR100646017B1 (en) A showerhead using multi-hollows cathode of a type of gas separation
KR20090071002A (en) Atomic layer deposition apparatus having palasma generating portion
KR20140034115A (en) Dual delivery chamber design
KR20140115795A (en) Liner assembly and substrate processing apparatus having the same
KR100423954B1 (en) Chemical Vapor Deposition Method
KR101123829B1 (en) Substrate treating apparatus
KR101121202B1 (en) Plasma enhanced chemical vapor deposition apparatus capable of supplying process gas using multichannel
KR100377096B1 (en) Semiconductor fabricating apparatus having improved shower head
KR100682077B1 (en) Surface processing apparatus using neutral beam and method at the same
KR101227571B1 (en) Gas injection Assembly and apparatus for processing substrate
KR100483282B1 (en) Chemical Vapor Deposition Apparatus
KR20060107683A (en) Chemical vapor deposition apparatus
KR100457455B1 (en) Chemical Vapor Deposition Apparatus which deposition-speed control is possible
KR20040014760A (en) Semiconductor device fabrication apparatus having multi-hole angled gas injection system and semiconductor device fabrication method using the same
KR20100071604A (en) Apparatus for high density plasma chemical vapor deposition with nozzle capable of controlling spray angle
KR20060082400A (en) System and method for inductive coupling of an expanding thermal plasma
JP2000269141A (en) Plasma treatment device
KR20080061807A (en) Surface processing apparatus for substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: APEX CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, JAE HO;SHIN, IN CHEL;PARK, SANG JOON;AND OTHERS;REEL/FRAME:011528/0021

Effective date: 20010129

AS Assignment

Owner name: APEX CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, JAE HO;SHIN, IN CHEL;PARK, SANG JOON;AND OTHERS;REEL/FRAME:011975/0721

Effective date: 20010622

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: IPS LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:APEX CO. LTD., NOW BY CHANGE OF NAME DIGIWAVETECH CORP.;REEL/FRAME:017206/0308

Effective date: 20060215

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: ATTO CO., LTD., KOREA, REPUBLIC OF

Free format text: MERGER;ASSIGNOR:IPS LTD.;REEL/FRAME:027218/0383

Effective date: 20101230

AS Assignment

Owner name: WONIK IPS CO., LTD., KOREA, REPUBLIC OF

Free format text: CHANGE OF NAME;ASSIGNOR:ATTO CO., LTD.;REEL/FRAME:027231/0896

Effective date: 20110324

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: WONIK IPS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:WONIK IPS CO., LTD.;REEL/FRAME:038523/0270

Effective date: 20160421