US20010003063A1 - Electrochemical cobalt silicide liner for metal contact fills and damascene processes - Google Patents

Electrochemical cobalt silicide liner for metal contact fills and damascene processes Download PDF

Info

Publication number
US20010003063A1
US20010003063A1 US09/740,189 US74018900A US2001003063A1 US 20010003063 A1 US20010003063 A1 US 20010003063A1 US 74018900 A US74018900 A US 74018900A US 2001003063 A1 US2001003063 A1 US 2001003063A1
Authority
US
United States
Prior art keywords
cobalt
layer
hole
liner
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/740,189
Other versions
US6420784B2 (en
Inventor
Yongjun Hu
Li Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Round Rock Research LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/740,189 priority Critical patent/US6420784B2/en
Publication of US20010003063A1 publication Critical patent/US20010003063A1/en
Application granted granted Critical
Publication of US6420784B2 publication Critical patent/US6420784B2/en
Assigned to ROUND ROCK RESEARCH, LLC reassignment ROUND ROCK RESEARCH, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films

Definitions

  • the present invention relates in general to protective metal silicides for use with integrated circuits and methods of making the same, and in particular to silicide liners between a via wall and a metal contact fill.
  • IC integrated circuits
  • layers of insulating, conducting and semiconducting materials are deposited and patterned in sequence.
  • Contact vias or holes are commonly formed in insulating materials known as interlevel dielectrics (ILDs).
  • ILDs interlevel dielectrics
  • the vias are then filled with conductive material, thereby interconnecting electrical devices and wiring at various levels.
  • damascene processing involve etching trenches in insulating layers in a desired pattern for a wiring layer. These trenches are then filled with conductive material to produce the integrated wires. Where contact vias, extending downwardly from the bottom of the trenches, are simultaneously filled, the process is known as dual damascene.
  • Conductive elements such as gates, capacitors, contacts, runners and wiring layers, must each be electrically isolated from one another for proper IC operation. In addition to interlevel dielectrics surrounding contacts, care must be taken to avoid conductive diffusion and spiking, which can cause undesired shorts between devices and contacts.
  • Protective liners are often formed between via or trench walls and metals in a substrate assembly, to aid in confining deposited material within the via or trench walls. Liners are practically required for certain severe metal deposition processes, such as hot metal reflow and forcefill, particularly in damascene and dual damascene interconnect applications. Protective layers are similarly applied to transistor active areas and other circuit elements to which contacts are formed.
  • Candidate materials for protective layers should demonstrate good adhesion with materials on either side, such as via walls and metal fillers. Processes should be available for depositing the material with good step coverage into deep, high-aspect ratio vias or trenches. Perhaps most importantly, the liner should serve as an effective diffusion barrier.
  • liners have been formed of metal nitrides, such as TiN, for which chemical vapor deposition (CVD) processes have been developed. As is known in the art, CVD is particularly well adapted to conformally depositing into deep vias and trenches.
  • a conformal liner effectively further increases the aspect ratio, by reducing the narrow width of the via without a proportionate reduction in height.
  • thinner via liners With ever smaller available volume within contact vias, it is desirable to provide thinner via liners, which would not only facilitate filling the via, but would also leave more room for more highly conductive filler metals. Thinning the liner, however, generally reduces the liner's effectiveness in performing its general function of protecting against metal diffusion or spiking, due to the risk of incomplete via wall coverage and the ability of metals and contaminants to more easily diffuse through thin liners.
  • the present invention provides a thin cobalt suicide layer and a method of forming such a layer as a liner within a high aspect ratio hole.
  • a method for lining a hole, such as a via or a trench, in an integrated circuit.
  • the method includes depositing a silicon layer into the hole.
  • a cobalt seed layer is deposited onto the silicon layer within the hole, and a supplemental cobalt layer is electroplated onto the cobalt seed layer. Thereafter, the cobalt layers are reacted with the silicon layer to form a cobalt silicide liner along the hole sidewalls and floor.
  • the process creates a liner which can be used with a via having a high aspect ratio.
  • the liner is readily integrated with existing metallization technology, and particularly with newer hot metal and forcefill applications.
  • a protective liner is provided between a highly conducting metal element in an integrated circuit and an interlevel dielectric.
  • the liner includes a CoSi x layer with a thickness of less than about 300 ⁇ .
  • FIG. 3 shows the substrate assembly of FIG. 2 after deposition of a conformal silicon layer
  • FIG. 4 shows the substrate assembly of FIG. 3 after deposition of a cobalt seed layer into the via and over the silicon layer;
  • FIG. 5 shows the substrate assembly of FIG. 4 after electroplating of a fuller cobalt layer onto the seed layer
  • FIG. 6 shows the substrate assembly of FIG. 5 after an anneal step, forming a cobalt silicide liner
  • FIG. 8 shows the liner of FIG. 7 after the via has been filled with a highly conductive metal.
  • Nitridation of metal silicides to form metal silicon nitrides can reduce grain size from 30-40 nm (300-400 ⁇ ) to the nanometer or even amorphous range.
  • conventional nitride or silicide liners need to be greater than about 500 ⁇ , particularly for newer copper damascene, hot metal reflow, and metal forcefill processes. See, e.g., R. Iggulden et al., “Dual Damascene Aluminum for 1-Gbit DRAMs,” SOLID STATE TECHNOLOGY (November 1998), p.
  • nitrogen or oxygen content in liners disadvantageously affects subsequent metallization processes.
  • Aluminum for example, effectively fills high aspect ratios when deposited slowly at high temperatures (e.g., about 450° C.) in hot metal reflow processes.
  • High nitrogen or oxygen content raises the reflow temperature considerably, increasing costs and risking thermally induced damage to lower integrated structures.
  • Cobalt silicide has the potential to serve as an effective via or trench liner due to several advantageous characteristics.
  • As a fine grain material with grain sizes as low as 50 ⁇ to 70 ⁇ , even very thin CoSi x layers form effective diffusion barriers, adequate to contain even fast-diffusing elements such as copper. Additionally, CoSi x can be formed with low oxygen and nitrogen content, facilitating subsequent lower temperature metal fills.
  • FIG. 1 schematically illustrates a process flow for forming a conductive liner in accordance with a preferred embodiment of the invention.
  • the process begins with formation of a contact via through an insulating layer. It will be understood that the same process may be applied to trenches, such as in damascene metallization process flows.
  • the via is then lined with silicon and a thin cobalt seed layer applied to the lining silicon. A thicker layer of cobalt can then be electroplated onto the structure, followed by silicidation anneal.
  • the underlying silicon is consumed in the process, to leave a cobalt silicide layer lining the insulating walls of the via. Excess elemental cobalt is then selectively removed from over the silicide, and the CoSi x -lined via is filled with metal to complete the contact.
  • the illustrated circuit element exposed by the etch comprises a contact landing pad of an underlying conductive runner or wiring layer 18 .
  • the conductive layer preferably comprises copper or aluminum, though the skilled artisan will appreciate that other conductive materials may be suitable, depending upon the function and desired conductivity of the circuit element.
  • the illustrated embodiment preferably includes an antireflective layer 16 (e.g., TiN), through which the via 14 preferably extends.
  • a silicon layer 20 is then deposited over the substrate assembly 10 and into the sidewalls of the via 14 .
  • the silicon layer is conformally deposited by low pressure chemical vapor deposition (LPCVD).
  • Silicon source gas such as silane (SiH 4 ), bubbled dichlorosilane (DCS) or trichlorosilane (TCS) are introduced into a reaction chamber.
  • the silicon source gas reacts with the substrate assembly 10 , which is mounted and heated within the chamber, to leave silicon at the surface.
  • the illustrated silicon layer 20 is deposited at low temperatures, preferably between about 450° C. and 550° C. and more preferably about 505-525° C., such that the silicon layer 20 is amorphous.
  • the amorphous silicon layer 20 is thus adequately conductive for the process purposes, without the need for complicated and limiting doping processes.
  • the silicon layer 20 is relatively thin, preferably between about 50 ⁇ and 500 ⁇ , and more preferably less than about 300 ⁇ , and most preferably between about 150 ⁇ and 200 ⁇ , depending upon the desired thickness of the CoSi x liner to be formed.
  • cobalt deposition is accomplished by sputtering a pure cobalt target.
  • sputtering may be carried out in an Endura 5500 PVD IITM processing chamber, commercially available from Applied Materials of Santa Clara, Calif.
  • the illustrated cobalt layer 22 can be formed by sputtering in the exemplary chamber with an RF power of about 1 kW to 2 kW for about 8-12 seconds.
  • RF power about 1 kW to 2 kW for about 8-12 seconds.
  • a supplemental cobalt layer 24 is deposited over the seed layer 22 .
  • sufficient cobalt is provided, in combination with the seed layer 22 , to fully consume the underlying silicon layer 20 .
  • at least about 100 ⁇ of cobalt is preferably deposited into the via 14 , and more preferably between about 200 ⁇ and 800 ⁇ , with an exemplary target of about 500 ⁇ .
  • the illustrated amorphous silicon layer 20 and cobalt seed layer 22 enable growth of the cobalt supplemental layer 24 by electroplating. Because electroplating ensures good coverage of all interior via 14 surfaces, and because the final liner thickness is limited by the thickness of the silicon layer 20 , there is no real upper limit to the thickness of the supplemental layer 24 . Accordingly, the supplemental layer 24 can be deposited to completely fill or overfill the via 14 .
  • formation of the supplemental layer 24 is achieved by immersing the substrate assembly 10 into a solution of cobalt and hydrochloric acid. Both chlorine and cobalt ionize in the solution, according to the following formula:
  • the cobalt ions receive electrons at the negatively biased substrate assembly 10 , leaving elemental cobalt over the seed layer 22 .
  • the chlorine ions do not attack any of the exposed materials, including silicon, silicon oxide, BPSG or other ILD material.
  • the substrate assembly 10 is subjected to an anneal to react the silicon layer 20 with cobalt in the seed layer 22 and supplemental layer 24 , forming a cobalt silicide liner 26 .
  • the anneal is conducted at between about 450° C. and 850° C., and more preferably between about 600° C. and 650° C., for about 10-30 seconds, more preferably for about 20 seconds.
  • the cobalt silicide layer 26 desirably has the form CoSi x , where x is less than 2. Desirably, this material exhibits a lattice mismatch of less than about 3%.
  • the silicide layer 26 is barely thicker than the silicon layer 20 consumed by the reaction.
  • the CoSi x layer 26 is preferably between about 50 ⁇ and 500 ⁇ , and more preferably less than about 300 ⁇ , and most preferably between about 150 ⁇ and 200 ⁇ ,
  • the growth of the silicide is limited by the thickness of the silicon layer 20 , such that a layer of unreacted or excess cobalt 28 typically remains over the silicide layer 26 .
  • the excess cobalt 28 can be removed by a selective metal etch, the result of which is shown in FIG. 7.
  • the cobalt suicide layer 26 is left lining the walls of the via 14 .
  • the via 14 can be filled with a conductive metal filler 30 , completing the contact and providing sufficiently high conductivity for signal propagation between the lower circuit element 16 and upper levels of wiring.
  • the conductive metal filler 30 comprises copper, which is known to be highly conductive and inexpensive.
  • the cobalt silicide liner 26 serves as a good barrier against copper diffusion.
  • suitable metals include aluminum, Al/Cu alloy, Al/Ti alloy, Al/Si alloy, and Al/Ge alloy.
  • the metal filler 30 of the illustrated embodiment comprises a metal deposited by hot metal reflow or forcefill processes.
  • Hot metal reflow involves slow deposition of metal at close to the metal transition point (e.g., about 450° C. for aluminum), and is more fully described in R. Iggulden et al., “Dual Damascene Aluminum for 1-Gbit DRAMs,” SOLID STATE TECHNOLOGY (November 1998), p. 37, the disclosure of which is incorporated herein by reference.
  • Forcefill applications involve extremely high pressures (e.g., 200-300 atm.), literally forcing deposited metal into the via 14 . Forcefill processes are more fully described in Z.
  • FIG. 8 it will be understood, is merely schematic.
  • the contact formation may be planarized leave a contact plug, or it may be followed by photolithographic patterning and etching to define wiring layers in the portions of the cobalt suicide layer 26 and filler 30 above the ILD 12 . More preferably, however, the inventive process is applied to the high aspect ratio vias and trenches employed in damascene or dual damascene constructions.
  • the contact described above and illustrated in FIG. 8 extends from the bottom of a trench, which has been etched into an ILD in a desired wiring pattern. Specifically, after the ILD is etched to form trenches, the via 14 is etched from a trench floor down to a lower conductive element. The effective aspect ratio of the via 14 is thus particularly high.
  • the contact forming process described above is conducted on the via 14 and the trench (not shown) formed above the via 14 .
  • the cobalt silicide layer 26 lines both the via 14 and the walls of the overlying trench, and the metal filler 30 fills, and preferably overfills, both the via 14 and the overlying trench.
  • the metal is subsequently planarized or etched back so that the metal 26 , 30 remains isolated in paths within the trenches and vias.

Abstract

A liner material and method of use is disclosed. The method includes depositing a silicon layer into a deep void, such as a via or trench, and physical vapor depositing a cobalt seed layer onto the silicon. A supplemental cobalt layer is electroplated over the seed layer. The structure is then annealed, forming cobalt silicide (CoSix). The layer can be made very thin, facilitating further filling the via with highly conductive metals. Advantageously, the layer is devoid of oxygen and nitrogen, and thus allows low temperature metal reflows in filling the via. The liner material has particular utility in a variety of integrated circuit metallization processes, such as damascene and dual damascene processes.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates in general to protective metal silicides for use with integrated circuits and methods of making the same, and in particular to silicide liners between a via wall and a metal contact fill. [0002]
  • 2. Description of the Related Art [0003]
  • When fabricating integrated circuits (IC), layers of insulating, conducting and semiconducting materials are deposited and patterned in sequence. Contact vias or holes are commonly formed in insulating materials known as interlevel dielectrics (ILDs). The vias are then filled with conductive material, thereby interconnecting electrical devices and wiring at various levels. Similarly, damascene processing involve etching trenches in insulating layers in a desired pattern for a wiring layer. These trenches are then filled with conductive material to produce the integrated wires. Where contact vias, extending downwardly from the bottom of the trenches, are simultaneously filled, the process is known as dual damascene. [0004]
  • Conductive elements, such as gates, capacitors, contacts, runners and wiring layers, must each be electrically isolated from one another for proper IC operation. In addition to interlevel dielectrics surrounding contacts, care must be taken to avoid conductive diffusion and spiking, which can cause undesired shorts between devices and contacts. Protective liners are often formed between via or trench walls and metals in a substrate assembly, to aid in confining deposited material within the via or trench walls. Liners are practically required for certain severe metal deposition processes, such as hot metal reflow and forcefill, particularly in damascene and dual damascene interconnect applications. Protective layers are similarly applied to transistor active areas and other circuit elements to which contacts are formed. [0005]
  • Candidate materials for protective layers should demonstrate good adhesion with materials on either side, such as via walls and metal fillers. Processes should be available for depositing the material with good step coverage into deep, high-aspect ratio vias or trenches. Perhaps most importantly, the liner should serve as an effective diffusion barrier. Typically, liners have been formed of metal nitrides, such as TiN, for which chemical vapor deposition (CVD) processes have been developed. As is known in the art, CVD is particularly well adapted to conformally depositing into deep vias and trenches. [0006]
  • Continued miniaturization of integrated circuits, in pursuit of faster and more efficient circuit operation, results in contact vias having ever higher aspect ratios (defined as the ratio of height to width of the via). Continued scaling of critical device dimensions leads to more narrow contacts, while contact height cannot be proportionately decreased. ILDs must be maintained at a adequate thickness to avoid short circuits and interlevel capacitance, which tends to tie up electrical carriers and slow signal propagation speed. Accordingly, the aspect ratios of contact vias and trenches inevitably increase as circuit designs are scaled down. As is known in the art, high aspect ratio vias and trenches are very difficult to fill conformally, that is, without forming keyholes which can adversely affect conductivity of the contacts. [0007]
  • A conformal liner effectively further increases the aspect ratio, by reducing the narrow width of the via without a proportionate reduction in height. With ever smaller available volume within contact vias, it is desirable to provide thinner via liners, which would not only facilitate filling the via, but would also leave more room for more highly conductive filler metals. Thinning the liner, however, generally reduces the liner's effectiveness in performing its general function of protecting against metal diffusion or spiking, due to the risk of incomplete via wall coverage and the ability of metals and contaminants to more easily diffuse through thin liners. [0008]
  • Conventional liner materials and processes for forming them have been found unsatisfactory for advanced generation fabrication technology, which dictates extremely high aspect ratios and attending harsh metallization processes. [0009]
  • Accordingly, there is a need for improved processes and materials for protective liners in contact vias and runner trenches. Desirably, such processes should also be compatible with conventional fabrication techniques, and thereby easily integrated with existing technology. [0010]
  • SUMMARY OF THE INVENTION
  • Briefly stated, the present invention provides a thin cobalt suicide layer and a method of forming such a layer as a liner within a high aspect ratio hole. [0011]
  • In accordance with one aspect of the invention, a method is provided for lining a hole, such as a via or a trench, in an integrated circuit. The method includes depositing a silicon layer into the hole. A cobalt seed layer is deposited onto the silicon layer within the hole, and a supplemental cobalt layer is electroplated onto the cobalt seed layer. Thereafter, the cobalt layers are reacted with the silicon layer to form a cobalt silicide liner along the hole sidewalls and floor. [0012]
  • Advantageously, the process creates a liner which can be used with a via having a high aspect ratio. Also, the liner is readily integrated with existing metallization technology, and particularly with newer hot metal and forcefill applications. [0013]
  • In accordance with another aspect of the present invention, a protective liner is provided between a highly conducting metal element in an integrated circuit and an interlevel dielectric. The liner includes a CoSi[0014] x layer with a thickness of less than about 300 Å.
  • In accordance with another aspect of the invention, a method is disclosed for forming a protective cobalt silicide layer in an integrated circuit. The method includes providing an undoped, amorphous silicon layer. A cobalt seed layer is deposited over the silicon layer by physical vapor deposition, and an additional cobalt layer electroplated over the cobalt seed layer. The cobalt is then reacted with the silicon layer. [0015]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other aspects, features and advantages of this invention will be apparent from the detailed description of the preferred embodiment and the accompanying drawings, which are intended to illustrate and not to limit the invention. Like reference numerals are employed to designate like parts throughout the figures, wherein: [0016]
  • FIG. 1 is a flow diagram illustrating the basic steps of a process for forming a via or trench liner, in accordance with a preferred embodiment of the present invention; [0017]
  • FIG. 2 is a partial elevational cross-section of a partially fabricated integrated circuit or substrate assembly, showing a conventional interlevel dielectric and a via therethrough, exposing a conductive circuit element beneath the via; [0018]
  • FIG. 3 shows the substrate assembly of FIG. 2 after deposition of a conformal silicon layer; [0019]
  • FIG. 4 shows the substrate assembly of FIG. 3 after deposition of a cobalt seed layer into the via and over the silicon layer; [0020]
  • FIG. 5 shows the substrate assembly of FIG. 4 after electroplating of a fuller cobalt layer onto the seed layer; [0021]
  • FIG. 6 shows the substrate assembly of FIG. 5 after an anneal step, forming a cobalt silicide liner; [0022]
  • FIG. 7 shows the substrate assembly of FIG. 6 after excess elemental cobalt has been removed; and [0023]
  • FIG. 8 shows the liner of FIG. 7 after the via has been filled with a highly conductive metal. [0024]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The preferred embodiments are illustrated in the context of an integrated contact for electrically connecting a lower conductive circuit element to an upper wire or runner in an integrated circuit. The disclosed processes and materials have particular utility in the context of damascene and dual damascene metallization. The skilled artisan will readily appreciate, however, that the materials and methods disclosed herein will have application in a number of other contexts where thin conductive liners are desirable in high aspect ratio trenches or vias. [0025]
  • Conventional via or trench liners comprise metal nitrides, and most typically titanium nitride (TiN), for which effective CVD processes are known. Metal suicides are also employed in addition to or in place of metal nitrides, for more effective adhesion to insulating material of the via or trench sidewalls, and for lower contact resistivity with underlying circuit elements. Conventional metal nitrides and silicides, however, each demonstrate grain sizes of at least 200-300 Å. Nitridation of metal silicides to form metal silicon nitrides (e.g., TiSi[0026] xNy, TaSixNy, WSixNy) can reduce grain size from 30-40 nm (300-400 Å) to the nanometer or even amorphous range. Nevertheless, for effective liner function, conventional nitride or silicide liners need to be greater than about 500 Å, particularly for newer copper damascene, hot metal reflow, and metal forcefill processes. See, e.g., R. Iggulden et al., “Dual Damascene Aluminum for 1-Gbit DRAMs,” SOLID STATE TECHNOLOGY (November 1998), p. 37; Z. Hong et al., “High Pressure Aluminum-plug Interconnects with Improved Electromigration by Microstructural Modification,” VMIC PROCEEDINGS (Jun. 18-20, 1996), p. 449. Such liners occupy a considerable portion of vias in current and future generation circuit designs, making it difficult, if not impossible, to fill the lined vias with highly conductive metals.
  • Moreover, nitrogen or oxygen content in liners disadvantageously affects subsequent metallization processes. Aluminum, for example, effectively fills high aspect ratios when deposited slowly at high temperatures (e.g., about 450° C.) in hot metal reflow processes. High nitrogen or oxygen content, however, such as in metal nitride or metal silicon nitride liners, raises the reflow temperature considerably, increasing costs and risking thermally induced damage to lower integrated structures. [0027]
  • Cobalt silicide (CoSi[0028] x) has the potential to serve as an effective via or trench liner due to several advantageous characteristics. As a fine grain material, with grain sizes as low as 50 Å to 70 Å, even very thin CoSix layers form effective diffusion barriers, adequate to contain even fast-diffusing elements such as copper. Additionally, CoSix can be formed with low oxygen and nitrogen content, facilitating subsequent lower temperature metal fills.
  • Unfortunately, satisfactory chemical vapor deposition (CVD) techniques have yet to be developed for CoSi[0029] x. Unlike other metal halides, cobalt chlorides and cobalt fluorides are relatively nonvolatile, making deposition of CoSix difficult with conventional CVD methods. Accordingly, conformal deposition techniques are required before CoSix can serve as a realistic liner for present technology metallization.
  • FIG. 1 schematically illustrates a process flow for forming a conductive liner in accordance with a preferred embodiment of the invention. As shown, the process begins with formation of a contact via through an insulating layer. It will be understood that the same process may be applied to trenches, such as in damascene metallization process flows. The via is then lined with silicon and a thin cobalt seed layer applied to the lining silicon. A thicker layer of cobalt can then be electroplated onto the structure, followed by silicidation anneal. The underlying silicon is consumed in the process, to leave a cobalt silicide layer lining the insulating walls of the via. Excess elemental cobalt is then selectively removed from over the silicide, and the CoSi[0030] x-lined via is filled with metal to complete the contact.
  • The process will now be described in detail with reference to FIGS. [0031] 2-8.
  • A partially fabricated integrated circuit or [0032] substrate assembly 10 is shown in FIG. 2. The structure is formed above a substrate (not shown), which may comprise a single-crystal wafer or other semiconductive layer in which active or operable portions of electrical devices are formed. An interlevel dielectric (ILD) 12 is formed above the substrate. Typical ILD materials include oxides formed from tetraethylorthosilicate (TEOS), borophosphosilicate glass (BPSG), polyamide, etc., and the illustrated ILD 12 comprises BPSG. The ILD 12 has a thickness adequate to electrically insulate underlying conductors from overlying conductors, which depends upon circuit design and operational parameters. In the illustrated embodiment, where the substrate assembly represents a 64 Mbit dynamic random access memory (DRAM) circuit, the ILD 12 is preferably between about 0.40 μm and 0.60 μm.
  • A contact via or [0033] hole 14 is etched through the ILD 12 to expose an underlying conductive circuit element. In the illustrated embodiment, the via 14 is narrow due to circuit design constraints. Preferably, the via 14 has a width of less than about 0.25 μm, more preferably less than about 0.20 μm, resulting in aspect ratios greater than about 0.5, preferably greater than about 8, and more preferably greater than about 10. Conventional photolithographic techniques may be employed to define the via 14, and anisotropic etching (e.g., reactive ion etching) is preferred for producing vertical via sidewalls.
  • The illustrated circuit element exposed by the etch comprises a contact landing pad of an underlying conductive runner or [0034] wiring layer 18. The conductive layer preferably comprises copper or aluminum, though the skilled artisan will appreciate that other conductive materials may be suitable, depending upon the function and desired conductivity of the circuit element. The illustrated embodiment preferably includes an antireflective layer 16 (e.g., TiN), through which the via 14 preferably extends.
  • With reference to FIG. 3, a [0035] silicon layer 20 is then deposited over the substrate assembly 10 and into the sidewalls of the via 14. Preferably, the silicon layer is conformally deposited by low pressure chemical vapor deposition (LPCVD). Silicon source gas, such as silane (SiH4), bubbled dichlorosilane (DCS) or trichlorosilane (TCS), are introduced into a reaction chamber. The silicon source gas reacts with the substrate assembly 10, which is mounted and heated within the chamber, to leave silicon at the surface. The illustrated silicon layer 20 is deposited at low temperatures, preferably between about 450° C. and 550° C. and more preferably about 505-525° C., such that the silicon layer 20 is amorphous. The amorphous silicon layer 20 is thus adequately conductive for the process purposes, without the need for complicated and limiting doping processes. The silicon layer 20 is relatively thin, preferably between about 50 Å and 500 Å, and more preferably less than about 300 Å, and most preferably between about 150 Å and 200 Å, depending upon the desired thickness of the CoSix liner to be formed.
  • Referring to FIG. 4, a [0036] cobalt seed layer 22 is deposited onto the silicon layer 20. In accordance with the illustrated embodiment, the seed layer 22 is very thin, preferably between about 5 Å to 150 Å, more preferably between about 50 Å and 100 Å, and need not be contiguous or fully cover the silicon layer 20. Only a small amount of cobalt needs to reach into the via 14, and particularly at the bottom of the via 14. Advantageously, therefore, the cobalt can be deposited by conventional physical vapor deposition.
  • In the preferred embodiment, cobalt deposition is accomplished by sputtering a pure cobalt target. For example, such sputtering may be carried out in an Endura 5500 PVD II™ processing chamber, commercially available from Applied Materials of Santa Clara, Calif. The illustrated [0037] cobalt layer 22 can be formed by sputtering in the exemplary chamber with an RF power of about 1 kW to 2 kW for about 8-12 seconds. The skilled artisan will readily appreciate that other deposition techniques may be equally viable.
  • Referring now to FIG. 5, after the [0038] seed layer 22 is deposited onto the layer 20, a supplemental cobalt layer 24 is deposited over the seed layer 22. Preferably, sufficient cobalt is provided, in combination with the seed layer 22, to fully consume the underlying silicon layer 20. Accordingly, in the illustrated embodiment, at least about 100 Å of cobalt is preferably deposited into the via 14, and more preferably between about 200 Å and 800 Å, with an exemplary target of about 500 Å.
  • Advantageously, the illustrated [0039] amorphous silicon layer 20 and cobalt seed layer 22 enable growth of the cobalt supplemental layer 24 by electroplating. Because electroplating ensures good coverage of all interior via 14 surfaces, and because the final liner thickness is limited by the thickness of the silicon layer 20, there is no real upper limit to the thickness of the supplemental layer 24. Accordingly, the supplemental layer 24 can be deposited to completely fill or overfill the via 14.
  • In the illustrated embodiment, formation of the [0040] supplemental layer 24 is achieved by immersing the substrate assembly 10 into a solution of cobalt and hydrochloric acid. Both chlorine and cobalt ionize in the solution, according to the following formula:
  • Co+2 HCl→Co2++2 Cl+H2   (Eq. 1)
  • The cobalt ions receive electrons at the negatively biased [0041] substrate assembly 10, leaving elemental cobalt over the seed layer 22. Desirably, the chlorine ions do not attack any of the exposed materials, including silicon, silicon oxide, BPSG or other ILD material.
  • Referring to FIG. 6, after electroplating, the [0042] substrate assembly 10 is subjected to an anneal to react the silicon layer 20 with cobalt in the seed layer 22 and supplemental layer 24, forming a cobalt silicide liner 26. Preferably, the anneal is conducted at between about 450° C. and 850° C., and more preferably between about 600° C. and 650° C., for about 10-30 seconds, more preferably for about 20 seconds. The cobalt silicide layer 26 desirably has the form CoSix, where x is less than 2. Desirably, this material exhibits a lattice mismatch of less than about 3%. Accordingly, the silicide layer 26 is barely thicker than the silicon layer 20 consumed by the reaction. In accordance with the illustrated embodiment, therefore, the CoSix layer 26 is preferably between about 50 Å and 500 Å, and more preferably less than about 300 Å, and most preferably between about 150 Å and 200 Å,
  • As noted, the growth of the silicide is limited by the thickness of the [0043] silicon layer 20, such that a layer of unreacted or excess cobalt 28 typically remains over the silicide layer 26. As is well known in the art, the excess cobalt 28 can be removed by a selective metal etch, the result of which is shown in FIG. 7. The cobalt suicide layer 26 is left lining the walls of the via 14.
  • Referring to FIG. 8, after the etch forms the liner boundaries, the via [0044] 14 can be filled with a conductive metal filler 30, completing the contact and providing sufficiently high conductivity for signal propagation between the lower circuit element 16 and upper levels of wiring. Most preferably, the conductive metal filler 30 comprises copper, which is known to be highly conductive and inexpensive. Advantageously, the cobalt silicide liner 26 serves as a good barrier against copper diffusion. Other suitable metals include aluminum, Al/Cu alloy, Al/Ti alloy, Al/Si alloy, and Al/Ge alloy.
  • In recognition of the high aspect ratios of the via [0045] 14, the metal filler 30 of the illustrated embodiment comprises a metal deposited by hot metal reflow or forcefill processes. Hot metal reflow involves slow deposition of metal at close to the metal transition point (e.g., about 450° C. for aluminum), and is more fully described in R. Iggulden et al., “Dual Damascene Aluminum for 1-Gbit DRAMs,” SOLID STATE TECHNOLOGY (November 1998), p. 37, the disclosure of which is incorporated herein by reference. Forcefill applications involve extremely high pressures (e.g., 200-300 atm.), literally forcing deposited metal into the via 14. Forcefill processes are more fully described in Z. Hong et al., “High Pressure Aluminum-plug Interconnects with Improved Electromigration by Microstructural Modification,” VMIC PROCEEDINGS (Jun. 18-20, 1996), p. 449, the disclosure of which is incorporated herein by reference.
  • FIG. 8, it will be understood, is merely schematic. The contact formation may be planarized leave a contact plug, or it may be followed by photolithographic patterning and etching to define wiring layers in the portions of the [0046] cobalt suicide layer 26 and filler 30 above the ILD 12. More preferably, however, the inventive process is applied to the high aspect ratio vias and trenches employed in damascene or dual damascene constructions.
  • In accordance with dual damascene embodiments, the contact described above and illustrated in FIG. 8 extends from the bottom of a trench, which has been etched into an ILD in a desired wiring pattern. Specifically, after the ILD is etched to form trenches, the via [0047] 14 is etched from a trench floor down to a lower conductive element. The effective aspect ratio of the via 14 is thus particularly high.
  • The contact forming process described above is conducted on the via [0048] 14 and the trench (not shown) formed above the via 14. Thus, the cobalt silicide layer 26 lines both the via 14 and the walls of the overlying trench, and the metal filler 30 fills, and preferably overfills, both the via 14 and the overlying trench. The metal is subsequently planarized or etched back so that the metal 26, 30 remains isolated in paths within the trenches and vias.
  • Although this invention has been described in terms of a certain preferred embodiment and suggested possible modifications thereto, other embodiments and modifications may suggest themselves and be apparent to those of ordinary skill in the art are also within the spirit and scope of this invention. Accordingly, the scope of this invention is intended to be defined by the claims which follow. [0049]

Claims (23)

We claim:
1. A method of lining a hole in an integrated circuit, comprising:
depositing a silicon layer into a hole in an interlevel dielectric of a substrate assembly, the hole comprising sidewalls and a floor;
depositing a cobalt seed layer onto the silicon layer within the hole;
electroplating a supplemental cobalt layer onto the cobalt seed layer; and
reacting the supplemental and seed cobalt layers with the silicon layer to form a cobalt silicide liner along the hole sidewalls and floor.
2. The method of
claim 1
, wherein the silicon layer comprises undoped silicon.
3. The method of
claim 1
, wherein the silicon layer comprises amorphous silicon.
4. The method of
claim 3
, wherein the silicon layer has a thickness between about 50 Å and 500 Å.
5. The method of
claim 1
, further comprising removing an unreacted portion of the supplemental cobalt layer after reacting the supplemental and seed cobalt layers with the silicon layer.
6. The method of
claim 1
, wherein depositing the cobalt seed layer comprises a physical vapor deposition.
7. The method of
claim 6
, wherein the cobalt seed layer has a thickness between about 5 Å and 150 Å.
8. The method of
claim 1
, wherein the cobalt seed layer has a thickness between about 50 Å and 100 Å.
9. The method of
claim 1
, wherein the seed layer is noncontiguous.
10. The method of
claim 1
, wherein depositing the cobalt seed layer comprises sputtering a cobalt target.
11. The method of
claim 1
, wherein the hole comprises a contact via through the interlevel dielectric.
12. The method of
claim 11
, wherein the via extends downwardly from the floor of a trench.
13. The method of
claim 1
, wherein electroplating the supplemental cobalt layer comprises immersing the via in a solution of cobalt and hydrochloric acid.
14. The method of
claim 1
, wherein the supplemental cobalt layer at least fills the hole.
15. The method of
claim 1
, wherein the cobalt silicide liner has a thickness of less than about 300 Å.
16. The method of
claim 15
, wherein the cobalt suicide liner has a thickness between about 150 Å and 200 Å.
17. The method of
claim 1
, wherein the hole has an aspect via greater than about 2.
18. The method of
claim 17
, wherein the hole has an aspect via greater than about 8.
19. The method of
claim 18
, wherein the hole has an aspect via greater than about 10.
20. A method of forming a protective cobalt silicide layer in an integrated circuit, the method comprising:
providing an undoped, amorphous silicon layer;
depositing a cobalt seed layer over the silicon layer by physical vapor deposition;
electroplating an additional cobalt layer over the cobalt seed layer; and
reacting the cobalt with the silicon layer.
21. The method of
claim 20
, wherein depositing the cobalt seed layer comprises sputtering a pure cobalt target.
22. A protective liner interposed between a highly conducting metal element in an integrated circuit and an interlevel dielectric, the liner comprising a CoSix layer having a thickness of less than about 300 Å.
23. The liner of
claim 20
, wherein the CoSix layer has a thickness of between about 150 Å and 200 Å.
US09/740,189 1999-04-16 2000-12-19 Electrochemical cobalt silicide liner for metal contact fills and damascene processes Expired - Lifetime US6420784B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/740,189 US6420784B2 (en) 1999-04-16 2000-12-19 Electrochemical cobalt silicide liner for metal contact fills and damascene processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/293,212 US6194315B1 (en) 1999-04-16 1999-04-16 Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US09/740,189 US6420784B2 (en) 1999-04-16 2000-12-19 Electrochemical cobalt silicide liner for metal contact fills and damascene processes

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US09/293,212 Continuation US6194315B1 (en) 1999-04-16 1999-04-16 Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US09/293,212 Division US6194315B1 (en) 1999-04-16 1999-04-16 Electrochemical cobalt silicide liner for metal contact fills and damascene processes

Publications (2)

Publication Number Publication Date
US20010003063A1 true US20010003063A1 (en) 2001-06-07
US6420784B2 US6420784B2 (en) 2002-07-16

Family

ID=23128165

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/293,212 Expired - Lifetime US6194315B1 (en) 1999-04-16 1999-04-16 Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US09/740,189 Expired - Lifetime US6420784B2 (en) 1999-04-16 2000-12-19 Electrochemical cobalt silicide liner for metal contact fills and damascene processes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/293,212 Expired - Lifetime US6194315B1 (en) 1999-04-16 1999-04-16 Electrochemical cobalt silicide liner for metal contact fills and damascene processes

Country Status (1)

Country Link
US (2) US6194315B1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US20070161170A1 (en) * 2005-12-16 2007-07-12 Orlowski Marius K Transistor with immersed contacts and methods of forming thereof
KR20110059741A (en) * 2008-08-29 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 Cobalt deposition on barrier surfaces
US20130069161A1 (en) * 2011-09-15 2013-03-21 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
US8698318B2 (en) * 2010-01-07 2014-04-15 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
KR20160112980A (en) * 2015-03-19 2016-09-28 램 리써치 코포레이션 Chemistry additives and process for cobalt film electrodeposition
US9704717B2 (en) 2014-03-19 2017-07-11 Applied Materials, Inc. Electrochemical plating methods
US10079177B1 (en) * 2017-09-01 2018-09-18 United Microelectronics Corp. Method for forming copper material over substrate
US10177030B2 (en) * 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225218B1 (en) * 1995-12-20 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US7358578B2 (en) 2001-05-22 2008-04-15 Renesas Technology Corporation Field effect transistor on a substrate with (111) orientation having zirconium oxide gate insulation and cobalt or nickel silicide wiring
US6900119B2 (en) 2001-06-28 2005-05-31 Micron Technology, Inc. Agglomeration control using early transition metal alloys
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US6728093B2 (en) * 2002-07-03 2004-04-27 Ramtron International Corporation Method for producing crystallographically textured electrodes for textured PZT capacitors
US7211502B2 (en) * 2003-03-26 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7119024B2 (en) * 2003-07-10 2006-10-10 Micron Technology, Inc. Method and structure for a self-aligned silicided word line and polysilicon plug during the formation of a semiconductor device
CN100346454C (en) * 2004-04-22 2007-10-31 复旦大学 A metallized contact layer structure of silicon based device and method for making same
US7396762B2 (en) * 2006-08-30 2008-07-08 International Business Machines Corporation Interconnect structures with linear repair layers and methods for forming such interconnection structures
KR100937945B1 (en) * 2009-08-05 2010-01-21 주식회사 아토 Method of manufacturing a semiconductor device
US8946028B2 (en) * 2009-10-06 2015-02-03 International Business Machines Corporation Merged FinFETs and method of manufacturing the same
US8492899B2 (en) 2010-10-14 2013-07-23 International Business Machines Corporation Method to electrodeposit nickel on silicon for forming controllable nickel silicide
US20120153483A1 (en) * 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
DE102010064288B4 (en) 2010-12-28 2012-12-06 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Semiconductor device having contact elements with silicided sidewall regions
US9601430B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US20160309596A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Methods for forming cobalt interconnects
US9397145B1 (en) 2015-05-14 2016-07-19 Micron Technology, Inc. Memory structures and related cross-point memory arrays, electronic systems, and methods of forming memory structures
US9711454B2 (en) 2015-08-29 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through via structure for step coverage improvement
US10438847B2 (en) * 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US9768077B1 (en) 2016-06-02 2017-09-19 International Business Machines Corporation Low resistance dual liner contacts for Fin Field-Effect Transistors (FinFETs)
EP3676878A4 (en) 2017-08-31 2020-11-04 Micron Technology, Inc. Semiconductor devices, hybrid transistors, and related methods
JP7124059B2 (en) 2017-08-31 2022-08-23 マイクロン テクノロジー,インク. Semiconductor devices, transistors, and related methods for contacting metal oxide semiconductor devices
US10340183B1 (en) 2018-01-02 2019-07-02 Globalfoundries Inc. Cobalt plated via integration scheme
WO2020060751A1 (en) 2018-09-18 2020-03-26 Applied Materials, Inc. In-situ integrated chambers

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4378628A (en) 1981-08-27 1983-04-05 Bell Telephone Laboratories, Incorporated Cobalt silicide metallization for semiconductor integrated circuits
US5047367A (en) 1990-06-08 1991-09-10 Intel Corporation Process for formation of a self aligned titanium nitride/cobalt silicide bilayer
KR960004095B1 (en) * 1993-02-17 1996-03-26 Hyundai Electronics Ind Manufacturing method of metal plug in contact-hole
US5529953A (en) 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US6060387A (en) * 1995-11-20 2000-05-09 Compaq Computer Corporation Transistor fabrication process in which a contact metallization is formed with different silicide thickness over gate interconnect material and transistor source/drain regions
US6016012A (en) * 1996-11-05 2000-01-18 Cypress Semiconductor Corporation Thin liner layer providing reduced via resistance
US6054385A (en) * 1997-01-31 2000-04-25 Advanced Micro Devices, Inc. Elevated local interconnect and contact structure
US6245658B1 (en) * 1999-02-18 2001-06-12 Advanced Micro Devices, Inc. Method of forming low dielectric semiconductor device with rigid, metal silicide lined interconnection system
US6265271B1 (en) * 2000-01-24 2001-07-24 Taiwan Semiconductor Manufacturing Company Integration of the borderless contact salicide process

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8314448B2 (en) * 2005-12-16 2012-11-20 Freescale Semiconductor, Inc. Transistors with immersed contacts
US7968394B2 (en) * 2005-12-16 2011-06-28 Freescale Semiconductor, Inc. Transistor with immersed contacts and methods of forming thereof
US8633515B2 (en) 2005-12-16 2014-01-21 Freescale Semiconductor, Inc. Transistors with immersed contacts
US20110210395A1 (en) * 2005-12-16 2011-09-01 Freescale Semiconductor, Inc. Transistors with immersed contacts
US20070161170A1 (en) * 2005-12-16 2007-07-12 Orlowski Marius K Transistor with immersed contacts and methods of forming thereof
KR20110059741A (en) * 2008-08-29 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 Cobalt deposition on barrier surfaces
KR101599488B1 (en) 2008-08-29 2016-03-07 어플라이드 머티어리얼스, 인코포레이티드 Cobalt deposition on barrier surfaces
US8698318B2 (en) * 2010-01-07 2014-04-15 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US8877645B2 (en) * 2011-09-15 2014-11-04 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
US20130069161A1 (en) * 2011-09-15 2013-03-21 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
US9379198B2 (en) 2011-09-15 2016-06-28 Globalfoundries Inc. Integrated circuit structure having selectively formed metal cap
US9704717B2 (en) 2014-03-19 2017-07-11 Applied Materials, Inc. Electrochemical plating methods
KR101784997B1 (en) * 2014-03-19 2017-10-12 어플라이드 머티어리얼스, 인코포레이티드 Electrochemical plating methods
KR101820002B1 (en) * 2014-03-19 2018-01-18 어플라이드 머티어리얼스, 인코포레이티드 Electrochemical plating methods
KR20160112980A (en) * 2015-03-19 2016-09-28 램 리써치 코포레이션 Chemistry additives and process for cobalt film electrodeposition
KR102546220B1 (en) * 2015-03-19 2023-06-22 램 리써치 코포레이션 Chemistry additives and process for cobalt film electrodeposition
US10177030B2 (en) * 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
US10079177B1 (en) * 2017-09-01 2018-09-18 United Microelectronics Corp. Method for forming copper material over substrate

Also Published As

Publication number Publication date
US6194315B1 (en) 2001-02-27
US6420784B2 (en) 2002-07-16

Similar Documents

Publication Publication Date Title
US6194315B1 (en) Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US7256123B2 (en) Method of forming an interface for a semiconductor device
US7030012B2 (en) Method for manufacturing tungsten/polysilicon word line structure in vertical DRAM
EP0279588B1 (en) Contact in a contact hole in a semiconductor and method of producing same
US6482735B1 (en) Method for improved metal fill by treatment of mobility layers
US6287964B1 (en) Method for forming a metallization layer of a semiconductor device
US20020019127A1 (en) Interconnect structure and method of making
KR100360396B1 (en) Method for forming contact structure of semiconductor device
US20080099921A1 (en) Semiconductor device and method of fabricating the same
US7223689B2 (en) Methods for forming a metal contact in a semiconductor device in which an ohmic layer is formed while forming a barrier metal layer
US20060237821A1 (en) Interconnects including members integral with bit lines, as well as metal nitride and metal silicide, and methods for fabricating interconnects and semiconductor device structures including the interconnects
US7790611B2 (en) Method for FEOL and BEOL wiring
US6137180A (en) Low cost DRAM metallization
US20010053586A1 (en) Methods for forming metal wiring layers and metal interconnects and metal interconnects formed thereby
US6696368B2 (en) Titanium boronitride layer for high aspect ratio semiconductor devices
US6888252B2 (en) Method of forming a conductive contact
US6159835A (en) Encapsulated low resistance gate structure and method for forming same
US5625231A (en) Low cost solution to high aspect ratio contact/via adhesion layer application for deep sub-half micrometer back-end-of line technology
US6048794A (en) Selective W CVD plug process with a RTA self-aligned W-silicide barrier layer
US6358844B1 (en) Tungsten deposition process with dual-step nucleation
KR20000027932A (en) Method of manufacturing bit line of semiconductor device
KR100197992B1 (en) Forming method for metal wiring in semiconductor device
KR20030001860A (en) Method for forming metal line in semiconductor device
KR20030090872A (en) Method for forming a semiconductor device's contact
JPH053171A (en) Forming method of tungsten plug

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: ROUND ROCK RESEARCH, LLC,NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023786/0416

Effective date: 20091223

Owner name: ROUND ROCK RESEARCH, LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023786/0416

Effective date: 20091223

FPAY Fee payment

Year of fee payment: 12