US20010002582A1 - Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes - Google Patents

Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes Download PDF

Info

Publication number
US20010002582A1
US20010002582A1 US09/769,634 US76963401A US2001002582A1 US 20010002582 A1 US20010002582 A1 US 20010002582A1 US 76963401 A US76963401 A US 76963401A US 2001002582 A1 US2001002582 A1 US 2001002582A1
Authority
US
United States
Prior art keywords
channels
passages
transition
showerhead
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/769,634
Other versions
US6284673B2 (en
Inventor
Scott Dunham
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aixtron Inc
Original Assignee
Dunham Scott William
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dunham Scott William filed Critical Dunham Scott William
Priority to US09/769,634 priority Critical patent/US6284673B2/en
Publication of US20010002582A1 publication Critical patent/US20010002582A1/en
Priority to US09/939,272 priority patent/US20010054391A1/en
Application granted granted Critical
Publication of US6284673B2 publication Critical patent/US6284673B2/en
Assigned to SILICON VALLEY BANK reassignment SILICON VALLEY BANK SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GENUS, INC.
Priority to US10/335,404 priority patent/US6616766B2/en
Assigned to GENUS, INC. reassignment GENUS, INC. RELEASE Assignors: SILICON VALLEY BANK
Assigned to AIXTRON, INC. reassignment AIXTRON, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: GENUS, INC.
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention is in the field of Chemical Vapor Deposition (CVD), including Plasma Enhanced Chemical Vapor Deposition (PECVD) and related processes ,and pertains more particularly to methods and apparatus for controlling flux uniformity for gas delivery.
  • CVD Chemical Vapor Deposition
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • CVD Chemical Vapor Deposition
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • CVD systems use a variety of known apparatus for delivering precursor gases to target substrates.
  • gas delivery schemes for CVD and PECVD processes are designed specifically for one particular application and substrate size. Therefore variations in theme of such delivery apparatus and methods will depend on the particular process parameters and size of substrates being processed in a single reactor.
  • Prior art gas manifolds and diffusers have been manufactured from a variety of materials and are widely varied in design.
  • some gas delivery manifolds are long tubes that are either straight or helical with a plurality of small, often differently sized, gas delivery holes spaced longitudinally along the manifold.
  • Most diffusers and showerheads are basically baffle-type structures having a plurality of holes placed in circular or spiral type arrangements on opposite facing plates or surfaces. Often the holes are contained in a series of expanding radii circles on each plate. Often such apparatus is adapted only for one type of process and cannot be used with other processes using the same CVD equipment.
  • One characteristic that is generally required in CVD gas delivery apparatus is that hole sizes and spacing between the holes is strictly controlled such that a uniform gas distribution or zone is maintained over a particular surface area. Uneven gas flow often results if some holes are inadvertently made too large in comparison with a mean size, or placed in wrong positions. If a larger substrate is used in a same or different chamber, then the gas delivery apparatus must often be exchanged for one that is designed and adapted for the variance in substrate size and/or chamber parameters. Improvements made to manifold and diffuser designs depend largely on empirical methods in the field resulting in numerous cases of product expenditure through batch testing.
  • gas delivered through a typical showerhead covers a diffusion zone inside the chamber that is produced by the array of diffusion holes placed in the showerhead. If a system is designed for processing a 200-mm wafer or wafer batch, the gas diffusion apparatus associated with that system will produce a zone that is optimum for that size. If the wafer size is increased or reduced beyond the fixed zone capability of a particular showerhead, then a new diffusion apparatus must be provided to accommodate the new size. There are typically no conventions for providing more than a few zones or for alternating precursor delivery for differing size substrates in one process.
  • a showerhead diffuser apparatus for a CVD process comprising a first channel region having first plural independent radially-concentric channels and individual gas supply ports from a first side of the apparatus to individual ones of the first channels; a second channel region having second plural independent radially-concentric channels and a pattern of diffusion passages from the second channels to a second side of the apparatus; a transition region between the first channel region and the second channel region having at least one transition gas passage for communicating gas from each first channel in the first region to a corresponding second channel in the second region; and a vacuum seal interface for mounting the showerhead apparatus to a CVD reactor chamber such that the first side and supply ports face away from the reactor chamber and the second side and the patterns of diffusion passages from the second channels open into the reactor chamber.
  • the second side comprises a flat surface such that the diffusion passages from the second channels open into the reactor chamber on a plane.
  • the vacuum seal interface comprises a flange having bolt holes and an o-ring for mounting to and sealing to a wall of the reactor chamber.
  • the supply ports into the first channels and the transition passages from the first channels into second channels are offset in position such that no supply port is aligned with a transition passage.
  • a CVD reactor system comprising a reactor chamber having an opening for a showerhead apparatus; a support in the chamber adjacent the opening, the support for a substrate to be processed; and a showerhead diffuser apparatus for a CVD process, the showerhead having a first channel region having first plural independent radially-concentric channels and individual gas supply ports from a first side of the apparatus to individual ones of the first channels, a second channel region having second plural independent radially-concentric channels and a pattern of diffusion passages from the second channels to a second side of the apparatus, a transition region between the first channel region and the second channel region having at least one transition gas passage for communicating gas from each first channel in the first region to a corresponding second channel in the second region, and a vacuum seal interface for mounting the showerhead apparatus to a CVD reactor chamber such that the first side and supply ports face away from the reactor chamber and the second side and the patterns of diffusion passages from the second channels open into the reactor chamber.
  • the second side comprises
  • a method for distributing gases to a wafer in a CVD coating process comprising steps of (a) introducing gases for the process via individual supply ports into individual ones of plural radially-concentric first channels of a first channel region of a showerhead apparatus; (b) flowing the gases from the first channels via transition passages into corresponding radially-concentric second channels in a second channel region; and (c) diffusing the gases from the second channels through diffusion passages opening through a flat surface of the showerhead apparatus parallel to and adjacent the wafer to be coated.
  • a method for adjusting gas flux distribution over a wafer in a CVD coating operation comprising steps of (a) introducing gases for the coating operation via individual supply ports into individual ones of plural radially-concentric first channels of a first channel region of a showerhead apparatus; (b) flowing the gases from the first channels via transition passages into corresponding radially-concentric second channels in a second channel region; (c) diffusing the gases from the second channels through diffusion passages opening through a flat surface of the showerhead apparatus parallel to and adjacent the wafer to be coated; and (d) adjusting the gas flux distribution over the wafer by individually metering mass flow to individual ones of the individual supply ports to the first channels.
  • a diffuser for the first time a diffuser is provided with flexibility to adjust gas distribution flux in a number of different ways, allowing a diffuser to be dialed-in to account for many gas parameters such as reactivity and the like.
  • Various embodiments of the invention are taught in enabling detail below.
  • FIG. 1 is an isometric view of a multi-zone diffuser according to an embodiment of the present invention.
  • FIG. 2 is a section view of the multi-zone diffuser of FIG. 1 taken along the section line A-A.
  • FIG. 3 is a diagram illustrating upper gas zones and gas transition passage locations according to an embodiment of the present invention.
  • FIG. 4 is a diagram illustrating lower gas zones and gas diffusion passages according to an embodiment of the present invention.
  • FIG. 5 is a block diagram illustrating three gas separation stages in the apparatus of FIG. 1 according to an embodiment of the present invention.
  • FIG. 1 is an isometric view of a multi-zone diffuser 9 according to an embodiment of the present invention.
  • Diffuser 9 is adapted for delivering gas precursors and inert gases for the purpose of depositing films in CVD or CVD-variant processes.
  • Diffuser 9 is an assembly comprising in this embodiment three basic components, being an upper diffusion channel assembly 11 , a gas transition baffle-plate 13 , and a lower diffusion channel assembly 15 .
  • Components 11 , 13 , and 15 are, in a preferred embodiment, rigidly integrated into a whole by brazing or other joining method.
  • Diffuser 9 is designed and adapted to be fitted by a flange and suitable sealing elements to a process reactor (not shown) for the purpose of dispensing process gasses over a suitable substrate within.
  • Diffuser 9 engages through a lid of a single-wafer processing system.
  • a lower portion (not visible in this view) of channel assembly 15 extends into a reactor when diffuser 9 is properly mounted.
  • a plurality of through holes 19 on the flange portion of lower coil-assembly 15 are for bolts used in mounting to a lid of a reactor chamber, and holes 20 are provided for mounting an RF electrode in an alternative embodiment within a reactor for striking and maintaining plasma if required for any purpose, such as (PECVD.
  • Diffuser 9 by virtue of the above-described components, allows metered supply of gases to CVD or CVD-variant processes according to pre-calculated parameters.
  • the features of diffuser 9 are designed to produce multiple radial gas-zones over a target in order to achieve an enhanced uniformity controllability in layer deposition that has not previously been achieved with prior-art systems.
  • Diffuser 9 further provides an ability to supply a wide variety of gases in metered fashion to some or all of the defined gas zones either alternately or in combination. This unique capability allows manufacturers to easily fine-tune layer uniformity in process to achieve optimum and repeatable layer uniformity over simple and complex topologies.
  • Upper coil-assembly 9 has a plurality of gas-supply passages 17 passing through an upper plate-surface. Each supply passage 17 feeds to one of multiple gas zones defined by a plurality of radial channels provided within assembly 11 , shown in further Figs. and descriptions below. Gas supply tubes and fittings adapted to conduct gases to passages 17 are not shown here for simplicity. Coolant delivery tubes 21 (an inlet and an outlet) are provided on the upper surface of coil-assembly 11 and are adapted to allow coolant to circulate through coolant channels in diffuser 9 . More detail about diffuser 9 and internal components is provided below.
  • FIG. 2 is a section view of diffuser 9 of FIG. 1 taken along the section line AA.
  • Upper channel assembly 11 has a plurality of radial gas zones that are of differing diameters and are positioned in spaced concentric fashion. In this example, there are a total of thirteen zones 23 , however there may be more or fewer zones 23 without departing from the spirit and scope of the present invention.
  • Each zone 23 is an independent circular channel, and is supplied by one gas supply passage 17 , four of which are shown in this section view. BY this arrangement different gases may be supplied to different gas zones 23 independently with no gas mixing or crosstalk from one zone to another. Moreover, because there is no crosstalk between individual zones 23 , differing flow pressures may be applied to each specific zone. For example, a low metered flow may be provided to a channel closer to the center of the diffuser while a higher metered flow may be applied to a zone closer to the outer periphery. In addition, zones 23 may be used in alternate fashion. For example, by selectively shutting off gas supply to any one or a combination of gas supply passages 17 , associated zones 23 may be shut off without affecting gas flow to other zones. This allows process operators much more flexibility when introducing separate gases into a process.
  • Lower channel assembly 15 has concentric channels in the same radial geometry as upper channel assembly 11 , and baffle plate 13 , which forms a center portion of diffuser 9 , has a plurality of elongated gas transition passages 25 strategically placed therethrough, feeding gas from each upper channel to a corresponding lower channel.
  • Baffle plate 13 is preferably manufactured of one solid metal piece. There may be any number and spacing of transition passages 25 through baffle element 13 for each pair of upper and lower channels without departing from the spirit and scope of the present invention. For example, an outer channel pair may have many more transition passages than in inner channel pair.
  • Transition passages 25 are significantly elongated by virtue of the thickness of plate 13 and substantially smaller in diameter than supply passages 17 .
  • Transition passages 25 may, as in this example, all be of the same diameter, or may be of differing diameters such as may be determined to effect specific desired gas flow characteristics.
  • zone specific orientation of and number of holes 25 per zone may vary according to calculated determinates, which may be obtained through computer modeling, and are intended to produce optimum uniformity characteristics. These calculated determinates also determine the thickness of baffle assembly 13 , thus defining the length of passages 25 .
  • Channels 27 in assembly 15 are in this embodiment somewhat deeper (height) than channels 23 of assembly 11 . This feature aids in further diffusing of gasses before they are passed into a reactor.
  • a plurality of gas diffusion passages 31 are provided through a lower portion of channel assembly 15 into a reactor. Passages 31 are for allowing gases to pass from channels 27 into the reactor. The gases passing through passages 31 into the reactor are optimally distributed according to pre-determined parameters.
  • the number of gas diffusion passages 31 per channel is typically substantially greater in embodiments of the invention than the number of gas transition passages 25 per channel.
  • an outer-most channel 27 may have three transition passages 25 (inlet to channel) and, perhaps 30 diffusion passages 31 (outlet from channel).
  • an RF barrier ring 29 is provided one for each channel 27 .
  • RF rings 29 are designed and adapted to baffle the passages from channels 27 into the reactor chamber in a manner that a plasma struck in the chamber will not migrate into channels 27 of diffuser 9 .
  • RF rings 29 are made of a suitable electrically-conductive metal, and each RF ring 29 is preferably welded in each channel 27 just above the bottom surface of the channel, leaving space on the sides as shown, so gases passing from each channel 27 into a passage 31 must traverse a convoluted path of dimensions small enough to quench any plasma.
  • rings 29 are formed with three or more dimples facing downward at positions not aligned with passages 31 , the rings are positioned with the bottom surface of these dimples touching a surface slightly above the bottom of the respective channels, and the rings are then spot welded in the bottom of the channels to that mounting surface.
  • Water passages 33 are provided in the walls separating channels 27 in channel assembly 19 allowing water cooling, as substrates to be processed are typically heated to a high temperature on a hearth in the chamber.
  • Tubes 21 provide an inlet and outlet for coolant as previously described
  • diffuser 9 may be manufactured in many different diameters having different numbers of gas zones and channels without departing from the spirit and scope of the present invention.
  • diffuser 9 is manufactured to accommodate a specific semiconductor wafer size, such as a 200 mm or 300 mm wafer.
  • a diffuser made for one wafer size may be used for wafers of a smaller size by closing gas supply to outer channels and tuning gas supply to remaining channels.
  • a diffuser according to embodiments of the present invention may be manufactured according to dimensional determinates derived from computer modeling of gas flow dynamics. In this way, extensive field testing of uniformity characteristics normally required in prior-art process applications can be avoided. However, fine-tuning uniformity characteristics such as by adjusting flow rates to specific gas zones, shutting down certain gas zones, and the like may be practiced during process by operators using diffuser 9 .
  • FIG. 3 is a diagram illustrating arrangement of upper gas channels 23 and exemplary locations of gas transition passages 25 according to an embodiment of the present invention.
  • Channels 23 are in a concentric arrangement in relation to one another as previously described.
  • Each channel 23 communicates with specific gas transition passages 25 , which are machined through baffle-plate 13 .
  • the centermost channel 23 has one gas transition passage 25 .
  • a third channel 23 (counting out from center) has two gas transition passages 25 .
  • Progressing toward the periphery, each successive channel thereafter has three gas transition passages 25 .
  • This specific arrangement in terms of number of passages 25 for each channel 23 is not to be construed as a limitation, but simply that centermost gas channels will typically require less gas flow than outer channels.
  • Transition passages 25 are, in this embodiment, arranged in an equally-spaces formation (120-degree placement) with respect to each channel 23 having three passages per channel. Each formation of transition passages 25 has an offset orientation from passage locations in adjacent channels. This helps to facilitate even gas dispersal from upper channels 23 to lower channels 27 , however, it is not required to practice the present invention.
  • Computer modeling in different embodiments provides optimum data for quantity and positioning of transition passages 25 to facilitate optimum gas flow dynamics.
  • Diffuser 9 provides at least four degrees of freedom for facilitating graduated transition of gases from outer to inner gas channels.
  • One option is regulating passage dimensions for transition passages 25 and by providing a constant number of passages 25 for each channel 23 , with the passages for the channels closer to center having smaller passages and increasing the passage size (diameter) for passages in channels from channel to channel toward the outer diameter of the diffuser.
  • Another option is to provide a constant number of transition passages per channel, but to regulate channel capacity by providing wider channels toward the center and narrower channels toward the outer diameter of the diffuser. Limiting the number of transition passages toward the center, as is shown here, is yet another option. Still another option is simply metering gas flow rates to each independent channel by virtue of channel-independent supply lines.
  • FIG. 4 is a diagram illustrating placement of gas diffusion passages in lower channel-assembly 15 according to an embodiment of the present invention.
  • Each channel 27 has a plurality of equally-spaced diffusion passages arranged in a circular pattern. Only two channels 27 are illustrated herein with diffusion passages 31 to avoid confusion, however, all zones may be assumed to have diffusion passages 31 .
  • a marked difference between the arrangement of transition passages 25 as shown in FIG. 3 and diffusion passages 31 is that there are far more diffusion passages 31 than transition passages 25 .
  • passages 31 are placed one about every 12 degrees or 30 holes 31 per channel 27. Page: 14
  • the hole spacing is not necessarily based on azimuthal location in all embodiments.
  • the holes are based on maintaining a 0.375 distance between any hole and all the holes around it, including the holes on the next higher and/or lower radius.
  • Current design has 69 holes on the outer most zone.
  • the 300 mm based design has 125 on its outer most zone.
  • Zone spacing is based on maintaining the same 0.375 distance.
  • the number of diffusion passages may be more or fewer, and the number per channel may vary as well.
  • FIG. 5 is a diagram illustrating the three gas separation stages utilized by diffuser 9 according to an embodiment of the present invention.
  • Diffuser 9 as previously described, has an upper diffusion stage provided by upper channel assembly 11 . Gas is supplied to upper channel assembly 11 through zone- independent gas-supply lines 17 , represented here by an arrow labeled Gas In. In the upper diffusion stage, gas is introduced and diffuses in channels 23 (FIG. 3) before passing through baffle-plate 13 .
  • a gas transition stage is performed by baffle-plate 13 with transition passages 25 .
  • Gas in channels 23 is further diffused and directed as it passes through plate 13 .
  • a lower diffusion stage is performed in channel assembly 15 In the final stage the gases are further diffused as they pass through lower channel assembly 15 .
  • the introduced gases conform to multiple radial gas zones created therein by virtue of diffusion hole placement and positioning. Also by virtue of the long and convoluted passages of gases into the reactor chamber, the gases finally enter the chamber without any sudden expansion or turbulence. In this way, a substrate may be uniformly interfaced to the gas flux facilitating uniform layer formation. Fine-tuning may be performed to further enhance uniformity by adjusting gas flow to separate channels, using some channels but not others, and so on.

Abstract

A showerhead diffuser apparatus for a CVD process has a first channel region having first plural independent radially-concentric channels and individual gas supply ports from a first side of the apparatus to individual ones of the first channels, a second channel region having second plural independent radially-concentric channels and a pattern of diffusion passages from the second channels to a second side of the apparatus, and a transition region between the first channel region and the second channel region having at least one transition gas passage for communicating gas from each first channel in the first region to a corresponding second channel in the second region The showerhead apparatus has a vacuum seal interface for mounting the showerhead apparatus to a CVD reactor chamber such that the first side and supply ports face away from the reactor chamber and the second side and the patterns of diffusion passages from the second channels open into the reactor chamber. In preferred embodiments the supply ports, transition passages, and diffusion passages into the chamber do not align, and there is a special plasma-quenching ring in each of the second channels preventing plasma ignition within the channels in the showerhead. methods and systems using the showerhead are also taught.

Description

    FIELD OF THE INVENTION
  • The present invention is in the field of Chemical Vapor Deposition (CVD), including Plasma Enhanced Chemical Vapor Deposition (PECVD) and related processes ,and pertains more particularly to methods and apparatus for controlling flux uniformity for gas delivery. [0001]
  • BACKGROUND OF THE INVENTION
  • In the field of Thin Film Technology, used extensively in manufacture of integrated circuits, requirements for thinner deposition layers, better uniformity over larger surfaces, and larger production yields have been, and are, driving forces behind emerging technologies developed by equipment manufactures. As semiconductor devices become smaller and faster, the need for greater uniformity and process control in layer thickness, uniformity, resistivity and other film properties rises dramatically. [0002]
  • Various technologies are well known in the art for applying thin films to substrates in manufacturing steps for integrated circuits (ICs). Among the more established technologies available for applying thin films is Chemical Vapor Deposition (CVD), which includes Plasma Enhanced Chemical Vapor Deposition (PECVD). These are flux-dependent applications requiring specific and uniform substrate temperature and precursors (chemical species) to be in a state of uniformity in the process chamber in order to produce a desired film properties on a substrate surface. These requirements become more critical as substrate size increases, and as device size decreases (i.e. line width) creating a need for more complexity in chamber design and gas flow techniques to maintain adequate uniformity. [0003]
  • CVD systems use a variety of known apparatus for delivering precursor gases to target substrates. Generally speaking, gas delivery schemes for CVD and PECVD processes are designed specifically for one particular application and substrate size. Therefore variations in theme of such delivery apparatus and methods will depend on the particular process parameters and size of substrates being processed in a single reactor. Prior art gas manifolds and diffusers have been manufactured from a variety of materials and are widely varied in design. For example, some gas delivery manifolds are long tubes that are either straight or helical with a plurality of small, often differently sized, gas delivery holes spaced longitudinally along the manifold. Most diffusers and showerheads are basically baffle-type structures having a plurality of holes placed in circular or spiral type arrangements on opposite facing plates or surfaces. Often the holes are contained in a series of expanding radii circles on each plate. Often such apparatus is adapted only for one type of process and cannot be used with other processes using the same CVD equipment. [0004]
  • One characteristic that is generally required in CVD gas delivery apparatus is that hole sizes and spacing between the holes is strictly controlled such that a uniform gas distribution or zone is maintained over a particular surface area. Uneven gas flow often results if some holes are inadvertently made too large in comparison with a mean size, or placed in wrong positions. If a larger substrate is used in a same or different chamber, then the gas delivery apparatus must often be exchanged for one that is designed and adapted for the variance in substrate size and/or chamber parameters. Improvements made to manifold and diffuser designs depend largely on empirical methods in the field resulting in numerous cases of product expenditure through batch testing. [0005]
  • Uniform gas delivery remains a formidable challenge in the CVD processing of substrates. If gas delivery uniformity cannot be strictly controlled, layer thickness will not be uniform. The problem progresses with increased target size and as more layers are added. Moreover, many substrates to be coated already have a complex topology introducing a requirement for uniform step coverage. PECVD in many cases has advantages over CVD in step coverage by virtue of delivering more reactive chemical precursors, energized by the plasma. However, to this date, methods for gas delivery in CVD, including PECVD type systems, have much room for improvement. [0006]
  • One problem with many diffusing showerhead systems relates to limited gas flow dynamics and control capability. For example, gas delivered through a typical showerhead covers a diffusion zone inside the chamber that is produced by the array of diffusion holes placed in the showerhead. If a system is designed for processing a 200-mm wafer or wafer batch, the gas diffusion apparatus associated with that system will produce a zone that is optimum for that size. If the wafer size is increased or reduced beyond the fixed zone capability of a particular showerhead, then a new diffusion apparatus must be provided to accommodate the new size. There are typically no conventions for providing more than a few zones or for alternating precursor delivery for differing size substrates in one process. [0007]
  • In an environment wherein different sizes of substrates are commonly processed, it is desired that diffusing methods and apparatus be more flexible such that multi-zone diffusing on differing size substrates is practical using one showerhead system. This would allow for less downtime associated with swapping equipment for varying situations, and better uniformity by combining and alternating different zones during diffusion. Prior art diffusing methods and apparatus do not meet requirements for this type of flexibility. [0008]
  • Another problem in this technology is that various gases of different characteristics are mixed for a particular process. There are variations in density, temperature, reactivity and the like, such that perfect uniformity in gas mixture composition and density at delivery still does not produce precise uniformity in layer deposition. In some processes an intentional non-uniformity of gas delivery will be required to produce layer uniformity. [0009]
  • What is clearly needed is an enhanced precursor-delivery apparatus and method that allows for a strict and combined control of gas distribution over multiple target zones in a reactor, and has several degrees of freedom in gas mixing, delivery, and uniformity control. Such a system would provide a capability for adjusting gas flow in a manner that point-of-process reaction uniformity may be achieved, providing superior film property uniformity. Such a system may be adapted to function in a wide variety of CVD and PECVD applications. [0010]
  • SUMMARY OF THE INVENTION
  • In a preferred embodiment of the present invention a showerhead diffuser apparatus for a CVD process is provided, comprising a first channel region having first plural independent radially-concentric channels and individual gas supply ports from a first side of the apparatus to individual ones of the first channels; a second channel region having second plural independent radially-concentric channels and a pattern of diffusion passages from the second channels to a second side of the apparatus; a transition region between the first channel region and the second channel region having at least one transition gas passage for communicating gas from each first channel in the first region to a corresponding second channel in the second region; and a vacuum seal interface for mounting the showerhead apparatus to a CVD reactor chamber such that the first side and supply ports face away from the reactor chamber and the second side and the patterns of diffusion passages from the second channels open into the reactor chamber. [0011]
  • In preferred embodiments the second side comprises a flat surface such that the diffusion passages from the second channels open into the reactor chamber on a plane. Also in preferred embodiments the vacuum seal interface comprises a flange having bolt holes and an o-ring for mounting to and sealing to a wall of the reactor chamber. [0012]
  • To enhance gas diffusion and mixing in embodiments of the invention the supply ports into the first channels and the transition passages from the first channels into second channels are offset in position such that no supply port is aligned with a transition passage. In preferred embodiments there are also coolant passages in the second channel region facing the inside of a reactor chamber, for protecting the showerhead apparatus from heat from within the chamber, and for impeding process film deposition on the showerhead face. [0013]
  • In another aspect of the invention a CVD reactor system is provided, comprising a reactor chamber having an opening for a showerhead apparatus; a support in the chamber adjacent the opening, the support for a substrate to be processed; and a showerhead diffuser apparatus for a CVD process, the showerhead having a first channel region having first plural independent radially-concentric channels and individual gas supply ports from a first side of the apparatus to individual ones of the first channels, a second channel region having second plural independent radially-concentric channels and a pattern of diffusion passages from the second channels to a second side of the apparatus, a transition region between the first channel region and the second channel region having at least one transition gas passage for communicating gas from each first channel in the first region to a corresponding second channel in the second region, and a vacuum seal interface for mounting the showerhead apparatus to a CVD reactor chamber such that the first side and supply ports face away from the reactor chamber and the second side and the patterns of diffusion passages from the second channels open into the reactor chamber. In the reactor system the second side comprises a flat surface such that the diffusion passages from the second channels open into the reactor chamber on a plane. [0014]
  • In another aspect of the invention a method for distributing gases to a wafer in a CVD coating process is provided, comprising steps of (a) introducing gases for the process via individual supply ports into individual ones of plural radially-concentric first channels of a first channel region of a showerhead apparatus; (b) flowing the gases from the first channels via transition passages into corresponding radially-concentric second channels in a second channel region; and (c) diffusing the gases from the second channels through diffusion passages opening through a flat surface of the showerhead apparatus parallel to and adjacent the wafer to be coated. [0015]
  • In yet another aspect of the invention a method for adjusting gas flux distribution over a wafer in a CVD coating operation is provided, comprising steps of (a) introducing gases for the coating operation via individual supply ports into individual ones of plural radially-concentric first channels of a first channel region of a showerhead apparatus; (b) flowing the gases from the first channels via transition passages into corresponding radially-concentric second channels in a second channel region; (c) diffusing the gases from the second channels through diffusion passages opening through a flat surface of the showerhead apparatus parallel to and adjacent the wafer to be coated; and (d) adjusting the gas flux distribution over the wafer by individually metering mass flow to individual ones of the individual supply ports to the first channels. [0016]
  • In the embodiments of the invention for the first time a diffuser is provided with flexibility to adjust gas distribution flux in a number of different ways, allowing a diffuser to be dialed-in to account for many gas parameters such as reactivity and the like. Various embodiments of the invention are taught in enabling detail below. [0017]
  • BRIEF DESCRIPTION OF THE DRAWING FIGURES
  • FIG. 1 is an isometric view of a multi-zone diffuser according to an embodiment of the present invention. [0018]
  • FIG. 2 is a section view of the multi-zone diffuser of FIG. 1 taken along the section line A-A. [0019]
  • FIG. 3 is a diagram illustrating upper gas zones and gas transition passage locations according to an embodiment of the present invention. [0020]
  • FIG. 4 is a diagram illustrating lower gas zones and gas diffusion passages according to an embodiment of the present invention. [0021]
  • FIG. 5 is a block diagram illustrating three gas separation stages in the apparatus of FIG. 1 according to an embodiment of the present invention. [0022]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • As described in the background section, obtaining consistent and uniform material layering in semiconductor manufacturing is paramount to producing high quality semiconductor devices. However, there are many limitations inherent to prior-art diffusing apparatus that continue to plague manufacturers using CVD or CVD-variant applications. The inventor provides in this disclosure a unique apparatus and method for enhancing process uniformity by utilizing multi-zone capabilities and strictly controlled gas delivery methods. The method and apparatus of the present invention is described in enabling detail below. [0023]
  • FIG. 1 is an isometric view of a [0024] multi-zone diffuser 9 according to an embodiment of the present invention. Diffuser 9 is adapted for delivering gas precursors and inert gases for the purpose of depositing films in CVD or CVD-variant processes.
  • [0025] Diffuser 9 is an assembly comprising in this embodiment three basic components, being an upper diffusion channel assembly 11, a gas transition baffle-plate 13, and a lower diffusion channel assembly 15. Components 11, 13, and 15 are, in a preferred embodiment, rigidly integrated into a whole by brazing or other joining method.
  • [0026] Diffuser 9 is designed and adapted to be fitted by a flange and suitable sealing elements to a process reactor (not shown) for the purpose of dispensing process gasses over a suitable substrate within. In one preferred embodiment Diffuser 9 engages through a lid of a single-wafer processing system. A lower portion (not visible in this view) of channel assembly 15 extends into a reactor when diffuser 9 is properly mounted. A plurality of through holes 19 on the flange portion of lower coil-assembly 15 are for bolts used in mounting to a lid of a reactor chamber, and holes 20 are provided for mounting an RF electrode in an alternative embodiment within a reactor for striking and maintaining plasma if required for any purpose, such as (PECVD.
  • [0027] Diffuser 9, by virtue of the above-described components, allows metered supply of gases to CVD or CVD-variant processes according to pre-calculated parameters. The features of diffuser 9 are designed to produce multiple radial gas-zones over a target in order to achieve an enhanced uniformity controllability in layer deposition that has not previously been achieved with prior-art systems. Diffuser 9 further provides an ability to supply a wide variety of gases in metered fashion to some or all of the defined gas zones either alternately or in combination. This unique capability allows manufacturers to easily fine-tune layer uniformity in process to achieve optimum and repeatable layer uniformity over simple and complex topologies.
  • Upper coil-[0028] assembly 9 has a plurality of gas-supply passages 17 passing through an upper plate-surface. Each supply passage 17 feeds to one of multiple gas zones defined by a plurality of radial channels provided within assembly 11, shown in further Figs. and descriptions below. Gas supply tubes and fittings adapted to conduct gases to passages 17 are not shown here for simplicity. Coolant delivery tubes 21 (an inlet and an outlet) are provided on the upper surface of coil-assembly 11 and are adapted to allow coolant to circulate through coolant channels in diffuser 9. More detail about diffuser 9 and internal components is provided below.
  • FIG. 2 is a section view of [0029] diffuser 9 of FIG. 1 taken along the section line AA. Upper channel assembly 11 has a plurality of radial gas zones that are of differing diameters and are positioned in spaced concentric fashion. In this example, there are a total of thirteen zones 23, however there may be more or fewer zones 23 without departing from the spirit and scope of the present invention.
  • Each [0030] zone 23 is an independent circular channel, and is supplied by one gas supply passage 17, four of which are shown in this section view. BY this arrangement different gases may be supplied to different gas zones 23 independently with no gas mixing or crosstalk from one zone to another. Moreover, because there is no crosstalk between individual zones 23, differing flow pressures may be applied to each specific zone. For example, a low metered flow may be provided to a channel closer to the center of the diffuser while a higher metered flow may be applied to a zone closer to the outer periphery. In addition, zones 23 may be used in alternate fashion. For example, by selectively shutting off gas supply to any one or a combination of gas supply passages17, associated zones 23 may be shut off without affecting gas flow to other zones. This allows process operators much more flexibility when introducing separate gases into a process.
  • [0031] Lower channel assembly 15 has concentric channels in the same radial geometry as upper channel assembly 11, and baffle plate 13, which forms a center portion of diffuser 9, has a plurality of elongated gas transition passages 25 strategically placed therethrough, feeding gas from each upper channel to a corresponding lower channel. Baffle plate 13 is preferably manufactured of one solid metal piece. There may be any number and spacing of transition passages 25 through baffle element 13 for each pair of upper and lower channels without departing from the spirit and scope of the present invention. For example, an outer channel pair may have many more transition passages than in inner channel pair.
  • [0032] Transition passages 25 are significantly elongated by virtue of the thickness of plate 13 and substantially smaller in diameter than supply passages 17. Transition passages 25 may, as in this example, all be of the same diameter, or may be of differing diameters such as may be determined to effect specific desired gas flow characteristics. In addition to the length and diameter of transition passages 25, zone specific orientation of and number of holes 25 per zone may vary according to calculated determinates, which may be obtained through computer modeling, and are intended to produce optimum uniformity characteristics. These calculated determinates also determine the thickness of baffle assembly 13, thus defining the length of passages 25.
  • [0033] Channels 27 in assembly 15 are in this embodiment somewhat deeper (height) than channels 23 of assembly 11. This feature aids in further diffusing of gasses before they are passed into a reactor. A plurality of gas diffusion passages 31 are provided through a lower portion of channel assembly 15 into a reactor. Passages 31 are for allowing gases to pass from channels 27 into the reactor. The gases passing through passages 31 into the reactor are optimally distributed according to pre-determined parameters. The number of gas diffusion passages 31 per channel is typically substantially greater in embodiments of the invention than the number of gas transition passages 25 per channel. For example, an outer-most channel 27 may have three transition passages 25 (inlet to channel) and, perhaps 30 diffusion passages 31 (outlet from channel).
  • In embodiments of the invention an [0034] RF barrier ring 29 is provided one for each channel 27. RF rings 29 are designed and adapted to baffle the passages from channels 27 into the reactor chamber in a manner that a plasma struck in the chamber will not migrate into channels 27 of diffuser 9. RF rings 29 are made of a suitable electrically-conductive metal, and each RF ring 29 is preferably welded in each channel 27 just above the bottom surface of the channel, leaving space on the sides as shown, so gases passing from each channel 27 into a passage 31 must traverse a convoluted path of dimensions small enough to quench any plasma. In practice rings 29 are formed with three or more dimples facing downward at positions not aligned with passages 31, the rings are positioned with the bottom surface of these dimples touching a surface slightly above the bottom of the respective channels, and the rings are then spot welded in the bottom of the channels to that mounting surface.
  • [0035] Water passages 33 are provided in the walls separating channels 27 in channel assembly 19 allowing water cooling, as substrates to be processed are typically heated to a high temperature on a hearth in the chamber. Tubes 21 provide an inlet and outlet for coolant as previously described
  • It will be apparent to one with skill in the art that diffuser [0036] 9 may be manufactured in many different diameters having different numbers of gas zones and channels without departing from the spirit and scope of the present invention. In preferred embodiments, diffuser 9 is manufactured to accommodate a specific semiconductor wafer size, such as a 200 mm or 300 mm wafer. In practical application a diffuser made for one wafer size may be used for wafers of a smaller size by closing gas supply to outer channels and tuning gas supply to remaining channels.
  • It will also be apparent to one with skill in the art that a diffuser according to embodiments of the present invention may be manufactured according to dimensional determinates derived from computer modeling of gas flow dynamics. In this way, extensive field testing of uniformity characteristics normally required in prior-art process applications can be avoided. However, fine-tuning uniformity characteristics such as by adjusting flow rates to specific gas zones, shutting down certain gas zones, and the like may be practiced during process by [0037] operators using diffuser 9.
  • FIG. 3 is a diagram illustrating arrangement of [0038] upper gas channels 23 and exemplary locations of gas transition passages 25 according to an embodiment of the present invention. Channels 23 are in a concentric arrangement in relation to one another as previously described. Each channel 23 communicates with specific gas transition passages 25, which are machined through baffle-plate 13. For example, the centermost channel 23 has one gas transition passage 25. A third channel 23 (counting out from center) has two gas transition passages 25. Progressing toward the periphery, each successive channel thereafter has three gas transition passages 25. This specific arrangement in terms of number of passages 25 for each channel 23 is not to be construed as a limitation, but simply that centermost gas channels will typically require less gas flow than outer channels.
  • [0039] Transition passages 25 are, in this embodiment, arranged in an equally-spaces formation (120-degree placement) with respect to each channel 23 having three passages per channel. Each formation of transition passages 25 has an offset orientation from passage locations in adjacent channels. This helps to facilitate even gas dispersal from upper channels 23 to lower channels 27, however, it is not required to practice the present invention. Computer modeling in different embodiments provides optimum data for quantity and positioning of transition passages 25 to facilitate optimum gas flow dynamics.
  • [0040] Diffuser 9 provides at least four degrees of freedom for facilitating graduated transition of gases from outer to inner gas channels. One option is regulating passage dimensions for transition passages 25 and by providing a constant number of passages 25 for each channel 23, with the passages for the channels closer to center having smaller passages and increasing the passage size (diameter) for passages in channels from channel to channel toward the outer diameter of the diffuser. Another option is to provide a constant number of transition passages per channel, but to regulate channel capacity by providing wider channels toward the center and narrower channels toward the outer diameter of the diffuser. Limiting the number of transition passages toward the center, as is shown here, is yet another option. Still another option is simply metering gas flow rates to each independent channel by virtue of channel-independent supply lines.
  • FIG. 4 is a diagram illustrating placement of gas diffusion passages in lower channel-[0041] assembly 15 according to an embodiment of the present invention. Each channel 27 has a plurality of equally-spaced diffusion passages arranged in a circular pattern. Only two channels 27 are illustrated herein with diffusion passages 31 to avoid confusion, however, all zones may be assumed to have diffusion passages 31.
  • A marked difference between the arrangement of [0042] transition passages 25 as shown in FIG. 3 and diffusion passages 31 is that there are far more diffusion passages 31 than transition passages 25. In this embodiment, passages 31 are placed one about every 12 degrees or 30 holes 31 per channel 27. Page: 14
  • The hole spacing is not necessarily based on azimuthal location in all embodiments. In one embodiment the holes are based on maintaining a 0.375 distance between any hole and all the holes around it, including the holes on the next higher and/or lower radius. Current design has 69 holes on the outer most zone. The 300 mm based design has 125 on its outer most zone. Zone spacing is based on maintaining the same 0.375 distance. However, the number of diffusion passages may be more or fewer, and the number per channel may vary as well. [0043]
  • The same flexibility regarding passage dimensions, channel width, channel combination or alternate use, quantity of passages, and so on is attributed to [0044] lower channel assembly 15 as was described above regarding baffle plate 13 and upper channel assembly 11. Gas flow through diffusion passages 33 in any one channel 27 may be adjusted by metering gas to independent gas supply lines entering diffuser 9. In most embodiments, diffusion passages 33 will be smaller than transfusion passages 25 and supply passages 17. Each stage increases gas diffusion without turbulence thus obtaining better gas distribution and uniform flow.
  • FIG. 5 is a diagram illustrating the three gas separation stages utilized by [0045] diffuser 9 according to an embodiment of the present invention. Diffuser 9, as previously described, has an upper diffusion stage provided by upper channel assembly 11. Gas is supplied to upper channel assembly 11 through zone- independent gas-supply lines 17, represented here by an arrow labeled Gas In. In the upper diffusion stage, gas is introduced and diffuses in channels 23 (FIG. 3) before passing through baffle-plate 13.
  • A gas transition stage is performed by baffle-[0046] plate 13 with transition passages 25. Gas in channels 23 is further diffused and directed as it passes through plate 13. A lower diffusion stage is performed in channel assembly 15 In the final stage the gases are further diffused as they pass through lower channel assembly 15. In a chamber, the introduced gases conform to multiple radial gas zones created therein by virtue of diffusion hole placement and positioning. Also by virtue of the long and convoluted passages of gases into the reactor chamber, the gases finally enter the chamber without any sudden expansion or turbulence. In this way, a substrate may be uniformly interfaced to the gas flux facilitating uniform layer formation. Fine-tuning may be performed to further enhance uniformity by adjusting gas flow to separate channels, using some channels but not others, and so on.
  • It will be apparent to one with skill in the art that the method and apparatus of the present invention provides a unique enhancement and control for process operators not provided by prior art diffusing apparatus used in CVD processes. The provision of multiple but separate gas delivery channels over a target is a significant enhancement over the prior art. [0047]
  • It will further be apparent to a skilled artisan that because computer modeling of gas flow dynamics is performed to determine optimum parameters for dimensions of elements of [0048] diffuser 9, such parameters may be varied for different types of processes. Such parameters may also change due to different determinates derived from improved modeling techniques. Therefore, the method and apparatus of the present invention should be afforded the broadest scope. The spirit and scope of the present invention is limited only by the claims that follow.

Claims (18)

What is claimed is:
1. A showerhead diffuser apparatus for a CVD process, comprising:
a first channel region having first plural independent radially-concentric channels and individual gas supply ports from a first side of the apparatus to individual ones of the first channels;
a second channel region having second plural independent radially-concentric channels and a pattern of diffusion passages from the second channels to a second side of the apparatus;
a transition region between the first channel region and the second channel region having at least one transition gas passage for communicating gas from each first channel in the first region to a corresponding second channel in the second region; and
a vacuum seal interface for mounting the showerhead apparatus to a CVD reactor chamber such that the first side and supply ports face away from the reactor chamber and the second side and the patterns of diffusion passages from the second channels open into the reactor chamber.
2. The showerhead apparatus of
claim 1
wherein the second side comprises a flat surface such that the diffusion passages from the second channels open into the reactor chamber on a plane.
3. The showerhead apparatus of
claim 1
wherein the vacuum seal interface comprises a flange having bolt holes and an o-ring for mounting to and sealing to a wall of the reactor chamber.
4. The showerhead apparatus of
claim 1
wherein the supply ports into the first channels and the transition passages from the first channels into second channels are offset in position such that no supply port is aligned with a transition passage.
5. The showerhead apparatus of
claim 1
wherein the transition passages into the second channels are offset from the diffusion passages into the reactor chamber such that no transition passage is aligned with a diffusion passage.
6. The showerhead apparatus of
claim 1
further comprising coolant channels in walls separating second channels in the second channel region, the coolant channels interconnected such that a single inlet port and a single outlet port provides coolant through al of the coolant channels.
7. The showerhead apparatus of
claim 6
comprising an inlet and an outlet supply tube extending from the first side connecting to the inlet ad the outlet ports.
8. A CVD reactor system, comprising:
a reactor chamber having an opening for a showerhead apparatus;
a support in the chamber adjacent the opening, the support for a substrate to be processed; and
a showerhead diffuser apparatus for a CVD process, the showerhead having a first channel region having first plural independent radially-concentric channels and individual gas supply ports from a first side of the apparatus to individual ones of the first channels, a second channel region having second plural independent radially-concentric channels and a pattern of diffusion passages from the second channels to a second side of the apparatus, a transition region between the first channel region and the second channel region having at least one transition gas passage for communicating gas from each first channel in the first region to a corresponding second channel in the second region, and a vacuum seal interface for mounting the showerhead apparatus to a CVD reactor chamber such that the first side and supply ports face away from the reactor chamber and the second side and the patterns of diffusion passages from the second channels open into the reactor chamber.
9. The CVD reactor system of
claim 8
wherein the second side comprises a flat surface such that the diffusion passages from the second channels open into the reactor chamber on a plane.
10. The CVD reactor system of
claim 8
wherein the vacuum seat interface comprises a flange having bolt holes and an o-ring for mounting to and sealing to a wall of the reactor chamber.
11. The CVD reactor system of
claim 8
wherein the supply ports into the first channels and the transition passages from the first channels into second channels are offset in position such that no supply port is aligned with a transition passage.
12. The CVD reactor system of
claim 8
wherein the transition passages into the second channels are offset from the diffusion passages into the reactor chamber such that no transition passage is aligned with a diffusion passage.
13. The CVD reactor system of
claim 8
further comprising coolant channels in walls separating second channels in the second channel region, the coolant channels interconnected such that a single inlet port and a single outlet port provides coolant through al of the coolant channels.
14. CVD reactor system of
claim 13
comprising an inlet and an outlet supply tube extending from the first side connecting to the inlet ad the outlet ports.
15. A method for distributing gases to a wafer in a CVD coating process, comprising steps of:
(a) introducing gases for the process via individual supply ports into individual ones of plural radially-concentric first channels of a first channel region of a showerhead apparatus;
(b) flowing the gases from the first channels via transition passages into corresponding radially-concentric second channels in a second channel region; and
(c) diffusing the gases from the second channels through diffusion passages opening through a flat surface of the showerhead apparatus parallel to and adjacent the wafer to be coated.
16. The method of
claim 15
wherein the supply ports, the transition passages and the diffusion passages are arranged to be non-linear.
17. A method for adjusting gas flux distribution over a wafer in a CVD coating operation, comprising steps of:
(a) introducing gases for the coating operation via individual supply ports into individual ones of plural radially-concentric first channels of a first channel region of a showerhead apparatus;
(b) flowing the gases from the first channels via transition passages into corresponding radially-concentric second channels in a second channel region;
(c) diffusing the gases from the second channels through diffusion passages opening through a flat surface of the showerhead apparatus parallel to and adjacent the wafer to be coated; and
(d) adjusting the gas flux distribution over the wafer by individually metering mass flow to individual ones of the individual supply ports to the first channels.
18. The method of
claim 17
including a step for adjusting gas flux distribution by shifting individual gases between individual first channels of the first channel region.
US09/769,634 1999-07-08 2001-01-24 Method for providing uniform gas delivery to substrates in CVD and PECVD processes Expired - Fee Related US6284673B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/769,634 US6284673B2 (en) 1999-07-08 2001-01-24 Method for providing uniform gas delivery to substrates in CVD and PECVD processes
US09/939,272 US20010054391A1 (en) 1999-07-08 2001-08-23 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US10/335,404 US6616766B2 (en) 1999-07-08 2002-12-30 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/350,417 US6206972B1 (en) 1999-07-08 1999-07-08 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US09/769,634 US6284673B2 (en) 1999-07-08 2001-01-24 Method for providing uniform gas delivery to substrates in CVD and PECVD processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/350,417 Division US6206972B1 (en) 1999-07-08 1999-07-08 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/939,272 Continuation US20010054391A1 (en) 1999-07-08 2001-08-23 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Publications (2)

Publication Number Publication Date
US20010002582A1 true US20010002582A1 (en) 2001-06-07
US6284673B2 US6284673B2 (en) 2001-09-04

Family

ID=23376624

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/350,417 Expired - Lifetime US6206972B1 (en) 1999-07-08 1999-07-08 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US09/709,228 Expired - Fee Related US6626998B1 (en) 1999-07-08 2000-11-08 Plasma generator assembly for use in CVD and PECVD processes
US09/769,634 Expired - Fee Related US6284673B2 (en) 1999-07-08 2001-01-24 Method for providing uniform gas delivery to substrates in CVD and PECVD processes
US09/939,272 Abandoned US20010054391A1 (en) 1999-07-08 2001-08-23 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US10/335,404 Expired - Fee Related US6616766B2 (en) 1999-07-08 2002-12-30 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/350,417 Expired - Lifetime US6206972B1 (en) 1999-07-08 1999-07-08 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US09/709,228 Expired - Fee Related US6626998B1 (en) 1999-07-08 2000-11-08 Plasma generator assembly for use in CVD and PECVD processes

Family Applications After (2)

Application Number Title Priority Date Filing Date
US09/939,272 Abandoned US20010054391A1 (en) 1999-07-08 2001-08-23 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US10/335,404 Expired - Fee Related US6616766B2 (en) 1999-07-08 2002-12-30 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Country Status (8)

Country Link
US (5) US6206972B1 (en)
EP (1) EP1274875B1 (en)
JP (1) JP2003504866A (en)
KR (1) KR100446486B1 (en)
AT (1) ATE310107T1 (en)
AU (1) AU6803900A (en)
DE (1) DE60024146T2 (en)
WO (1) WO2001004931A2 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050158973A1 (en) * 2001-12-20 2005-07-21 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US20080014352A1 (en) * 2002-04-16 2008-01-17 Ming Xi System and method for forming an integrated barrier layer
US20080230129A1 (en) * 2004-12-06 2008-09-25 Q Chip Limited Device for Fluid Transport
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
WO2013078097A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Dual zone temperature control of upper electrodes
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20140102640A1 (en) * 2012-10-17 2014-04-17 Hitachi High-Technologies Corporation Plasma processing apparatus
US20140291286A1 (en) * 2013-03-26 2014-10-02 Tokyo Electron Limited Shower head, plasma processing apparatus and plasma processing method
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US20170069470A1 (en) * 2014-05-12 2017-03-09 Tokyo Electron Limited Upper electrode structure of plasma processing apparatus, plasma processing apparatus, and operation method therefor
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6395640B2 (en) * 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (en) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 Thin-film deposition apparatus
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
DE10043601A1 (en) * 2000-09-01 2002-03-14 Aixtron Ag Device and method for depositing, in particular, crystalline layers on, in particular, crystalline substrates
DE60231601D1 (en) * 2001-01-22 2009-04-30 Tokio Electron Ltd DEVICE AND METHOD FOR TREATMENT
KR100413482B1 (en) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 chemical enhancer management chamber
KR100400044B1 (en) * 2001-07-16 2003-09-29 삼성전자주식회사 Shower head of wafer treatment apparatus having gap controller
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP3886424B2 (en) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 Substrate processing apparatus and method
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7193893B2 (en) * 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
KR100476370B1 (en) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 Batch type Atomic Layer Deposition and method for insitu-cleaning in the batch type atomic layer deposition
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
CN101068950A (en) * 2003-05-30 2007-11-07 阿维扎技术公司 Gas distribution system
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US20050164626A1 (en) * 2004-01-26 2005-07-28 Kloostra Marvin L. High mix air diffuser
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (en) * 2004-08-02 2014-05-07 维高仪器股份有限公司 Multi-gas distribution injector for chemical vapor deposition reactors
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR101272321B1 (en) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 Multiple inlet atomic layer deposition reactor
KR100731164B1 (en) * 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
CN100358099C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Plasma processing device
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
CN100405537C (en) * 2005-12-07 2008-07-23 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma reaction device
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR100849929B1 (en) 2006-09-16 2008-08-26 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a showerhead regulating the injection velocity of reactive gases positively and a method thereof
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
CN100451163C (en) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
DE102007026349A1 (en) * 2007-06-06 2008-12-11 Aixtron Ag From a large number of diffusion-welded panes of existing gas distributors
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
TWI475592B (en) 2008-07-07 2015-03-01 Lam Res Corp Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
KR101588482B1 (en) * 2008-07-07 2016-01-25 램 리써치 코포레이션 Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
CN104328391B (en) * 2008-10-08 2018-02-23 Abcd技术有限公司 Chemical beam film deposition equipment and the method that thin film deposition is carried out using the equipment
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
CN102414797A (en) * 2009-04-29 2012-04-11 应用材料公司 Method of forming in-situ pre-GaN deposition layer in HVPE
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
TWI385272B (en) * 2009-09-25 2013-02-11 Ind Tech Res Inst Gas distribution plate and apparatus using the same
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (en) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation End-clamping and machine-fixed inner electrode of showerhead electrode assembly
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
TWI417984B (en) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc Auto-sequencing multi-directional inline processing apparatus
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9057030B2 (en) 2010-10-30 2015-06-16 General Electric Company System and method for protecting gasifier quench ring
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
TWI534291B (en) 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
KR20210135341A (en) 2012-05-31 2021-11-12 엔테그리스, 아이엔씨. Source reagent-based delivery of fluid with high material flux for batch deposition
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
KR20140087215A (en) * 2012-12-28 2014-07-09 주식회사 윈텔 Plasma generation apparatus and substrate processing apparatus
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
DE102013101534A1 (en) * 2013-02-15 2014-08-21 Aixtron Se Gas distributor for a CVD reactor
TWI473903B (en) 2013-02-23 2015-02-21 Hermes Epitek Corp Gas Injector and Cover Plate Assembly for Semiconductor Equipment
KR102156795B1 (en) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus
JP6199619B2 (en) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー Vapor growth equipment
JP6153401B2 (en) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー Vapor growth apparatus and vapor growth method
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
KR101575844B1 (en) 2014-05-09 2015-12-08 제주대학교 산학협력단 Head for atomic layer deposition and atomic layer deposition device having the same
TWI733712B (en) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 A diffuser for a deposition chamber and an electrode for a deposition chamber
KR102096700B1 (en) 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate procesing method
JP7035581B2 (en) * 2017-03-29 2022-03-15 東京エレクトロン株式会社 Board processing device and board processing method.
US11220747B2 (en) 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
JP6937806B2 (en) * 2019-09-25 2021-09-22 株式会社Kokusai Electric Substrate processing equipment and semiconductor manufacturing method
TW202147492A (en) * 2020-06-03 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Shower plate, substrate treatment device, and substate treatment method
DE102020123076A1 (en) 2020-09-03 2022-03-03 Aixtron Se Gas inlet element of a CVD reactor with two feed points

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3689110A (en) * 1970-08-05 1972-09-05 William B Ferguson Fluid line coupling
US4226164A (en) * 1979-01-10 1980-10-07 Carter Alton L Split threaded coupling nut
US4923349A (en) * 1985-05-28 1990-05-08 Hartley Logsdon Threaded split coupling nut
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
GB9313633D0 (en) * 1993-07-01 1993-08-18 Black & Decker Inc A clamp nut
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3362552B2 (en) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 Film processing equipment
JP3380091B2 (en) * 1995-06-09 2003-02-24 株式会社荏原製作所 Reactive gas injection head and thin film vapor phase growth apparatus
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6266462B1 (en) 1998-02-12 2001-07-24 Ultraband Fiber Optics Acousto-optic filter
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6096564A (en) * 1999-05-25 2000-08-01 Wisconsin Alumni Research Foundation Plasma-aided treatment of surfaces against bacterial attachment and biofilm deposition
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050158973A1 (en) * 2001-12-20 2005-07-21 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US8178413B2 (en) 2001-12-20 2012-05-15 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US7804144B2 (en) 2001-12-20 2010-09-28 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US7867914B2 (en) * 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20080014352A1 (en) * 2002-04-16 2008-01-17 Ming Xi System and method for forming an integrated barrier layer
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US8228725B2 (en) 2002-07-08 2012-07-24 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20080230129A1 (en) * 2004-12-06 2008-09-25 Q Chip Limited Device for Fluid Transport
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US20110132874A1 (en) * 2009-12-03 2011-06-09 Richard Gottscho Small plasma chamber systems and methods
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110212624A1 (en) * 2010-02-26 2011-09-01 Hudson Eric A System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9735020B2 (en) 2010-02-26 2017-08-15 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US11127571B2 (en) 2011-11-22 2021-09-21 Lam Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10622195B2 (en) 2011-11-22 2020-04-14 Lam Research Corporation Multi zone gas injection upper electrode system
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
WO2013078097A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Dual zone temperature control of upper electrodes
KR20140097436A (en) * 2011-11-23 2014-08-06 램 리써치 코포레이션 Multi zone gas injection upper electrode system
KR101971312B1 (en) 2011-11-23 2019-04-22 램 리써치 코포레이션 Multi zone gas injection upper electrode system
TWI661485B (en) * 2011-11-23 2019-06-01 蘭姆研究公司 Multi zone gas injection upper electrode system
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10665448B2 (en) * 2012-10-17 2020-05-26 Hitachi High-Tech Corporation Plasma processing apparatus
US20140102640A1 (en) * 2012-10-17 2014-04-17 Hitachi High-Technologies Corporation Plasma processing apparatus
US9466468B2 (en) * 2013-03-26 2016-10-11 Tokyo Electron Limited Shower head, plasma processing apparatus and plasma processing method
US20140291286A1 (en) * 2013-03-26 2014-10-02 Tokyo Electron Limited Shower head, plasma processing apparatus and plasma processing method
US20170069470A1 (en) * 2014-05-12 2017-03-09 Tokyo Electron Limited Upper electrode structure of plasma processing apparatus, plasma processing apparatus, and operation method therefor
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead

Also Published As

Publication number Publication date
AU6803900A (en) 2001-01-30
EP1274875B1 (en) 2005-11-16
US6616766B2 (en) 2003-09-09
US20030101934A1 (en) 2003-06-05
JP2003504866A (en) 2003-02-04
US20010054391A1 (en) 2001-12-27
US6284673B2 (en) 2001-09-04
US6626998B1 (en) 2003-09-30
WO2001004931A2 (en) 2001-01-18
KR100446486B1 (en) 2004-09-01
US6206972B1 (en) 2001-03-27
DE60024146T2 (en) 2006-08-03
KR20020031380A (en) 2002-05-01
ATE310107T1 (en) 2005-12-15
EP1274875A4 (en) 2004-10-20
WO2001004931A3 (en) 2001-05-03
DE60024146D1 (en) 2005-12-22
EP1274875A2 (en) 2003-01-15

Similar Documents

Publication Publication Date Title
US6206972B1 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7018940B2 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US11608559B2 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR101062462B1 (en) Shower head and chemical vapor deposition apparatus comprising the same
US7981472B2 (en) Methods of providing uniform gas delivery to a reactor
TW202115770A (en) Gas distribution showerhead for semiconductor processing
KR20180054366A (en) Gas supply unit and substrate processing apparatus including the same
EP0550058A2 (en) A programmable multizone gas injector for single-wafer semiconductor processing equipment
US11015247B2 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20160094867A (en) Method and device for depositing a iii-v-semiconductor layer
US20050170090A1 (en) Chemical vapor deposition apparatus and film deposition method
CN115110064A (en) Gas input equipment and gas input method
CN115362544A (en) Edge ring for regulating local delivery of gas
CN219297639U (en) Air inlet device and plasma equipment
WO2023177570A1 (en) Showerhead for substrate processing systems
CN117352444A (en) Spindle and lifter pin drive assembly with cleaning mechanism
TWM639577U (en) Showerhead, showerhead assembly and substrate processing systems for improving deposition thickness uniformity
CN116145110A (en) Air inlet device and plasma equipment
TW202213577A (en) Pedestal thermal profile tuning using multiple heated zones and thermal voids

Legal Events

Date Code Title Description
AS Assignment

Owner name: SILICON VALLEY BANK, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:GENUS, INC.;REEL/FRAME:012530/0418

Effective date: 20011220

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: GENUS, INC., CALIFORNIA

Free format text: RELEASE;ASSIGNOR:SILICON VALLEY BANK;REEL/FRAME:017492/0530

Effective date: 20050822

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20130904

AS Assignment

Owner name: AIXTRON, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:GENUS, INC.;REEL/FRAME:042524/0283

Effective date: 20060331